From: hadaq Date: Wed, 9 May 2012 15:21:10 +0000 (+0000) Subject: version for triggerless runs X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=22da179659b08d6af1dc5781ca452cfc48258e21;p=trb3.git version for triggerless runs --- diff --git a/tdc_releases/tdc_v0.2/README.txt b/tdc_releases/tdc_v0.2/README.txt index 7d3ac9c..51f8222 100644 --- a/tdc_releases/tdc_v0.2/README.txt +++ b/tdc_releases/tdc_v0.2/README.txt @@ -43,16 +43,17 @@ Version Details: v0.2 09.05.2012 # of Channels : 32 (for rising & falling edges of 16 channels) -LUTs used : 41443 / 149040 (27,8%) -Registers used : 34873 / 111780 (31,2%) -SLICEs used : 26570 / 74520 (35,7%) +LUTs used : 41718 / 149040 (28,0%) +Registers used : 34930 / 111780 (31,2%) +SLICEs used : 26764 / 74520 (35,9%) - 32 physical channels are implemented in order to measure rising & falling times of 16 pulses (including the reference channel). The timing information of the edges of the same pulse are in the adjacent channels, e.g., Ch0-rising edge of reference time, Ch1-falling edge of reference time, Ch2-rising edge of INP0, Ch3-falling edge of INP0. -- Designed for "with trigger" run. +- Designed for "with trigger" and "triggerless" run. (for slow control refer +to the documentation) - Post trigger window value must be set to minimum 0x1f diff --git a/tdc_releases/tdc_v0.2/bit_file/trb3_periph.bit b/tdc_releases/tdc_v0.2/bit_file/trb3_periph.bit index b8303a9..dfb0f42 100644 Binary files a/tdc_releases/tdc_v0.2/bit_file/trb3_periph.bit and b/tdc_releases/tdc_v0.2/bit_file/trb3_periph.bit differ diff --git a/tdc_releases/tdc_v0.2/prj_file/trb3_periph.edf b/tdc_releases/tdc_v0.2/prj_file/trb3_periph.edf index f221160..d421a14 100644 --- a/tdc_releases/tdc_v0.2/prj_file/trb3_periph.edf +++ b/tdc_releases/tdc_v0.2/prj_file/trb3_periph.edf @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2012 5 8 14 45 32) + (timeStamp 2012 5 9 15 55 51) (author "Synopsys, Inc.") (program "Synplify Pro" (version "E-2011.03, mapper maprc, Build 388R")) ) @@ -2635,7 +2635,6 @@ (portRef CD (instanceRef FF_7)) (portRef CD (instanceRef FF_8)) (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_15)) (portRef CD (instanceRef FF_16)) (portRef CD (instanceRef FF_17)) (portRef CD (instanceRef FF_18)) @@ -2768,6 +2767,7 @@ (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) )) (net wcnt_sub_0 (joined (portRef S1 (instanceRef wcnt_0)) @@ -3777,7 +3777,6 @@ (portRef CD (instanceRef FF_7)) (portRef CD (instanceRef FF_8)) (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_21)) (portRef CD (instanceRef FF_22)) (portRef CD (instanceRef FF_23)) (portRef CD (instanceRef FF_24)) @@ -3855,15 +3854,6 @@ (portRef NC0 (instanceRef r_ctr_0)) (portRef D (instanceRef FF_20)) )) - (net rcount_0 (joined - (portRef Q (instanceRef FF_20)) - (portRef PC0 (instanceRef r_ctr_0)) - (portRef D (instanceRef FF_10)) - )) - (net ircount_1 (joined - (portRef NC1 (instanceRef r_ctr_0)) - (portRef D (instanceRef FF_19)) - )) (net reset_no_link_1 (joined (portRef reset_no_link_1) (portRef CD (instanceRef FF_0)) @@ -3879,6 +3869,16 @@ (portRef CD (instanceRef FF_18)) (portRef CD (instanceRef FF_19)) (portRef PD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + )) + (net rcount_0 (joined + (portRef Q (instanceRef FF_20)) + (portRef PC0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_10)) + )) + (net ircount_1 (joined + (portRef NC1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_19)) )) (net rcount_1 (joined (portRef Q (instanceRef FF_19)) @@ -5316,7 +5316,9 @@ (port (array (rename fifo_data_o "fifo_data_o(18:0)") 19) (direction OUTPUT)) (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(23:21)") 3) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(127:116)") 12) (direction INPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction INPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction INPUT)) + (port current_output_data_buffer_3 (direction INPUT)) + (port current_output_data_buffer_0 (direction INPUT)) (port (array (rename current_state "CURRENT_STATE(8:8)") 1) (direction INPUT)) (port reset_no_link_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -5750,19 +5752,19 @@ (portRef B0 (instanceRef wcnt_0)) )) (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3)) + (portRef current_output_data_buffer_0) (portRef DI0 (instanceRef pdp_ram_0_0_0)) )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1)) (portRef DI1 (instanceRef pdp_ram_0_0_0)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0)) (portRef DI2 (instanceRef pdp_ram_0_0_0)) )) (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0)) + (portRef current_output_data_buffer_3) (portRef DI3 (instanceRef pdp_ram_0_0_0)) )) (net MED_IO_DATA_OUT_116 (joined @@ -7672,13 +7674,13 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -7693,21 +7695,12 @@ (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -7726,13 +7719,22 @@ (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B A))+D A)")) ) (instance COMB_next_READ_OUT_f1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance current_buffer_state_RNIGS2U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_SYN_DATAREADY_OUT_1_RNIGS2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(B+!A))")) ) (instance current_buffer_state_ns_1_0__m7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -7835,24 +7837,24 @@ (portRef D (instanceRef current_buffer_state_ns_1_0__m7)) (portRef C (instanceRef COMB_next_READ_OUT_f1)) (portRef D (instanceRef move_b2_buffer_i)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) (portRef C (instanceRef current_b2_buffer_RNO_4)) (portRef C (instanceRef current_b2_buffer_RNO_5)) (portRef C (instanceRef current_b2_buffer_RNO_6)) (portRef C (instanceRef current_b2_buffer_RNO_7)) (portRef C (instanceRef current_b2_buffer_RNO_8)) (portRef C (instanceRef current_b2_buffer_RNO_9)) - (portRef C (instanceRef current_b2_buffer_RNO_10)) (portRef C (instanceRef current_b2_buffer_RNO_11)) (portRef C (instanceRef current_b2_buffer_RNO_12)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_14)) (portRef C (instanceRef current_b2_buffer_RNO_16)) (portRef C (instanceRef current_b2_buffer_RNO_17)) (portRef C (instanceRef current_b2_buffer_RNO_18)) (portRef C (instanceRef current_b2_buffer_RNO_15)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef C (instanceRef current_b2_buffer_RNO_14)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef C (instanceRef current_b2_buffer_RNO_10)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) (net N_140 (joined @@ -8148,20 +8150,20 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) - (net fifo_data_out_0 (joined - (portRef fifo_data_out_0) - (portRef A (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) + (net fifo_data_out_10 (joined + (portRef fifo_data_out_10) + (portRef A (instanceRef current_b2_buffer_RNO_10)) + (portRef D (instanceRef current_b1_buffer_10)) )) - (net fifo_data_out_1 (joined - (portRef fifo_data_out_1) - (portRef A (instanceRef current_b2_buffer_RNO_1)) - (portRef D (instanceRef current_b1_buffer_1)) + (net fifo_data_out_13 (joined + (portRef fifo_data_out_13) + (portRef A (instanceRef current_b2_buffer_RNO_13)) + (portRef D (instanceRef current_b1_buffer_13)) )) - (net fifo_data_out_2 (joined - (portRef fifo_data_out_2) - (portRef A (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) + (net fifo_data_out_14 (joined + (portRef fifo_data_out_14) + (portRef A (instanceRef current_b2_buffer_RNO_14)) + (portRef D (instanceRef current_b1_buffer_14)) )) (net fifo_data_out_15 (joined (portRef fifo_data_out_15) @@ -8183,16 +8185,6 @@ (portRef A (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b1_buffer_16)) )) - (net fifo_data_out_14 (joined - (portRef fifo_data_out_14) - (portRef A (instanceRef current_b2_buffer_RNO_14)) - (portRef D (instanceRef current_b1_buffer_14)) - )) - (net fifo_data_out_13 (joined - (portRef fifo_data_out_13) - (portRef A (instanceRef current_b2_buffer_RNO_13)) - (portRef D (instanceRef current_b1_buffer_13)) - )) (net fifo_data_out_12 (joined (portRef fifo_data_out_12) (portRef A (instanceRef current_b2_buffer_RNO_12)) @@ -8203,11 +8195,6 @@ (portRef A (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b1_buffer_11)) )) - (net fifo_data_out_10 (joined - (portRef fifo_data_out_10) - (portRef A (instanceRef current_b2_buffer_RNO_10)) - (portRef D (instanceRef current_b1_buffer_10)) - )) (net fifo_data_out_9 (joined (portRef fifo_data_out_9) (portRef A (instanceRef current_b2_buffer_RNO_9)) @@ -8238,6 +8225,21 @@ (portRef A (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b1_buffer_4)) )) + (net fifo_data_out_2 (joined + (portRef fifo_data_out_2) + (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) + )) + (net fifo_data_out_1 (joined + (portRef fifo_data_out_1) + (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef D (instanceRef current_b1_buffer_1)) + )) + (net fifo_data_out_0 (joined + (portRef fifo_data_out_0) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) + )) (net current_buffer_state_0 (joined (portRef Q (instanceRef current_buffer_state_0)) (portRef C (instanceRef current_buffer_state_ns_1_0__N_6_i)) @@ -8246,7 +8248,7 @@ (portRef D (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef C (instanceRef current_next_READ_OUT_RNO)) (portRef C (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef C (instanceRef current_buffer_state_RNIGS2U_0)) + (portRef C (instanceRef current_SYN_DATAREADY_OUT_1_RNIGS2U)) (portRef C (instanceRef move_b2_buffer_i)) (portRef current_buffer_state_0) )) @@ -8257,7 +8259,7 @@ (portRef C (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef A (instanceRef current_next_READ_OUT_RNO)) (portRef A (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef B (instanceRef current_buffer_state_RNIGS2U_0)) + (portRef B (instanceRef current_SYN_DATAREADY_OUT_1_RNIGS2U)) (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef A (instanceRef move_b2_buffer_i)) (portRef buf_SYN_READ_INZ0) @@ -8271,13 +8273,13 @@ (net current_SYN_DATAREADY_OUT_1 (joined (portRef A (instanceRef COMB_next_READ_OUT_f0)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_RNO)) - (portRef A (instanceRef current_buffer_state_RNIGS2U_0)) + (portRef A (instanceRef current_SYN_DATAREADY_OUT_1_RNIGS2U)) (portRef A (instanceRef COMB_next_READ_OUT_f1)) (portRef (member buf_to_apl_init_dataready 0)) (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) )) (net un3_current_syn_dataready_out_i (joined - (portRef Z (instanceRef current_buffer_state_RNIGS2U_0)) + (portRef Z (instanceRef current_SYN_DATAREADY_OUT_1_RNIGS2U)) (portRef un3_current_syn_dataready_out_i) )) (net COMB_next_READ_OUT_f1_0 (joined @@ -8551,7 +8553,7 @@ (portRef (member buf_stat_init_buffer 0)) (portRef D (instanceRef AND2_t4_RNO)) )) - (net N_5132_i (joined + (net N_5085_i (joined (portRef Z (instanceRef AND2_t4_RNO)) (portRef A (instanceRef AND2_t4)) )) @@ -9532,9 +9534,10 @@ (port (array (rename buf_to_apl_init_packet_num "buf_to_apl_INIT_PACKET_NUM(5:3)") 3) (direction OUTPUT)) (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(31:16)") 16) (direction OUTPUT)) (port current_buffer_state_0 (direction OUTPUT)) - (port un4_fifo_data_valid (direction INPUT)) (port sbuf_init_free (direction INPUT)) + (port un17_current_fifo_packet_type (direction INPUT)) (port init_word_waiting (direction INPUT)) + (port fifo_data_valid (direction INPUT)) (port comb_next_init_read (direction OUTPUT)) (port un3_current_syn_dataready_out_i (direction OUTPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) @@ -9632,28 +9635,28 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -9665,16 +9668,13 @@ (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -9686,13 +9686,16 @@ (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B A))+D A)")) ) (instance COMB_next_READ_OUT_f1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance current_SYN_DATAREADY_OUT_1_RNIAS2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_buffer_state_RNIAS2U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(B+!A))")) ) (instance current_buffer_state_ns_1_0__m7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -9713,12 +9716,15 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance combined_COMB_DATAREADY_IN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C !A))")) - ) (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) + (instance combined_COMB_DATAREADY_IN_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B+!A))")) + ) + (instance combined_COMB_DATAREADY_IN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + ) (net N_93_0_i (joined (portRef Z (instanceRef current_next_READ_OUT_RNO)) (portRef D (instanceRef current_next_READ_OUT)) @@ -9795,27 +9801,27 @@ (portRef D (instanceRef current_buffer_state_ns_1_0__m7)) (portRef C (instanceRef COMB_next_READ_OUT_f1)) (portRef D (instanceRef move_b2_buffer_i)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef C (instanceRef current_b2_buffer_RNO_1)) (portRef C (instanceRef current_b2_buffer_RNO_2)) (portRef C (instanceRef current_b2_buffer_RNO_4)) - (portRef C (instanceRef current_b2_buffer_RNO_5)) - (portRef C (instanceRef current_b2_buffer_RNO_6)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) - (portRef C (instanceRef current_b2_buffer_RNO_9)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_11)) + (portRef C (instanceRef current_b2_buffer_RNO_14)) (portRef C (instanceRef current_b2_buffer_RNO_16)) (portRef C (instanceRef current_b2_buffer_RNO_17)) (portRef C (instanceRef current_b2_buffer_RNO_18)) + (portRef C (instanceRef current_b2_buffer_RNO_5)) + (portRef C (instanceRef current_b2_buffer_RNO_6)) (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef C (instanceRef current_b2_buffer_RNO_14)) (portRef C (instanceRef current_b2_buffer_RNO_12)) - (portRef C (instanceRef current_b2_buffer_RNO_11)) (portRef C (instanceRef current_b2_buffer_RNO_10)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_9)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) - (net N_149 (joined + (net current_b2_buffer_RNO_0 (joined (portRef Z (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b2_buffer_0)) )) @@ -9861,7 +9867,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member buf_to_apl_init_data 13)) )) - (net current_b2_buffer_RNO_3 (joined + (net N_182 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -9869,7 +9875,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member buf_to_apl_init_data 12)) )) - (net current_b2_buffer_RNO_4 (joined + (net current_b2_buffer_RNO_0_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -9877,7 +9883,7 @@ (portRef Q (instanceRef current_b2_buffer_4)) (portRef (member buf_to_apl_init_data 11)) )) - (net current_b2_buffer_RNO_5 (joined + (net N_204 (joined (portRef Z (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_5)) )) @@ -9885,7 +9891,7 @@ (portRef Q (instanceRef current_b2_buffer_5)) (portRef (member buf_to_apl_init_data 10)) )) - (net current_b2_buffer_RNO_6 (joined + (net N_215 (joined (portRef Z (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_6)) )) @@ -9893,7 +9899,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member buf_to_apl_init_data 9)) )) - (net current_b2_buffer_RNO_7 (joined + (net N_226 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -9901,7 +9907,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member buf_to_apl_init_data 8)) )) - (net N_237 (joined + (net current_b2_buffer_RNO_0_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -9909,7 +9915,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member buf_to_apl_init_data 7)) )) - (net current_b2_buffer_RNO_9 (joined + (net N_248 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -9925,7 +9931,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member buf_to_apl_init_data 5)) )) - (net N_270 (joined + (net current_b2_buffer_RNO_0_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -9949,7 +9955,7 @@ (portRef Q (instanceRef current_b2_buffer_13)) (portRef (member buf_to_apl_init_data 2)) )) - (net N_303 (joined + (net current_b2_buffer_RNO_0_14 (joined (portRef Z (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_14)) )) @@ -10108,36 +10114,26 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) - (net fifo_data_out_8 (joined - (portRef fifo_data_out_8) - (portRef A (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b1_buffer_8)) + (net fifo_data_out_7 (joined + (portRef fifo_data_out_7) + (portRef A (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b1_buffer_7)) + )) + (net fifo_data_out_9 (joined + (portRef fifo_data_out_9) + (portRef A (instanceRef current_b2_buffer_RNO_9)) + (portRef D (instanceRef current_b1_buffer_9)) )) (net fifo_data_out_10 (joined (portRef fifo_data_out_10) (portRef A (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b1_buffer_10)) )) - (net fifo_data_out_11 (joined - (portRef fifo_data_out_11) - (portRef A (instanceRef current_b2_buffer_RNO_11)) - (portRef D (instanceRef current_b1_buffer_11)) - )) (net fifo_data_out_12 (joined (portRef fifo_data_out_12) (portRef A (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b1_buffer_12)) )) - (net fifo_data_out_14 (joined - (portRef fifo_data_out_14) - (portRef A (instanceRef current_b2_buffer_RNO_14)) - (portRef D (instanceRef current_b1_buffer_14)) - )) - (net fifo_data_out_0 (joined - (portRef fifo_data_out_0) - (portRef A (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) - )) (net fifo_data_out_13 (joined (portRef fifo_data_out_13) (portRef A (instanceRef current_b2_buffer_RNO_13)) @@ -10148,6 +10144,16 @@ (portRef A (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b1_buffer_15)) )) + (net fifo_data_out_6 (joined + (portRef fifo_data_out_6) + (portRef A (instanceRef current_b2_buffer_RNO_6)) + (portRef D (instanceRef current_b1_buffer_6)) + )) + (net fifo_data_out_5 (joined + (portRef fifo_data_out_5) + (portRef A (instanceRef current_b2_buffer_RNO_5)) + (portRef D (instanceRef current_b1_buffer_5)) + )) (net fifo_long_packet_num_out_2 (joined (portRef (member fifo_long_packet_num_out 0)) (portRef A (instanceRef current_b2_buffer_RNO_18)) @@ -10163,25 +10169,20 @@ (portRef A (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b1_buffer_16)) )) - (net fifo_data_out_9 (joined - (portRef fifo_data_out_9) - (portRef A (instanceRef current_b2_buffer_RNO_9)) - (portRef D (instanceRef current_b1_buffer_9)) - )) - (net fifo_data_out_7 (joined - (portRef fifo_data_out_7) - (portRef A (instanceRef current_b2_buffer_RNO_7)) - (portRef D (instanceRef current_b1_buffer_7)) + (net fifo_data_out_14 (joined + (portRef fifo_data_out_14) + (portRef A (instanceRef current_b2_buffer_RNO_14)) + (portRef D (instanceRef current_b1_buffer_14)) )) - (net fifo_data_out_6 (joined - (portRef fifo_data_out_6) - (portRef A (instanceRef current_b2_buffer_RNO_6)) - (portRef D (instanceRef current_b1_buffer_6)) + (net fifo_data_out_11 (joined + (portRef fifo_data_out_11) + (portRef A (instanceRef current_b2_buffer_RNO_11)) + (portRef D (instanceRef current_b1_buffer_11)) )) - (net fifo_data_out_5 (joined - (portRef fifo_data_out_5) - (portRef A (instanceRef current_b2_buffer_RNO_5)) - (portRef D (instanceRef current_b1_buffer_5)) + (net fifo_data_out_8 (joined + (portRef fifo_data_out_8) + (portRef A (instanceRef current_b2_buffer_RNO_8)) + (portRef D (instanceRef current_b1_buffer_8)) )) (net fifo_data_out_4 (joined (portRef fifo_data_out_4) @@ -10198,6 +10199,11 @@ (portRef A (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_b1_buffer_1)) )) + (net fifo_data_out_0 (joined + (portRef fifo_data_out_0) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) + )) (net current_buffer_state_0 (joined (portRef Q (instanceRef current_buffer_state_0)) (portRef C (instanceRef current_buffer_state_ns_1_0__N_6_i)) @@ -10206,7 +10212,7 @@ (portRef D (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef C (instanceRef current_next_READ_OUT_RNO)) (portRef C (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef C (instanceRef current_SYN_DATAREADY_OUT_1_RNIAS2U)) + (portRef C (instanceRef current_buffer_state_RNIAS2U_0)) (portRef C (instanceRef move_b2_buffer_i)) (portRef current_buffer_state_0) )) @@ -10217,7 +10223,7 @@ (portRef C (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef A (instanceRef current_next_READ_OUT_RNO)) (portRef A (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef B (instanceRef current_SYN_DATAREADY_OUT_1_RNIAS2U)) + (portRef B (instanceRef current_buffer_state_RNIAS2U_0)) (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef A (instanceRef move_b2_buffer_i)) (portRef buf_SYN_READ_INZ0) @@ -10231,13 +10237,13 @@ (net current_SYN_DATAREADY_OUT_1 (joined (portRef A (instanceRef COMB_next_READ_OUT_f0)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_RNO)) - (portRef A (instanceRef current_SYN_DATAREADY_OUT_1_RNIAS2U)) + (portRef A (instanceRef current_buffer_state_RNIAS2U_0)) (portRef A (instanceRef COMB_next_READ_OUT_f1)) (portRef (member buf_api_stat_fifo_to_apl 0)) (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) )) (net un3_current_syn_dataready_out_i (joined - (portRef Z (instanceRef current_SYN_DATAREADY_OUT_1_RNIAS2U)) + (portRef Z (instanceRef current_buffer_state_RNIAS2U_0)) (portRef un3_current_syn_dataready_out_i) )) (net COMB_next_READ_OUT_f1_0 (joined @@ -10249,27 +10255,36 @@ (portRef Z (instanceRef COMB_next_READ_OUT_f0)) (portRef comb_next_init_read) )) + (net tmp_INT_DATA_OUT_3 (joined + (portRef (member tmp_int_data_out 0)) + (portRef C (instanceRef current_b2_buffer_RNO_3)) + (portRef D (instanceRef current_b1_buffer_3)) + )) (net current_fifo_packet_type_3 (joined (portRef (member current_fifo_packet_type 0)) + (portRef A (instanceRef combined_COMB_DATAREADY_IN_1_1)) + )) + (net fifo_data_valid (joined + (portRef fifo_data_valid) + (portRef B (instanceRef combined_COMB_DATAREADY_IN_1_1)) + )) + (net combined_COMB_DATAREADY_IN_1_1 (joined + (portRef Z (instanceRef combined_COMB_DATAREADY_IN_1_1)) + (portRef B (instanceRef combined_COMB_DATAREADY_IN_1)) + )) + (net un17_current_fifo_packet_type (joined + (portRef un17_current_fifo_packet_type) (portRef A (instanceRef combined_COMB_DATAREADY_IN_1)) )) (net init_word_waiting (joined (portRef init_word_waiting) - (portRef B (instanceRef combined_COMB_DATAREADY_IN_1)) + (portRef C (instanceRef combined_COMB_DATAREADY_IN_1)) + (portRef C (instanceRef combined_COMB_DATAREADY_IN_1_1)) )) (net sbuf_init_free (joined (portRef sbuf_init_free) - (portRef C (instanceRef combined_COMB_DATAREADY_IN_1)) - )) - (net un4_fifo_data_valid (joined - (portRef un4_fifo_data_valid) (portRef D (instanceRef combined_COMB_DATAREADY_IN_1)) )) - (net tmp_INT_DATA_OUT_3 (joined - (portRef (member tmp_int_data_out 0)) - (portRef C (instanceRef current_b2_buffer_RNO_3)) - (portRef D (instanceRef current_b1_buffer_3)) - )) ) ) ) @@ -10511,7 +10526,7 @@ (portRef buf_STAT_INIT_BUFFER_0) (portRef D (instanceRef AND2_t4_RNO)) )) - (net N_5142_i (joined + (net N_5095_i (joined (portRef Z (instanceRef AND2_t4_RNO)) (portRef A (instanceRef AND2_t4)) )) @@ -11480,8 +11495,8 @@ (port sbuf_init_free (direction INPUT)) (port init_word_waiting (direction INPUT)) (port comb_next_init_read (direction OUTPUT)) - (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port un3_current_syn_dataready_out_i (direction OUTPUT)) + (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port buf_SYN_READ_INZ0 (direction INOUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -11576,72 +11591,72 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B A))+D A)")) ) - (instance current_SYN_DATAREADY_OUT_1_RNI7S2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B+!A))")) - ) (instance COMB_next_READ_OUT_f1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) + (instance current_SYN_DATAREADY_OUT_1_RNI7S2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+!A))")) + ) (instance current_buffer_state_ns_1_0__m7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A))+D (!C (B+!A)))")) ) @@ -11735,25 +11750,25 @@ (portRef D (instanceRef current_buffer_state_ns_1_0__m7)) (portRef C (instanceRef COMB_next_READ_OUT_f1)) (portRef D (instanceRef move_b2_buffer_i)) + (portRef C (instanceRef current_b2_buffer_RNO_4)) + (portRef C (instanceRef current_b2_buffer_RNO_5)) + (portRef C (instanceRef current_b2_buffer_RNO_6)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_9)) + (portRef C (instanceRef current_b2_buffer_RNO_10)) + (portRef C (instanceRef current_b2_buffer_RNO_11)) + (portRef C (instanceRef current_b2_buffer_RNO_12)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef C (instanceRef current_b2_buffer_RNO_14)) + (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef C (instanceRef current_b2_buffer_RNO_3)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef C (instanceRef current_b2_buffer_RNO_16)) - (portRef C (instanceRef current_b2_buffer_RNO_17)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef C (instanceRef current_b2_buffer_RNO_18)) - (portRef C (instanceRef current_b2_buffer_RNO_15)) - (portRef C (instanceRef current_b2_buffer_RNO_14)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_12)) - (portRef C (instanceRef current_b2_buffer_RNO_11)) - (portRef C (instanceRef current_b2_buffer_RNO_10)) - (portRef C (instanceRef current_b2_buffer_RNO_9)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) - (portRef C (instanceRef current_b2_buffer_RNO_6)) - (portRef C (instanceRef current_b2_buffer_RNO_5)) - (portRef C (instanceRef current_b2_buffer_RNO_4)) + (portRef C (instanceRef current_b2_buffer_RNO_17)) + (portRef C (instanceRef current_b2_buffer_RNO_16)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) (net N_193 (joined @@ -11810,7 +11825,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member buf_to_apl_init_data 12)) )) - (net N_237 (joined + (net current_b2_buffer_RNO_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -11818,7 +11833,7 @@ (portRef Q (instanceRef current_b2_buffer_4)) (portRef (member buf_to_apl_init_data 11)) )) - (net N_248 (joined + (net current_b2_buffer_RNO_5 (joined (portRef Z (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_5)) )) @@ -11826,7 +11841,7 @@ (portRef Q (instanceRef current_b2_buffer_5)) (portRef (member buf_to_apl_init_data 10)) )) - (net N_259 (joined + (net current_b2_buffer_RNO_6 (joined (portRef Z (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_6)) )) @@ -11834,7 +11849,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member buf_to_apl_init_data 9)) )) - (net N_270 (joined + (net current_b2_buffer_RNO_7 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -11842,7 +11857,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member buf_to_apl_init_data 8)) )) - (net N_281 (joined + (net current_b2_buffer_RNO_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -11850,7 +11865,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member buf_to_apl_init_data 7)) )) - (net N_292 (joined + (net current_b2_buffer_RNO_9 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -11858,7 +11873,7 @@ (portRef Q (instanceRef current_b2_buffer_9)) (portRef (member buf_to_apl_init_data 6)) )) - (net N_303 (joined + (net current_b2_buffer_RNO_10 (joined (portRef Z (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b2_buffer_10)) )) @@ -11866,7 +11881,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member buf_to_apl_init_data 5)) )) - (net N_314 (joined + (net current_b2_buffer_RNO_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -11874,7 +11889,7 @@ (portRef Q (instanceRef current_b2_buffer_11)) (portRef (member buf_to_apl_init_data 4)) )) - (net N_325 (joined + (net current_b2_buffer_RNO_12 (joined (portRef Z (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_12)) )) @@ -11882,7 +11897,7 @@ (portRef Q (instanceRef current_b2_buffer_12)) (portRef (member buf_to_apl_init_data 3)) )) - (net N_336 (joined + (net current_b2_buffer_RNO_13 (joined (portRef Z (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_13)) )) @@ -11890,7 +11905,7 @@ (portRef Q (instanceRef current_b2_buffer_13)) (portRef (member buf_to_apl_init_data 2)) )) - (net N_347 (joined + (net current_b2_buffer_RNO_14 (joined (portRef Z (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_14)) )) @@ -11898,7 +11913,7 @@ (portRef Q (instanceRef current_b2_buffer_14)) (portRef (member buf_to_apl_init_data 1)) )) - (net N_358 (joined + (net current_b2_buffer_RNO_15 (joined (portRef Z (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b2_buffer_15)) )) @@ -12049,100 +12064,100 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) - (net fifo_data_out_4 (joined - (portRef (member fifo_data_out 11)) - (portRef A (instanceRef current_b2_buffer_RNO_4)) - (portRef D (instanceRef current_b1_buffer_4)) + (net fifo_long_packet_num_out_0 (joined + (portRef (member fifo_long_packet_num_out 2)) + (portRef A (instanceRef current_b2_buffer_RNO_16)) + (portRef D (instanceRef current_b1_buffer_16)) )) - (net fifo_data_out_5 (joined - (portRef (member fifo_data_out 10)) - (portRef A (instanceRef current_b2_buffer_RNO_5)) - (portRef D (instanceRef current_b1_buffer_5)) + (net fifo_long_packet_num_out_1 (joined + (portRef (member fifo_long_packet_num_out 1)) + (portRef A (instanceRef current_b2_buffer_RNO_17)) + (portRef D (instanceRef current_b1_buffer_17)) )) - (net fifo_data_out_6 (joined - (portRef (member fifo_data_out 9)) - (portRef A (instanceRef current_b2_buffer_RNO_6)) - (portRef D (instanceRef current_b1_buffer_6)) + (net fifo_long_packet_num_out_2 (joined + (portRef (member fifo_long_packet_num_out 0)) + (portRef A (instanceRef current_b2_buffer_RNO_18)) + (portRef D (instanceRef current_b1_buffer_18)) )) - (net fifo_data_out_7 (joined - (portRef (member fifo_data_out 8)) - (portRef A (instanceRef current_b2_buffer_RNO_7)) - (portRef D (instanceRef current_b1_buffer_7)) + (net fifo_data_out_0 (joined + (portRef (member fifo_data_out 15)) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) )) - (net fifo_data_out_8 (joined - (portRef (member fifo_data_out 7)) - (portRef A (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b1_buffer_8)) + (net fifo_data_out_1 (joined + (portRef (member fifo_data_out 14)) + (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef D (instanceRef current_b1_buffer_1)) )) - (net fifo_data_out_9 (joined - (portRef (member fifo_data_out 6)) - (portRef A (instanceRef current_b2_buffer_RNO_9)) - (portRef D (instanceRef current_b1_buffer_9)) + (net fifo_data_out_2 (joined + (portRef (member fifo_data_out 13)) + (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) )) - (net fifo_data_out_10 (joined - (portRef (member fifo_data_out 5)) - (portRef A (instanceRef current_b2_buffer_RNO_10)) - (portRef D (instanceRef current_b1_buffer_10)) + (net fifo_data_out_3 (joined + (portRef (member fifo_data_out 12)) + (portRef A (instanceRef current_b2_buffer_RNO_3)) + (portRef D (instanceRef current_b1_buffer_3)) )) - (net fifo_data_out_11 (joined - (portRef (member fifo_data_out 4)) - (portRef A (instanceRef current_b2_buffer_RNO_11)) - (portRef D (instanceRef current_b1_buffer_11)) + (net fifo_data_out_15 (joined + (portRef (member fifo_data_out 0)) + (portRef A (instanceRef current_b2_buffer_RNO_15)) + (portRef D (instanceRef current_b1_buffer_15)) )) - (net fifo_data_out_12 (joined - (portRef (member fifo_data_out 3)) - (portRef A (instanceRef current_b2_buffer_RNO_12)) - (portRef D (instanceRef current_b1_buffer_12)) + (net fifo_data_out_14 (joined + (portRef (member fifo_data_out 1)) + (portRef A (instanceRef current_b2_buffer_RNO_14)) + (portRef D (instanceRef current_b1_buffer_14)) )) (net fifo_data_out_13 (joined (portRef (member fifo_data_out 2)) (portRef A (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b1_buffer_13)) )) - (net fifo_data_out_14 (joined - (portRef (member fifo_data_out 1)) - (portRef A (instanceRef current_b2_buffer_RNO_14)) - (portRef D (instanceRef current_b1_buffer_14)) + (net fifo_data_out_12 (joined + (portRef (member fifo_data_out 3)) + (portRef A (instanceRef current_b2_buffer_RNO_12)) + (portRef D (instanceRef current_b1_buffer_12)) )) - (net fifo_data_out_15 (joined - (portRef (member fifo_data_out 0)) - (portRef A (instanceRef current_b2_buffer_RNO_15)) - (portRef D (instanceRef current_b1_buffer_15)) + (net fifo_data_out_11 (joined + (portRef (member fifo_data_out 4)) + (portRef A (instanceRef current_b2_buffer_RNO_11)) + (portRef D (instanceRef current_b1_buffer_11)) )) - (net fifo_long_packet_num_out_2 (joined - (portRef (member fifo_long_packet_num_out 0)) - (portRef A (instanceRef current_b2_buffer_RNO_18)) - (portRef D (instanceRef current_b1_buffer_18)) + (net fifo_data_out_10 (joined + (portRef (member fifo_data_out 5)) + (portRef A (instanceRef current_b2_buffer_RNO_10)) + (portRef D (instanceRef current_b1_buffer_10)) )) - (net fifo_long_packet_num_out_1 (joined - (portRef (member fifo_long_packet_num_out 1)) - (portRef A (instanceRef current_b2_buffer_RNO_17)) - (portRef D (instanceRef current_b1_buffer_17)) + (net fifo_data_out_9 (joined + (portRef (member fifo_data_out 6)) + (portRef A (instanceRef current_b2_buffer_RNO_9)) + (portRef D (instanceRef current_b1_buffer_9)) )) - (net fifo_long_packet_num_out_0 (joined - (portRef (member fifo_long_packet_num_out 2)) - (portRef A (instanceRef current_b2_buffer_RNO_16)) - (portRef D (instanceRef current_b1_buffer_16)) + (net fifo_data_out_8 (joined + (portRef (member fifo_data_out 7)) + (portRef A (instanceRef current_b2_buffer_RNO_8)) + (portRef D (instanceRef current_b1_buffer_8)) )) - (net fifo_data_out_2 (joined - (portRef (member fifo_data_out 13)) - (portRef A (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) + (net fifo_data_out_7 (joined + (portRef (member fifo_data_out 8)) + (portRef A (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b1_buffer_7)) )) - (net fifo_data_out_1 (joined - (portRef (member fifo_data_out 14)) - (portRef A (instanceRef current_b2_buffer_RNO_1)) - (portRef D (instanceRef current_b1_buffer_1)) + (net fifo_data_out_6 (joined + (portRef (member fifo_data_out 9)) + (portRef A (instanceRef current_b2_buffer_RNO_6)) + (portRef D (instanceRef current_b1_buffer_6)) )) - (net fifo_data_out_0 (joined - (portRef (member fifo_data_out 15)) - (portRef A (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) + (net fifo_data_out_5 (joined + (portRef (member fifo_data_out 10)) + (portRef A (instanceRef current_b2_buffer_RNO_5)) + (portRef D (instanceRef current_b1_buffer_5)) )) - (net fifo_data_out_3 (joined - (portRef (member fifo_data_out 12)) - (portRef A (instanceRef current_b2_buffer_RNO_3)) - (portRef D (instanceRef current_b1_buffer_3)) + (net fifo_data_out_4 (joined + (portRef (member fifo_data_out 11)) + (portRef A (instanceRef current_b2_buffer_RNO_4)) + (portRef D (instanceRef current_b1_buffer_4)) )) (net current_buffer_state_0 (joined (portRef Q (instanceRef current_buffer_state_0)) @@ -12164,28 +12179,28 @@ (portRef C (instanceRef current_SYN_DATAREADY_OUT_RNO)) (portRef A (instanceRef current_next_READ_OUT_RNO)) (portRef A (instanceRef current_buffer_state_ns_1_0__m7)) - (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_1_RNI7S2U)) + (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef A (instanceRef move_b2_buffer_i)) (portRef VCC) )) - (net un3_current_syn_dataready_out_i (joined - (portRef Z (instanceRef current_SYN_DATAREADY_OUT_1_RNI7S2U)) - (portRef un3_current_syn_dataready_out_i) - )) + (net current_next_READ_OUT_1 (joined + (portRef D (instanceRef COMB_next_READ_OUT_f1)) + (portRef Q (instanceRef current_next_READ_OUT)) + ) + ) (net current_SYN_DATAREADY_OUT_1 (joined (portRef A (instanceRef COMB_next_READ_OUT_f0)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_RNO)) - (portRef A (instanceRef COMB_next_READ_OUT_f1)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_1_RNI7S2U)) + (portRef A (instanceRef COMB_next_READ_OUT_f1)) (portRef (member buf_to_apl_init_dataready 0)) (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) )) - (net current_next_READ_OUT_1 (joined - (portRef D (instanceRef COMB_next_READ_OUT_f1)) - (portRef Q (instanceRef current_next_READ_OUT)) - ) - ) + (net un3_current_syn_dataready_out_i (joined + (portRef Z (instanceRef current_SYN_DATAREADY_OUT_1_RNI7S2U)) + (portRef un3_current_syn_dataready_out_i) + )) (net COMB_next_READ_OUT_f1_0 (joined (portRef Z (instanceRef COMB_next_READ_OUT_f1)) (portRef B (instanceRef COMB_next_READ_OUT_f0)) @@ -12879,7 +12894,6 @@ )) (net reset_no_link_4 (joined (portRef reset_no_link_4) - (portRef CD (instanceRef FF_9)) (portRef RSTB (instanceRef pdp_ram_0_0_0)) (portRef RSTA (instanceRef pdp_ram_0_0_0)) )) @@ -13032,6 +13046,7 @@ (portRef CD (instanceRef FF_6)) (portRef CD (instanceRef FF_7)) (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) (portRef CD (instanceRef FF_10)) (portRef CD (instanceRef FF_11)) (portRef CD (instanceRef FF_13)) @@ -13419,7 +13434,7 @@ (port (array (rename length_buffer_data_in "length_buffer_data_in(17:0)") 18) (direction INPUT)) (port (array (rename length_buffer_empty "length_buffer_empty(0:0)") 1) (direction OUTPUT)) (port (array (rename length_buffer_full "length_buffer_full(0:0)") 1) (direction OUTPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -14335,8 +14350,8 @@ (portRef NC0 (instanceRef bdcnt_bctr_0)) (portRef D (instanceRef FF_62)) )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -15036,7 +15051,7 @@ (port (array (rename trg_code_i "trg_code_i(7:0)") 8) (direction INPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction INPUT)) (port header_buffer_almost_full (direction OUTPUT)) - (port reset_ipu_i_0_i (direction INPUT)) + (port reset_ipu_i_0_i_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port tmg_trg_error_i (direction INPUT)) @@ -16006,8 +16021,8 @@ (portRef NC0 (instanceRef bdcnt_bctr_0)) (portRef D (instanceRef FF_62)) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -16699,20 +16714,16 @@ (port m177_2_03_2_i_4 (direction OUTPUT)) (port m176_2_03_2_i_4 (direction OUTPUT)) (port fee_almost_full_i (direction OUTPUT)) - (port reset_ipu_i_0_i (direction INPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) (port reset_ipu_i_0_i_1 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) + (port reset_ipu_i_0_i_1_1 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port m129_2_03_4_i_4 (direction INPUT)) (port GND (direction INPUT)) - (port data_counter_0 (direction INPUT)) - (port fee_data_write_i (direction INPUT)) + (port m129_2_03_4_i_4 (direction INPUT)) + (port N_277 (direction INPUT)) ) (contents - (instance AND2_t5_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) (instance AND2_t5 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) (instance INV_5 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) @@ -17402,13 +17413,220 @@ (instance e_cmp_2 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_1 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_0 (viewRef syn_black_box (cellRef ALEB2)) ) - (net fee_data_write_i (joined - (portRef fee_data_write_i) - (portRef A (instanceRef AND2_t5_RNO)) + (net N_277 (joined + (portRef N_277) + (portRef A (instanceRef AND2_t5)) )) - (net data_counter_0 (joined - (portRef data_counter_0) - (portRef B (instanceRef AND2_t5_RNO)) + (net invout_2 (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)) + )) + (net wren_i (joined + (portRef Z (instanceRef AND2_t5)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_4)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef g_cmp_5)) + (portRef B0 (instanceRef g_cmp_5)) + (portRef B0 (instanceRef g_cmp_6)) + (portRef B1 (instanceRef af_set_cmp_ci_a)) + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_63)) + (portRef SP (instanceRef FF_64)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_68)) + (portRef SP (instanceRef FF_69)) + (portRef SP (instanceRef FF_70)) + (portRef SP (instanceRef FF_71)) + (portRef SP (instanceRef FF_72)) + (portRef SP (instanceRef FF_73)) + (portRef SP (instanceRef FF_74)) + (portRef OCEA (instanceRef pdp_ram_3_3_0)) + (portRef CEA (instanceRef pdp_ram_3_3_0)) + (portRef OCEA (instanceRef pdp_ram_3_2_1)) + (portRef CEA (instanceRef pdp_ram_3_2_1)) + (portRef OCEA (instanceRef pdp_ram_3_1_2)) + (portRef CEA (instanceRef pdp_ram_3_1_2)) + (portRef OCEA (instanceRef pdp_ram_3_0_3)) + (portRef CEA (instanceRef pdp_ram_3_0_3)) + (portRef OCEA (instanceRef pdp_ram_2_3_4)) + (portRef CEA (instanceRef pdp_ram_2_3_4)) + (portRef OCEA (instanceRef pdp_ram_2_2_5)) + (portRef CEA (instanceRef pdp_ram_2_2_5)) + (portRef OCEA (instanceRef pdp_ram_2_1_6)) + (portRef CEA (instanceRef pdp_ram_2_1_6)) + (portRef OCEA (instanceRef pdp_ram_2_0_7)) + (portRef CEA (instanceRef pdp_ram_2_0_7)) + (portRef OCEA (instanceRef pdp_ram_1_3_8)) + (portRef CEA (instanceRef pdp_ram_1_3_8)) + (portRef OCEA (instanceRef pdp_ram_1_2_9)) + (portRef CEA (instanceRef pdp_ram_1_2_9)) + (portRef OCEA (instanceRef pdp_ram_1_1_10)) + (portRef CEA (instanceRef pdp_ram_1_1_10)) + (portRef OCEA (instanceRef pdp_ram_1_0_11)) + (portRef CEA (instanceRef pdp_ram_1_0_11)) + (portRef OCEA (instanceRef pdp_ram_0_3_12)) + (portRef CEA (instanceRef pdp_ram_0_3_12)) + (portRef OCEA (instanceRef pdp_ram_0_2_13)) + (portRef CEA (instanceRef pdp_ram_0_2_13)) + (portRef OCEA (instanceRef pdp_ram_0_1_14)) + (portRef CEA (instanceRef pdp_ram_0_1_14)) + (portRef OCEA (instanceRef pdp_ram_0_0_15)) + (portRef CEA (instanceRef pdp_ram_0_0_15)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_2)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef AND2_t3)) + )) + (net m129_2_03_4_i_4 (joined + (portRef m129_2_03_4_i_4) + (portRef A (instanceRef AND2_t4)) + )) + (net invout_1 (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)) + )) + (net rden_i (joined + (portRef Z (instanceRef AND2_t4)) + (portRef B0 (instanceRef e_cmp_0)) + (portRef SP (instanceRef FF_15)) + (portRef SP (instanceRef FF_16)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_21)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_60)) + (portRef CEB (instanceRef pdp_ram_3_3_0)) + (portRef CEB (instanceRef pdp_ram_3_2_1)) + (portRef CEB (instanceRef pdp_ram_3_1_2)) + (portRef CEB (instanceRef pdp_ram_3_0_3)) + (portRef CEB (instanceRef pdp_ram_2_3_4)) + (portRef CEB (instanceRef pdp_ram_2_2_5)) + (portRef CEB (instanceRef pdp_ram_2_1_6)) + (portRef CEB (instanceRef pdp_ram_2_0_7)) + (portRef CEB (instanceRef pdp_ram_1_3_8)) + (portRef CEB (instanceRef pdp_ram_1_2_9)) + (portRef CEB (instanceRef pdp_ram_1_1_10)) + (portRef CEB (instanceRef pdp_ram_1_0_11)) + (portRef CEB (instanceRef pdp_ram_0_3_12)) + (portRef CEB (instanceRef pdp_ram_0_2_13)) + (portRef CEB (instanceRef pdp_ram_0_1_14)) + (portRef CEB (instanceRef pdp_ram_0_0_15)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef INV_3)) + (portRef B (instanceRef XOR2_t2)) + )) + (net rden_i_inv (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)) + )) + (net cnt_con (joined + (portRef Z (instanceRef AND2_t3)) + (portRef A0 (instanceRef wcnt_0)) + (portRef CON (instanceRef bdcnt_bctr_6)) + (portRef CON (instanceRef bdcnt_bctr_5)) + (portRef CON (instanceRef bdcnt_bctr_4)) + (portRef CON (instanceRef bdcnt_bctr_3)) + (portRef CON (instanceRef bdcnt_bctr_2)) + (portRef CON (instanceRef bdcnt_bctr_1)) + (portRef CON (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef A (instanceRef INV_0)) + )) + (net fcnt_en (joined + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_77)) + (portRef SP (instanceRef FF_78)) + (portRef SP (instanceRef FF_79)) + (portRef SP (instanceRef FF_80)) + (portRef SP (instanceRef FF_81)) + (portRef SP (instanceRef FF_82)) + (portRef SP (instanceRef FF_83)) + (portRef SP (instanceRef FF_84)) + (portRef SP (instanceRef FF_85)) + (portRef SP (instanceRef FF_86)) + (portRef SP (instanceRef FF_87)) + (portRef SP (instanceRef FF_88)) + (portRef SP (instanceRef FF_89)) + (portRef SP (instanceRef FF_90)) + )) + (net wren_i_inv (joined + (portRef Z (instanceRef INV_2)) + (portRef B1 (instanceRef g_cmp_6)) + )) + (net ipu_data_empty_0 (joined + (portRef Q (instanceRef FF_76)) + (portRef AD0 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_4)) + (portRef (member ipu_data_empty 0)) + )) + (net cmp_le_1 (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)) + )) + (net empty_d (joined + (portRef DO0 (instanceRef LUT4_1)) + (portRef D (instanceRef FF_76)) + )) + (net data_buffer_full_0 (joined + (portRef Q (instanceRef FF_75)) + (portRef AD0 (instanceRef LUT4_0)) + (portRef A (instanceRef INV_5)) + (portRef (member data_buffer_full 0)) + )) + (net cmp_ge_d1 (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)) )) (net GND (joined (portRef GND) @@ -18046,221 +18264,6 @@ (portRef AD3 (instanceRef LUT4_0)) (portRef AD3 (instanceRef LUT4_1)) )) - (net m128_0_03_1_1_i (joined - (portRef Z (instanceRef AND2_t5_RNO)) - (portRef A (instanceRef AND2_t5)) - )) - (net invout_2 (joined - (portRef Z (instanceRef INV_5)) - (portRef B (instanceRef AND2_t5)) - )) - (net wren_i (joined - (portRef Z (instanceRef AND2_t5)) - (portRef B1 (instanceRef g_cmp_0)) - (portRef B0 (instanceRef g_cmp_0)) - (portRef B1 (instanceRef g_cmp_1)) - (portRef B0 (instanceRef g_cmp_1)) - (portRef B1 (instanceRef g_cmp_2)) - (portRef B0 (instanceRef g_cmp_2)) - (portRef B1 (instanceRef g_cmp_3)) - (portRef B0 (instanceRef g_cmp_3)) - (portRef B1 (instanceRef g_cmp_4)) - (portRef B0 (instanceRef g_cmp_4)) - (portRef B1 (instanceRef g_cmp_5)) - (portRef B0 (instanceRef g_cmp_5)) - (portRef B0 (instanceRef g_cmp_6)) - (portRef B1 (instanceRef af_set_cmp_ci_a)) - (portRef A1 (instanceRef af_set_cmp_ci_a)) - (portRef SP (instanceRef FF_34)) - (portRef SP (instanceRef FF_35)) - (portRef SP (instanceRef FF_36)) - (portRef SP (instanceRef FF_37)) - (portRef SP (instanceRef FF_38)) - (portRef SP (instanceRef FF_39)) - (portRef SP (instanceRef FF_40)) - (portRef SP (instanceRef FF_41)) - (portRef SP (instanceRef FF_42)) - (portRef SP (instanceRef FF_43)) - (portRef SP (instanceRef FF_44)) - (portRef SP (instanceRef FF_45)) - (portRef SP (instanceRef FF_46)) - (portRef SP (instanceRef FF_61)) - (portRef SP (instanceRef FF_62)) - (portRef SP (instanceRef FF_63)) - (portRef SP (instanceRef FF_64)) - (portRef SP (instanceRef FF_65)) - (portRef SP (instanceRef FF_66)) - (portRef SP (instanceRef FF_67)) - (portRef SP (instanceRef FF_68)) - (portRef SP (instanceRef FF_69)) - (portRef SP (instanceRef FF_70)) - (portRef SP (instanceRef FF_71)) - (portRef SP (instanceRef FF_72)) - (portRef SP (instanceRef FF_73)) - (portRef SP (instanceRef FF_74)) - (portRef OCEA (instanceRef pdp_ram_3_3_0)) - (portRef CEA (instanceRef pdp_ram_3_3_0)) - (portRef OCEA (instanceRef pdp_ram_3_2_1)) - (portRef CEA (instanceRef pdp_ram_3_2_1)) - (portRef OCEA (instanceRef pdp_ram_3_1_2)) - (portRef CEA (instanceRef pdp_ram_3_1_2)) - (portRef OCEA (instanceRef pdp_ram_3_0_3)) - (portRef CEA (instanceRef pdp_ram_3_0_3)) - (portRef OCEA (instanceRef pdp_ram_2_3_4)) - (portRef CEA (instanceRef pdp_ram_2_3_4)) - (portRef OCEA (instanceRef pdp_ram_2_2_5)) - (portRef CEA (instanceRef pdp_ram_2_2_5)) - (portRef OCEA (instanceRef pdp_ram_2_1_6)) - (portRef CEA (instanceRef pdp_ram_2_1_6)) - (portRef OCEA (instanceRef pdp_ram_2_0_7)) - (portRef CEA (instanceRef pdp_ram_2_0_7)) - (portRef OCEA (instanceRef pdp_ram_1_3_8)) - (portRef CEA (instanceRef pdp_ram_1_3_8)) - (portRef OCEA (instanceRef pdp_ram_1_2_9)) - (portRef CEA (instanceRef pdp_ram_1_2_9)) - (portRef OCEA (instanceRef pdp_ram_1_1_10)) - (portRef CEA (instanceRef pdp_ram_1_1_10)) - (portRef OCEA (instanceRef pdp_ram_1_0_11)) - (portRef CEA (instanceRef pdp_ram_1_0_11)) - (portRef OCEA (instanceRef pdp_ram_0_3_12)) - (portRef CEA (instanceRef pdp_ram_0_3_12)) - (portRef OCEA (instanceRef pdp_ram_0_2_13)) - (portRef CEA (instanceRef pdp_ram_0_2_13)) - (portRef OCEA (instanceRef pdp_ram_0_1_14)) - (portRef CEA (instanceRef pdp_ram_0_1_14)) - (portRef OCEA (instanceRef pdp_ram_0_0_15)) - (portRef CEA (instanceRef pdp_ram_0_0_15)) - (portRef AD1 (instanceRef LUT4_1)) - (portRef A (instanceRef INV_2)) - (portRef A (instanceRef XOR2_t2)) - (portRef A (instanceRef AND2_t3)) - )) - (net m129_2_03_4_i_4 (joined - (portRef m129_2_03_4_i_4) - (portRef A (instanceRef AND2_t4)) - )) - (net invout_1 (joined - (portRef Z (instanceRef INV_4)) - (portRef B (instanceRef AND2_t4)) - )) - (net rden_i (joined - (portRef Z (instanceRef AND2_t4)) - (portRef B0 (instanceRef e_cmp_0)) - (portRef SP (instanceRef FF_15)) - (portRef SP (instanceRef FF_16)) - (portRef SP (instanceRef FF_17)) - (portRef SP (instanceRef FF_18)) - (portRef SP (instanceRef FF_19)) - (portRef SP (instanceRef FF_20)) - (portRef SP (instanceRef FF_21)) - (portRef SP (instanceRef FF_22)) - (portRef SP (instanceRef FF_23)) - (portRef SP (instanceRef FF_24)) - (portRef SP (instanceRef FF_25)) - (portRef SP (instanceRef FF_26)) - (portRef SP (instanceRef FF_27)) - (portRef SP (instanceRef FF_28)) - (portRef SP (instanceRef FF_29)) - (portRef SP (instanceRef FF_30)) - (portRef SP (instanceRef FF_31)) - (portRef SP (instanceRef FF_32)) - (portRef SP (instanceRef FF_47)) - (portRef SP (instanceRef FF_48)) - (portRef SP (instanceRef FF_49)) - (portRef SP (instanceRef FF_50)) - (portRef SP (instanceRef FF_51)) - (portRef SP (instanceRef FF_52)) - (portRef SP (instanceRef FF_53)) - (portRef SP (instanceRef FF_54)) - (portRef SP (instanceRef FF_55)) - (portRef SP (instanceRef FF_56)) - (portRef SP (instanceRef FF_57)) - (portRef SP (instanceRef FF_58)) - (portRef SP (instanceRef FF_59)) - (portRef SP (instanceRef FF_60)) - (portRef CEB (instanceRef pdp_ram_3_3_0)) - (portRef CEB (instanceRef pdp_ram_3_2_1)) - (portRef CEB (instanceRef pdp_ram_3_1_2)) - (portRef CEB (instanceRef pdp_ram_3_0_3)) - (portRef CEB (instanceRef pdp_ram_2_3_4)) - (portRef CEB (instanceRef pdp_ram_2_2_5)) - (portRef CEB (instanceRef pdp_ram_2_1_6)) - (portRef CEB (instanceRef pdp_ram_2_0_7)) - (portRef CEB (instanceRef pdp_ram_1_3_8)) - (portRef CEB (instanceRef pdp_ram_1_2_9)) - (portRef CEB (instanceRef pdp_ram_1_1_10)) - (portRef CEB (instanceRef pdp_ram_1_0_11)) - (portRef CEB (instanceRef pdp_ram_0_3_12)) - (portRef CEB (instanceRef pdp_ram_0_2_13)) - (portRef CEB (instanceRef pdp_ram_0_1_14)) - (portRef CEB (instanceRef pdp_ram_0_0_15)) - (portRef AD1 (instanceRef LUT4_0)) - (portRef A (instanceRef INV_3)) - (portRef B (instanceRef XOR2_t2)) - )) - (net rden_i_inv (joined - (portRef Z (instanceRef INV_3)) - (portRef B (instanceRef AND2_t3)) - )) - (net cnt_con (joined - (portRef Z (instanceRef AND2_t3)) - (portRef A0 (instanceRef wcnt_0)) - (portRef CON (instanceRef bdcnt_bctr_6)) - (portRef CON (instanceRef bdcnt_bctr_5)) - (portRef CON (instanceRef bdcnt_bctr_4)) - (portRef CON (instanceRef bdcnt_bctr_3)) - (portRef CON (instanceRef bdcnt_bctr_2)) - (portRef CON (instanceRef bdcnt_bctr_1)) - (portRef CON (instanceRef bdcnt_bctr_0)) - (portRef B1 (instanceRef bdcnt_bctr_cia)) - (portRef A1 (instanceRef bdcnt_bctr_cia)) - (portRef A (instanceRef INV_0)) - )) - (net fcnt_en (joined - (portRef Z (instanceRef XOR2_t2)) - (portRef SP (instanceRef FF_77)) - (portRef SP (instanceRef FF_78)) - (portRef SP (instanceRef FF_79)) - (portRef SP (instanceRef FF_80)) - (portRef SP (instanceRef FF_81)) - (portRef SP (instanceRef FF_82)) - (portRef SP (instanceRef FF_83)) - (portRef SP (instanceRef FF_84)) - (portRef SP (instanceRef FF_85)) - (portRef SP (instanceRef FF_86)) - (portRef SP (instanceRef FF_87)) - (portRef SP (instanceRef FF_88)) - (portRef SP (instanceRef FF_89)) - (portRef SP (instanceRef FF_90)) - )) - (net wren_i_inv (joined - (portRef Z (instanceRef INV_2)) - (portRef B1 (instanceRef g_cmp_6)) - )) - (net ipu_data_empty_0 (joined - (portRef Q (instanceRef FF_76)) - (portRef AD0 (instanceRef LUT4_1)) - (portRef A (instanceRef INV_4)) - (portRef (member ipu_data_empty 0)) - )) - (net cmp_le_1 (joined - (portRef S0 (instanceRef a0)) - (portRef AD2 (instanceRef LUT4_1)) - )) - (net empty_d (joined - (portRef DO0 (instanceRef LUT4_1)) - (portRef D (instanceRef FF_76)) - )) - (net data_buffer_full_0 (joined - (portRef Q (instanceRef FF_75)) - (portRef AD0 (instanceRef LUT4_0)) - (portRef A (instanceRef INV_5)) - (portRef (member data_buffer_full 0)) - )) - (net cmp_ge_d1 (joined - (portRef S0 (instanceRef a1)) - (portRef AD2 (instanceRef LUT4_0)) - )) (net full_d (joined (portRef DO0 (instanceRef LUT4_0)) (portRef D (instanceRef FF_75)) @@ -18969,8 +18972,8 @@ (portRef CSB1 (instanceRef pdp_ram_0_1_14)) (portRef CSB1 (instanceRef pdp_ram_0_0_15)) )) - (net reset_ipu_i_0_i_1 (joined - (portRef reset_ipu_i_0_i_1) + (net reset_ipu_i_0_i_1_1 (joined + (portRef reset_ipu_i_0_i_1_1) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) (portRef CD (instanceRef FF_5)) @@ -18978,6 +18981,12 @@ (portRef CD (instanceRef FF_7)) (portRef CD (instanceRef FF_8)) (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) (portRef CD (instanceRef FF_30)) (portRef CD (instanceRef FF_31)) (portRef CD (instanceRef FF_32)) @@ -19038,9 +19047,6 @@ (portRef CD (instanceRef FF_88)) (portRef CD (instanceRef FF_89)) (portRef CD (instanceRef FF_90)) - (portRef RSTB (instanceRef pdp_ram_1_2_9)) - (portRef RSTA (instanceRef pdp_ram_1_2_9)) - (portRef RSTB (instanceRef pdp_ram_1_1_10)) (portRef RSTA (instanceRef pdp_ram_1_1_10)) (portRef RSTB (instanceRef pdp_ram_1_0_11)) (portRef RSTA (instanceRef pdp_ram_1_0_11)) @@ -19944,6 +19950,30 @@ (portRef DIA8 (instanceRef pdp_ram_1_2_9)) (portRef DIA8 (instanceRef pdp_ram_0_2_13)) )) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) + (portRef RSTB (instanceRef pdp_ram_3_3_0)) + (portRef RSTA (instanceRef pdp_ram_3_3_0)) + (portRef RSTB (instanceRef pdp_ram_3_2_1)) + (portRef RSTA (instanceRef pdp_ram_3_2_1)) + (portRef RSTB (instanceRef pdp_ram_3_1_2)) + (portRef RSTA (instanceRef pdp_ram_3_1_2)) + (portRef RSTB (instanceRef pdp_ram_3_0_3)) + (portRef RSTA (instanceRef pdp_ram_3_0_3)) + (portRef RSTB (instanceRef pdp_ram_2_3_4)) + (portRef RSTA (instanceRef pdp_ram_2_3_4)) + (portRef RSTB (instanceRef pdp_ram_2_2_5)) + (portRef RSTA (instanceRef pdp_ram_2_2_5)) + (portRef RSTB (instanceRef pdp_ram_2_1_6)) + (portRef RSTA (instanceRef pdp_ram_2_1_6)) + (portRef RSTB (instanceRef pdp_ram_2_0_7)) + (portRef RSTA (instanceRef pdp_ram_2_0_7)) + (portRef RSTB (instanceRef pdp_ram_1_3_8)) + (portRef RSTA (instanceRef pdp_ram_1_3_8)) + (portRef RSTB (instanceRef pdp_ram_1_2_9)) + (portRef RSTA (instanceRef pdp_ram_1_2_9)) + (portRef RSTB (instanceRef pdp_ram_1_1_10)) + )) (net pdp_ram_1_2_9_DOA0 (joined (portRef DOA0 (instanceRef pdp_ram_1_2_9)) )) @@ -20124,27 +20154,6 @@ (portRef DIA8 (instanceRef pdp_ram_1_3_8)) (portRef DIA8 (instanceRef pdp_ram_0_3_12)) )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) - (portRef RSTB (instanceRef pdp_ram_3_3_0)) - (portRef RSTA (instanceRef pdp_ram_3_3_0)) - (portRef RSTB (instanceRef pdp_ram_3_2_1)) - (portRef RSTA (instanceRef pdp_ram_3_2_1)) - (portRef RSTB (instanceRef pdp_ram_3_1_2)) - (portRef RSTA (instanceRef pdp_ram_3_1_2)) - (portRef RSTB (instanceRef pdp_ram_3_0_3)) - (portRef RSTA (instanceRef pdp_ram_3_0_3)) - (portRef RSTB (instanceRef pdp_ram_2_3_4)) - (portRef RSTA (instanceRef pdp_ram_2_3_4)) - (portRef RSTB (instanceRef pdp_ram_2_2_5)) - (portRef RSTA (instanceRef pdp_ram_2_2_5)) - (portRef RSTB (instanceRef pdp_ram_2_1_6)) - (portRef RSTA (instanceRef pdp_ram_2_1_6)) - (portRef RSTB (instanceRef pdp_ram_2_0_7)) - (portRef RSTA (instanceRef pdp_ram_2_0_7)) - (portRef RSTB (instanceRef pdp_ram_1_3_8)) - (portRef RSTA (instanceRef pdp_ram_1_3_8)) - )) (net pdp_ram_1_3_8_DOA0 (joined (portRef DOA0 (instanceRef pdp_ram_1_3_8)) )) @@ -21514,8 +21523,8 @@ (portRef PC1 (instanceRef r_ctr_6)) (portRef D (instanceRef FF_19)) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -21529,12 +21538,6 @@ (portRef CD (instanceRef FF_21)) (portRef CD (instanceRef FF_22)) (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) )) (net rptr_11_ff (joined (portRef Q (instanceRef FF_18)) @@ -22272,10 +22275,10 @@ (interface (port (array (rename k_1 "k_1(1:0)") 2) (direction INPUT)) (port current_mux_buffer_0_0_18 (direction INPUT)) - (port current_mux_buffer_0_0_1 (direction INPUT)) + (port current_mux_buffer_0_0_16 (direction INPUT)) (port current_mux_buffer_0_0_0 (direction INPUT)) + (port current_mux_buffer_0_0_1 (direction INPUT)) (port current_mux_buffer_0_0_13 (direction INPUT)) - (port current_mux_buffer_0_0_16 (direction INPUT)) (port current_mux_buffer_0_0_15 (direction INPUT)) (port current_mux_buffer_0_0_6 (direction INPUT)) (port current_mux_buffer_0_0_8 (direction INPUT)) @@ -22289,30 +22292,30 @@ (port current_mux_buffer_0_0_3 (direction INPUT)) (port current_mux_buffer_0_4 (direction INPUT)) (port current_mux_buffer_0_5 (direction INPUT)) - (port current_mux_buffer_0_18 (direction INPUT)) + (port current_mux_buffer_0_0_d0 (direction INPUT)) + (port current_mux_buffer_0_9 (direction INPUT)) (port current_mux_buffer_0_16 (direction INPUT)) + (port current_mux_buffer_0_18 (direction INPUT)) (port current_mux_buffer_0_15 (direction INPUT)) (port current_mux_buffer_0_14 (direction INPUT)) (port current_mux_buffer_0_13 (direction INPUT)) (port current_mux_buffer_0_12 (direction INPUT)) (port current_mux_buffer_0_11 (direction INPUT)) (port current_mux_buffer_0_10 (direction INPUT)) - (port current_mux_buffer_0_9 (direction INPUT)) (port current_mux_buffer_0_8 (direction INPUT)) (port current_mux_buffer_0_7 (direction INPUT)) (port current_mux_buffer_0_6 (direction INPUT)) (port current_mux_buffer_0_2 (direction INPUT)) (port current_mux_buffer_0_1 (direction INPUT)) - (port current_mux_buffer_0_0_d0 (direction INPUT)) (port med_packet_num_out_2 (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) (port (array (rename med_data_out "med_data_out(15:0)") 16) (direction OUTPUT)) (port current_mux_buffer_1_4 (direction INPUT)) (port current_mux_buffer_1_18 (direction INPUT)) - (port current_mux_buffer_1_1 (direction INPUT)) + (port current_mux_buffer_1_16 (direction INPUT)) (port current_mux_buffer_1_0 (direction INPUT)) + (port current_mux_buffer_1_1 (direction INPUT)) (port current_mux_buffer_1_13 (direction INPUT)) - (port current_mux_buffer_1_16 (direction INPUT)) (port current_mux_buffer_1_15 (direction INPUT)) (port current_mux_buffer_1_6 (direction INPUT)) (port current_mux_buffer_1_8 (direction INPUT)) @@ -22327,10 +22330,10 @@ (port current_mux_buffer_1_5 (direction INPUT)) (port current_mux_buffer_2_4 (direction INPUT)) (port current_mux_buffer_2_18 (direction INPUT)) - (port current_mux_buffer_2_1 (direction INPUT)) + (port current_mux_buffer_2_16 (direction INPUT)) (port current_mux_buffer_2_0 (direction INPUT)) + (port current_mux_buffer_2_1 (direction INPUT)) (port current_mux_buffer_2_13 (direction INPUT)) - (port current_mux_buffer_2_16 (direction INPUT)) (port current_mux_buffer_2_15 (direction INPUT)) (port current_mux_buffer_2_6 (direction INPUT)) (port current_mux_buffer_2_8 (direction INPUT)) @@ -22345,11 +22348,11 @@ (port current_mux_buffer_2_5 (direction INPUT)) (port current_mux_buffer_3_4 (direction INPUT)) (port current_mux_buffer_3_18 (direction INPUT)) - (port current_mux_buffer_3_1 (direction INPUT)) - (port current_mux_buffer_3_0 (direction INPUT)) (port current_mux_buffer_3_16 (direction INPUT)) + (port current_mux_buffer_3_0 (direction INPUT)) + (port current_mux_buffer_3_1 (direction INPUT)) (port current_mux_buffer_3_5 (direction INPUT)) - (port N_538_i (direction INPUT)) + (port N_465_i (direction INPUT)) (port mux_read (direction INPUT)) (port un145_var_mux_buffer (direction INPUT)) (port un155_var_mux_buffer (direction INPUT)) @@ -22474,9 +22477,6 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (B A))")) - ) (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) @@ -22492,9 +22492,6 @@ (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (B A))")) - ) (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) @@ -22513,10 +22510,16 @@ (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) + (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (B A))")) + ) (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) - (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (B A))")) + ) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (B A))")) ) (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -22567,16 +22570,16 @@ (instance current_b1_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance current_b1_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(!B+!A)))")) - ) (instance current_b1_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) + (instance current_b1_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) (instance current_b1_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) - (instance current_b1_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b1_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) (instance current_b1_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -22686,21 +22689,21 @@ (portRef B (instanceRef current_b2_buffer_RNO_3)) (portRef B (instanceRef current_b2_buffer_RNO_4)) (portRef B (instanceRef current_b2_buffer_RNO_5)) - (portRef B (instanceRef current_b2_buffer_RNO_18)) + (portRef B (instanceRef current_b2_buffer_RNO_0)) + (portRef B (instanceRef current_b2_buffer_RNO_9)) (portRef B (instanceRef current_b2_buffer_RNO_16)) + (portRef B (instanceRef current_b2_buffer_RNO_18)) (portRef B (instanceRef current_b2_buffer_RNO_15)) (portRef B (instanceRef current_b2_buffer_RNO_14)) (portRef B (instanceRef current_b2_buffer_RNO_13)) (portRef B (instanceRef current_b2_buffer_RNO_12)) (portRef B (instanceRef current_b2_buffer_RNO_11)) (portRef B (instanceRef current_b2_buffer_RNO_10)) - (portRef B (instanceRef current_b2_buffer_RNO_9)) (portRef B (instanceRef current_b2_buffer_RNO_8)) (portRef B (instanceRef current_b2_buffer_RNO_7)) (portRef B (instanceRef current_b2_buffer_RNO_6)) (portRef B (instanceRef current_b2_buffer_RNO_2)) (portRef B (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNIBUS91)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -22708,7 +22711,7 @@ (portRef B (instanceRef COMB_next_READ_OUT_f1)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) - (net current_b2_buffer_RNO_0 (joined + (net N_191 (joined (portRef Z (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b2_buffer_0)) )) @@ -22745,7 +22748,7 @@ (portRef Q (instanceRef current_b2_buffer_1)) (portRef (member med_data_out 14)) )) - (net current_b2_buffer_RNO_2_2 (joined + (net current_b2_buffer_RNO_1_2 (joined (portRef Z (instanceRef current_b2_buffer_RNO_2)) (portRef D (instanceRef current_b2_buffer_2)) )) @@ -22753,7 +22756,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member med_data_out 13)) )) - (net current_b2_buffer_RNO_3_3 (joined + (net N_224 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -22761,7 +22764,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member med_data_out 12)) )) - (net current_b2_buffer_RNO_2_4 (joined + (net current_b2_buffer_RNO_3_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -22769,7 +22772,7 @@ (portRef Q (instanceRef current_b2_buffer_4)) (portRef (member med_data_out 11)) )) - (net current_b2_buffer_RNO_2_5 (joined + (net current_b2_buffer_RNO_1_5 (joined (portRef Z (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_5)) )) @@ -22777,7 +22780,7 @@ (portRef Q (instanceRef current_b2_buffer_5)) (portRef (member med_data_out 10)) )) - (net current_b2_buffer_RNO_3_6 (joined + (net current_b2_buffer_RNO_2_6 (joined (portRef Z (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_6)) )) @@ -22793,7 +22796,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member med_data_out 8)) )) - (net current_b2_buffer_RNO_8 (joined + (net current_b2_buffer_RNO_3_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -22801,7 +22804,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member med_data_out 7)) )) - (net current_b2_buffer_RNO_2_9 (joined + (net N_290 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -22817,7 +22820,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member med_data_out 5)) )) - (net current_b2_buffer_RNO_0_11 (joined + (net current_b2_buffer_RNO_3_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -22825,7 +22828,7 @@ (portRef Q (instanceRef current_b2_buffer_11)) (portRef (member med_data_out 4)) )) - (net current_b2_buffer_RNO_0_12 (joined + (net current_b2_buffer_RNO_2_12 (joined (portRef Z (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_12)) )) @@ -22833,7 +22836,7 @@ (portRef Q (instanceRef current_b2_buffer_12)) (portRef (member med_data_out 3)) )) - (net current_b2_buffer_RNO_0_13 (joined + (net current_b2_buffer_RNO_2_13 (joined (portRef Z (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_13)) )) @@ -22841,7 +22844,7 @@ (portRef Q (instanceRef current_b2_buffer_13)) (portRef (member med_data_out 2)) )) - (net current_b2_buffer_RNO_0_14 (joined + (net current_b2_buffer_RNO_2_14 (joined (portRef Z (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_14)) )) @@ -22849,7 +22852,7 @@ (portRef Q (instanceRef current_b2_buffer_14)) (portRef (member med_data_out 1)) )) - (net current_b2_buffer_RNO_15 (joined + (net current_b2_buffer_RNO_0_15 (joined (portRef Z (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b2_buffer_15)) )) @@ -22857,7 +22860,7 @@ (portRef Q (instanceRef current_b2_buffer_15)) (portRef (member med_data_out 0)) )) - (net current_b2_buffer_RNO_1_16 (joined + (net N_367 (joined (portRef Z (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b2_buffer_16)) )) @@ -22865,7 +22868,7 @@ (portRef Q (instanceRef current_b2_buffer_16)) (portRef med_packet_num_out_0) )) - (net N_389 (joined + (net current_b2_buffer_RNO_3_18 (joined (portRef Z (instanceRef current_b2_buffer_RNO_18)) (portRef D (instanceRef current_b2_buffer_18)) )) @@ -23064,7 +23067,7 @@ (portRef A (instanceRef current_next_READ_OUT_RNO)) (portRef A (instanceRef current_buffer_state_ns_1_0__m7)) (portRef A (instanceRef COMB_next_READ_OUT_f1)) - (portRef N_538_i) + (portRef N_465_i) ) ) (net current_next_READ_OUT_1 (joined @@ -23087,10 +23090,6 @@ (portRef Z (instanceRef COMB_next_READ_OUT_f0)) (portRef mux_next_READ) )) - (net current_mux_buffer_0_0_d0 (joined - (portRef current_mux_buffer_0_0_d0) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - )) (net current_mux_buffer_0_1 (joined (portRef current_mux_buffer_0_1) (portRef C (instanceRef current_b2_buffer_RNO_1)) @@ -23111,10 +23110,6 @@ (portRef current_mux_buffer_0_8) (portRef C (instanceRef current_b2_buffer_RNO_8)) )) - (net current_mux_buffer_0_9 (joined - (portRef current_mux_buffer_0_9) - (portRef C (instanceRef current_b2_buffer_RNO_9)) - )) (net current_mux_buffer_0_10 (joined (portRef current_mux_buffer_0_10) (portRef C (instanceRef current_b2_buffer_RNO_10)) @@ -23139,13 +23134,21 @@ (portRef current_mux_buffer_0_15) (portRef C (instanceRef current_b2_buffer_RNO_15)) )) + (net current_mux_buffer_0_18 (joined + (portRef current_mux_buffer_0_18) + (portRef C (instanceRef current_b2_buffer_RNO_18)) + )) (net current_mux_buffer_0_16 (joined (portRef current_mux_buffer_0_16) (portRef C (instanceRef current_b2_buffer_RNO_16)) )) - (net current_mux_buffer_0_18 (joined - (portRef current_mux_buffer_0_18) - (portRef C (instanceRef current_b2_buffer_RNO_18)) + (net current_mux_buffer_0_9 (joined + (portRef current_mux_buffer_0_9) + (portRef C (instanceRef current_b2_buffer_RNO_9)) + )) + (net current_mux_buffer_0_0_d0 (joined + (portRef current_mux_buffer_0_0_d0) + (portRef C (instanceRef current_b2_buffer_RNO_0)) )) (net current_mux_buffer_0_5 (joined (portRef current_mux_buffer_0_5) @@ -23327,22 +23330,6 @@ (portRef current_mux_buffer_0_0_15) (portRef C (instanceRef current_b1_buffer_RNO_15)) )) - (net current_mux_buffer_3_16 (joined - (portRef current_mux_buffer_3_16) - (portRef A (instanceRef current_b1_buffer_RNO_16)) - )) - (net current_mux_buffer_2_16 (joined - (portRef current_mux_buffer_2_16) - (portRef B (instanceRef current_b1_buffer_RNO_16)) - )) - (net current_mux_buffer_1_16 (joined - (portRef current_mux_buffer_1_16) - (portRef C (instanceRef current_b1_buffer_RNO_16)) - )) - (net current_mux_buffer_0_0_16 (joined - (portRef current_mux_buffer_0_0_16) - (portRef D (instanceRef current_b1_buffer_RNO_16)) - )) (net current_mux_buffer_2_13 (joined (portRef current_mux_buffer_2_13) (portRef A (instanceRef current_b1_buffer_RNO_13)) @@ -23355,6 +23342,22 @@ (portRef current_mux_buffer_0_0_13) (portRef C (instanceRef current_b1_buffer_RNO_13)) )) + (net current_mux_buffer_3_1 (joined + (portRef current_mux_buffer_3_1) + (portRef A (instanceRef current_b1_buffer_RNO_1)) + )) + (net current_mux_buffer_2_1 (joined + (portRef current_mux_buffer_2_1) + (portRef B (instanceRef current_b1_buffer_RNO_1)) + )) + (net current_mux_buffer_1_1 (joined + (portRef current_mux_buffer_1_1) + (portRef C (instanceRef current_b1_buffer_RNO_1)) + )) + (net current_mux_buffer_0_0_1 (joined + (portRef current_mux_buffer_0_0_1) + (portRef D (instanceRef current_b1_buffer_RNO_1)) + )) (net current_mux_buffer_3_0 (joined (portRef current_mux_buffer_3_0) (portRef A (instanceRef current_b1_buffer_RNO_0)) @@ -23371,21 +23374,21 @@ (portRef current_mux_buffer_0_0_0) (portRef D (instanceRef current_b1_buffer_RNO_0)) )) - (net current_mux_buffer_3_1 (joined - (portRef current_mux_buffer_3_1) - (portRef A (instanceRef current_b1_buffer_RNO_1)) + (net current_mux_buffer_3_16 (joined + (portRef current_mux_buffer_3_16) + (portRef A (instanceRef current_b1_buffer_RNO_16)) )) - (net current_mux_buffer_2_1 (joined - (portRef current_mux_buffer_2_1) - (portRef B (instanceRef current_b1_buffer_RNO_1)) + (net current_mux_buffer_2_16 (joined + (portRef current_mux_buffer_2_16) + (portRef B (instanceRef current_b1_buffer_RNO_16)) )) - (net current_mux_buffer_1_1 (joined - (portRef current_mux_buffer_1_1) - (portRef C (instanceRef current_b1_buffer_RNO_1)) + (net current_mux_buffer_1_16 (joined + (portRef current_mux_buffer_1_16) + (portRef C (instanceRef current_b1_buffer_RNO_16)) )) - (net current_mux_buffer_0_0_1 (joined - (portRef current_mux_buffer_0_0_1) - (portRef D (instanceRef current_b1_buffer_RNO_1)) + (net current_mux_buffer_0_0_16 (joined + (portRef current_mux_buffer_0_0_16) + (portRef D (instanceRef current_b1_buffer_RNO_16)) )) (net current_mux_buffer_3_18 (joined (portRef current_mux_buffer_3_18) @@ -24299,12 +24302,11 @@ (port (array (rename med_io_dataready_out "MED_IO_DATAREADY_OUT(6:6)") 1) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(98:96)") 3) (direction INPUT)) (port (array (rename buf_int_data_in "buf_INT_DATA_IN(111:96)") 16) (direction OUTPUT)) - (port (array (rename current_mux_buffer_2 "current_mux_buffer_2(18:18)") 1) (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_1 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_0 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_2 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_5 (direction INPUT)) - (port (array (rename buf_int_read_out "buf_INT_READ_OUT(7:6)") 2) (direction INPUT)) + (port (array (rename current_mux_buffer_1 "current_mux_buffer_1(18:18)") 1) (direction OUTPUT)) + (port (array (rename buf_int_packet_num_in "buf_INT_PACKET_NUM_IN(20:18)") 3) (direction OUTPUT)) + (port (array (rename buf_int_read_out "buf_INT_READ_OUT(6:6)") 1) (direction INPUT)) + (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(17:17)") 1) (direction INPUT)) + (port (array (rename med_io_read_in "MED_IO_READ_IN(5:5)") 1) (direction INPUT)) (port N_159_i (direction INPUT)) (port N_160_i (direction INPUT)) (port N_161_i (direction INPUT)) @@ -24319,7 +24321,7 @@ (port clk_100_i_c (direction INPUT)) ) (contents - (instance syn_data_RNIS9C9_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance syn_data_RNINKJG_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) (instance THE_SYNC_PROC_syn_data_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -24508,17 +24510,17 @@ ) (instance THE_FIFO (viewRef netlist (cellRef fifo_19x16_obuf_THE_FIFO_4)) ) - (net buf_INT_READ_OUT_7 (joined - (portRef (member buf_int_read_out 0)) - (portRef A (instanceRef syn_data_RNIS9C9_18)) + (net MED_IO_READ_IN_5 (joined + (portRef (member med_io_read_in 0)) + (portRef A (instanceRef syn_data_RNINKJG_18)) )) - (net buf_INT_PACKET_NUM_IN_5 (joined - (portRef buf_INT_PACKET_NUM_IN_5) - (portRef B (instanceRef syn_data_RNIS9C9_18)) + (net MED_IO_PACKET_NUM_OUT_17 (joined + (portRef (member med_io_packet_num_out 0)) + (portRef B (instanceRef syn_data_RNINKJG_18)) )) - (net current_mux_buffer_2_18 (joined - (portRef Z (instanceRef syn_data_RNIS9C9_18)) - (portRef (member current_mux_buffer_2 0)) + (net current_mux_buffer_1_18 (joined + (portRef Z (instanceRef syn_data_RNINKJG_18)) + (portRef (member current_mux_buffer_1 0)) )) (net syn_data_2_0 (joined (portRef Z (instanceRef THE_SYNC_PROC_syn_data_2_0)) @@ -24679,7 +24681,7 @@ (portRef D (instanceRef CURRENT_STATE_2)) )) (net buf_INT_READ_OUT_6 (joined - (portRef (member buf_int_read_out 1)) + (portRef (member buf_int_read_out 0)) (portRef D (instanceRef CURRENT_STATE_RNO_5)) (portRef D (instanceRef CURRENT_STATE_RNO_0_5)) (portRef D (instanceRef CURRENT_STATE_srsts_i_1_6)) @@ -24696,7 +24698,7 @@ (portRef SP (instanceRef CURRENT_STATE_4)) (portRef SP (instanceRef CURRENT_STATE_3)) (portRef SP (instanceRef CURRENT_STATE_2)) - (portRef C (instanceRef syn_data_RNIS9C9_18)) + (portRef C (instanceRef syn_data_RNINKJG_18)) )) (net CURRENT_STATE_2 (joined (portRef Q (instanceRef CURRENT_STATE_2)) @@ -24953,8 +24955,8 @@ )) (net syn_data_18 (joined (portRef A (instanceRef THE_SYNC_PROC_syn_data_2_18)) - (portRef D (instanceRef syn_data_RNIS9C9_18)) - (portRef buf_INT_PACKET_NUM_IN_2) + (portRef D (instanceRef syn_data_RNINKJG_18)) + (portRef (member buf_int_packet_num_in 0)) (portRef Q (instanceRef THE_SYNC_PROC_syn_data_18)) )) (net fifo_data_o_18 (joined @@ -24971,12 +24973,12 @@ )) (net syn_data_17 (joined (portRef A (instanceRef THE_SYNC_PROC_syn_data_2_17)) - (portRef buf_INT_PACKET_NUM_IN_1) + (portRef (member buf_int_packet_num_in 1)) (portRef Q (instanceRef THE_SYNC_PROC_syn_data_17)) )) (net syn_data_16 (joined (portRef A (instanceRef THE_SYNC_PROC_syn_data_2_16)) - (portRef buf_INT_PACKET_NUM_IN_0) + (portRef (member buf_int_packet_num_in 2)) (portRef Q (instanceRef THE_SYNC_PROC_syn_data_16)) )) (net syn_data_15 (joined @@ -25612,7 +25614,7 @@ (portRef Z (instanceRef CURRENT_STATE_srsts_i_a3_5)) (portRef B (instanceRef CURRENT_STATE_RNO_5)) )) - (net CURRENT_STATE_srsts_i_291_0_tz (joined + (net CURRENT_STATE_srsts_i_279_0_tz (joined (portRef Z (instanceRef CURRENT_STATE_RNO_0_5)) (portRef A (instanceRef CURRENT_STATE_RNO_5)) )) @@ -26472,7 +26474,7 @@ (portRef Z (instanceRef CURRENT_STATE_srsts_i_a3_5)) (portRef B (instanceRef CURRENT_STATE_RNO_5)) )) - (net CURRENT_STATE_srsts_i_287_0_tz (joined + (net CURRENT_STATE_srsts_i_275_0_tz (joined (portRef Z (instanceRef CURRENT_STATE_RNO_0_5)) (portRef A (instanceRef CURRENT_STATE_RNO_5)) )) @@ -26828,7 +26830,9 @@ (cell trb_net_sbuf5_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction INPUT)) + (port current_output_data_buffer_0 (direction INPUT)) + (port current_output_data_buffer_3 (direction INPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(127:116)") 12) (direction INPUT)) (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(23:21)") 3) (direction INPUT)) (port (array (rename buf_int_packet_num_in "buf_INT_PACKET_NUM_IN(23:21)") 3) (direction OUTPUT)) @@ -27332,7 +27336,7 @@ (portRef Z (instanceRef CURRENT_STATE_srsts_i_a3_5)) (portRef B (instanceRef CURRENT_STATE_RNO_5)) )) - (net CURRENT_STATE_srsts_i_289_0_tz (joined + (net CURRENT_STATE_srsts_i_277_0_tz (joined (portRef Z (instanceRef CURRENT_STATE_RNO_0_5)) (portRef A (instanceRef CURRENT_STATE_RNO_5)) )) @@ -27650,21 +27654,21 @@ (portRef (member med_io_data_out 0)) (portRef (member med_io_data_out 0) (instanceRef THE_FIFO)) )) - (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3)) - (portRef (member current_output_data_buffer 3) (instanceRef THE_FIFO)) - )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2)) - (portRef (member current_output_data_buffer 2) (instanceRef THE_FIFO)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1)) + (portRef (member current_output_data_buffer_mb 1) (instanceRef THE_FIFO)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1)) - (portRef (member current_output_data_buffer 1) (instanceRef THE_FIFO)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0)) + (portRef (member current_output_data_buffer_mb 0) (instanceRef THE_FIFO)) )) (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0)) - (portRef (member current_output_data_buffer 0) (instanceRef THE_FIFO)) + (portRef current_output_data_buffer_3) + (portRef current_output_data_buffer_3 (instanceRef THE_FIFO)) + )) + (net current_output_data_buffer_0 (joined + (portRef current_output_data_buffer_0) + (portRef current_output_data_buffer_0 (instanceRef THE_FIFO)) )) (net reset_no_link_3 (joined (portRef reset_no_link_3) @@ -28477,13 +28481,11 @@ (interface (port (array (rename buf_idram_data_in "buf_IDRAM_DATA_IN(15:0)") 16) (direction INPUT)) (port (array (rename buf_idram_addr_in "buf_IDRAM_ADDR_IN(1:0)") 2) (direction INPUT)) - (port (array (rename ram_read_addr_0_i "ram_read_addr_0_i(3:3)") 1) (direction INPUT)) - (port (array (rename ram_read_addr_i "ram_read_addr_i(1:0)") 2) (direction INPUT)) + (port (array (rename ram_read_addr_0_i "ram_read_addr_0_i(3:0)") 4) (direction INPUT)) (port (array (rename adr_data_out "ADR_DATA_OUT(15:0)") 16) (direction OUTPUT)) (port buf_IDRAM_WR_IN_0_i (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2 (direction INPUT)) - (port N_18 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) ) @@ -28702,22 +28704,22 @@ (portRef (member buf_idram_data_in 0)) (portRef DI3 (instanceRef ram_1_ram_2)) )) - (net ram_read_addr_i_0 (joined - (portRef (member ram_read_addr_i 1)) + (net ram_read_addr_0_i_0 (joined + (portRef (member ram_read_addr_0_i 3)) (portRef RAD0 (instanceRef ram_1_ram)) (portRef RAD0 (instanceRef ram_1_ram_0)) (portRef RAD0 (instanceRef ram_1_ram_1)) (portRef RAD0 (instanceRef ram_1_ram_2)) )) - (net ram_read_addr_i_1 (joined - (portRef (member ram_read_addr_i 0)) + (net ram_read_addr_0_i_1 (joined + (portRef (member ram_read_addr_0_i 2)) (portRef RAD1 (instanceRef ram_1_ram)) (portRef RAD1 (instanceRef ram_1_ram_0)) (portRef RAD1 (instanceRef ram_1_ram_1)) (portRef RAD1 (instanceRef ram_1_ram_2)) )) - (net N_18 (joined - (portRef N_18) + (net ram_read_addr_0_i_2 (joined + (portRef (member ram_read_addr_0_i 1)) (portRef RAD2 (instanceRef ram_1_ram)) (portRef RAD2 (instanceRef ram_1_ram_0)) (portRef RAD2 (instanceRef ram_1_ram_1)) @@ -28822,7 +28824,22 @@ (port (array (rename fifo_to_int_data_out "fifo_to_int_data_out(15:3)") 13) (direction OUTPUT)) (port buf_APL_PACKET_NUM_IN_2 (direction INPUT)) (port buf_APL_PACKET_NUM_IN_0 (direction INPUT)) - (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(63:48)") 16) (direction INPUT)) + (port (array (rename buf_api_data_out_rnigu9q "buf_API_DATA_OUT_RNIGU9Q(9:9)") 1) (direction INPUT)) + (port buf_APL_DATA_IN_15 (direction INPUT)) + (port buf_APL_DATA_IN_14 (direction INPUT)) + (port buf_APL_DATA_IN_13 (direction INPUT)) + (port buf_APL_DATA_IN_12 (direction INPUT)) + (port buf_APL_DATA_IN_11 (direction INPUT)) + (port buf_APL_DATA_IN_10 (direction INPUT)) + (port buf_APL_DATA_IN_8 (direction INPUT)) + (port buf_APL_DATA_IN_7 (direction INPUT)) + (port buf_APL_DATA_IN_6 (direction INPUT)) + (port buf_APL_DATA_IN_5 (direction INPUT)) + (port buf_APL_DATA_IN_4 (direction INPUT)) + (port buf_APL_DATA_IN_3 (direction INPUT)) + (port buf_APL_DATA_IN_2 (direction INPUT)) + (port buf_APL_DATA_IN_1 (direction INPUT)) + (port buf_APL_DATA_IN_0 (direction INPUT)) (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_7 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_6 (direction OUTPUT)) @@ -28830,7 +28847,7 @@ (port buf_api_stat_fifo_to_int_9 (direction INPUT)) (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_0 (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -29224,68 +29241,68 @@ (portRef DO0 (instanceRef LUT4_0)) (portRef D (instanceRef FF_23)) )) - (net buf_APL_DATA_IN_48 (joined - (portRef (member buf_apl_data_in 15)) + (net buf_APL_DATA_IN_0 (joined + (portRef buf_APL_DATA_IN_0) (portRef DIA0 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef (member buf_apl_data_in 14)) + (net buf_APL_DATA_IN_1 (joined + (portRef buf_APL_DATA_IN_1) (portRef DIA1 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_50 (joined - (portRef (member buf_apl_data_in 13)) + (net buf_APL_DATA_IN_2 (joined + (portRef buf_APL_DATA_IN_2) (portRef DIA2 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef (member buf_apl_data_in 12)) + (net buf_APL_DATA_IN_3 (joined + (portRef buf_APL_DATA_IN_3) (portRef DIA3 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_52 (joined - (portRef (member buf_apl_data_in 11)) + (net buf_APL_DATA_IN_4 (joined + (portRef buf_APL_DATA_IN_4) (portRef DIA4 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_53 (joined - (portRef (member buf_apl_data_in 10)) + (net buf_APL_DATA_IN_5 (joined + (portRef buf_APL_DATA_IN_5) (portRef DIA5 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef (member buf_apl_data_in 9)) + (net buf_APL_DATA_IN_6 (joined + (portRef buf_APL_DATA_IN_6) (portRef DIA6 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_55 (joined - (portRef (member buf_apl_data_in 8)) + (net buf_APL_DATA_IN_7 (joined + (portRef buf_APL_DATA_IN_7) (portRef DIA7 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef (member buf_apl_data_in 7)) + (net buf_APL_DATA_IN_8 (joined + (portRef buf_APL_DATA_IN_8) (portRef DIA8 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_57 (joined - (portRef (member buf_apl_data_in 6)) + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined + (portRef (member buf_api_data_out_rnigu9q 0)) (portRef DIA9 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_58 (joined - (portRef (member buf_apl_data_in 5)) + (net buf_APL_DATA_IN_10 (joined + (portRef buf_APL_DATA_IN_10) (portRef DIA10 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_59 (joined - (portRef (member buf_apl_data_in 4)) + (net buf_APL_DATA_IN_11 (joined + (portRef buf_APL_DATA_IN_11) (portRef DIA11 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_60 (joined - (portRef (member buf_apl_data_in 3)) + (net buf_APL_DATA_IN_12 (joined + (portRef buf_APL_DATA_IN_12) (portRef DIA12 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef (member buf_apl_data_in 2)) + (net buf_APL_DATA_IN_13 (joined + (portRef buf_APL_DATA_IN_13) (portRef DIA13 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef (member buf_apl_data_in 1)) + (net buf_APL_DATA_IN_14 (joined + (portRef buf_APL_DATA_IN_14) (portRef DIA14 (instanceRef pdp_ram_0_0_0)) )) - (net buf_APL_DATA_IN_63 (joined - (portRef (member buf_apl_data_in 0)) + (net buf_APL_DATA_IN_15 (joined + (portRef buf_APL_DATA_IN_15) (portRef DIA15 (instanceRef pdp_ram_0_0_0)) )) (net buf_APL_PACKET_NUM_IN_0 (joined @@ -29452,8 +29469,8 @@ (portRef CLKB (instanceRef pdp_ram_0_0_0)) (portRef CLKA (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef CD (instanceRef FF_2)) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) @@ -29989,19 +30006,25 @@ (port buf_to_apl_INIT_PACKET_NUM_2 (direction INPUT)) (port buf_to_apl_INIT_PACKET_NUM_0 (direction INPUT)) (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(63:48)") 16) (direction INPUT)) + (port (array (rename state_to_apl "state_to_apl(1:0)") 2) (direction INPUT)) + (port (array (rename buf_to_apl_init_dataready "buf_to_apl_INIT_DATAREADY(3:3)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl_i "buf_api_stat_fifo_to_apl_i(110:110)") 1) (direction OUTPUT)) - (port buf_api_stat_fifo_to_apl_8 (direction INPUT)) - (port buf_api_stat_fifo_to_apl_0 (direction INPUT)) - (port buf_api_stat_fifo_to_apl_11 (direction OUTPUT)) + (port reset_i_20 (direction INPUT)) (port reset_i_19 (direction INPUT)) - (port reset_i_18 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) - (port GND (direction INPUT)) (port next_fifo_to_apl_empty (direction OUTPUT)) + (port N_4969 (direction INPUT)) + (port GND (direction INPUT)) ) (contents (instance FF_23_RNI2ON6 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance AND2_t4_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance AND2_t3_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B+!A))")) + ) (instance AND2_t4 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) (instance INV_8 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) @@ -30195,14 +30218,111 @@ (instance e_cmp_2 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_1 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_0 (viewRef syn_black_box (cellRef ALEB2)) ) + (net buf_api_stat_fifo_to_apl_110 (joined + (portRef Q (instanceRef FF_23)) + (portRef AD0 (instanceRef LUT4_0)) + (portRef A (instanceRef INV_8)) + (portRef A (instanceRef AND2_t4_RNO)) + (portRef A (instanceRef FF_23_RNI2ON6)) + )) (net buf_api_stat_fifo_to_apl_i_110 (joined (portRef Z (instanceRef FF_23_RNI2ON6)) (portRef (member buf_api_stat_fifo_to_apl_i 0)) )) - (net buf_api_stat_fifo_to_apl_0 (joined - (portRef buf_api_stat_fifo_to_apl_0) + (net buf_to_apl_INIT_DATAREADY_3 (joined + (portRef (member buf_to_apl_init_dataready 0)) + (portRef B (instanceRef AND2_t4_RNO)) + )) + (net GND (joined + (portRef GND) + (portRef B1 (instanceRef e_cmp_0)) + (portRef B1 (instanceRef e_cmp_1)) + (portRef B0 (instanceRef e_cmp_1)) + (portRef B1 (instanceRef e_cmp_2)) + (portRef B0 (instanceRef e_cmp_2)) + (portRef B1 (instanceRef e_cmp_3)) + (portRef B0 (instanceRef e_cmp_3)) + (portRef B1 (instanceRef e_cmp_4)) + (portRef B0 (instanceRef e_cmp_4)) + (portRef B1 (instanceRef e_cmp_5)) + (portRef B0 (instanceRef e_cmp_5)) + (portRef A1 (instanceRef e_cmp_5)) + (portRef B1 (instanceRef g_cmp_5)) + (portRef A1 (instanceRef g_cmp_5)) + (portRef CI (instanceRef r_ctr_cia)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef CI (instanceRef w_ctr_cia)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef CI (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef CI (instanceRef e_cmp_ci_a)) + (portRef PC1 (instanceRef bdcnt_bctr_5)) + (portRef CI (instanceRef bdcnt_bctr_cia)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef CSB2 (instanceRef pdp_ram_0_0_0)) + (portRef CSB1 (instanceRef pdp_ram_0_0_0)) + (portRef CSB0 (instanceRef pdp_ram_0_0_0)) + (portRef WEB (instanceRef pdp_ram_0_0_0)) + (portRef ADB3 (instanceRef pdp_ram_0_0_0)) + (portRef ADB2 (instanceRef pdp_ram_0_0_0)) + (portRef ADB1 (instanceRef pdp_ram_0_0_0)) + (portRef ADB0 (instanceRef pdp_ram_0_0_0)) + (portRef DIB17 (instanceRef pdp_ram_0_0_0)) + (portRef DIB16 (instanceRef pdp_ram_0_0_0)) + (portRef DIB15 (instanceRef pdp_ram_0_0_0)) + (portRef DIB14 (instanceRef pdp_ram_0_0_0)) + (portRef DIB13 (instanceRef pdp_ram_0_0_0)) + (portRef DIB12 (instanceRef pdp_ram_0_0_0)) + (portRef DIB11 (instanceRef pdp_ram_0_0_0)) + (portRef DIB10 (instanceRef pdp_ram_0_0_0)) + (portRef DIB9 (instanceRef pdp_ram_0_0_0)) + (portRef DIB8 (instanceRef pdp_ram_0_0_0)) + (portRef DIB7 (instanceRef pdp_ram_0_0_0)) + (portRef DIB6 (instanceRef pdp_ram_0_0_0)) + (portRef DIB5 (instanceRef pdp_ram_0_0_0)) + (portRef DIB4 (instanceRef pdp_ram_0_0_0)) + (portRef DIB3 (instanceRef pdp_ram_0_0_0)) + (portRef DIB2 (instanceRef pdp_ram_0_0_0)) + (portRef DIB1 (instanceRef pdp_ram_0_0_0)) + (portRef DIB0 (instanceRef pdp_ram_0_0_0)) + (portRef CSA2 (instanceRef pdp_ram_0_0_0)) + (portRef CSA1 (instanceRef pdp_ram_0_0_0)) + (portRef CSA0 (instanceRef pdp_ram_0_0_0)) + (portRef ADA3 (instanceRef pdp_ram_0_0_0)) + (portRef ADA2 (instanceRef pdp_ram_0_0_0)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef AD3 (instanceRef LUT4_1)) + )) + (net N_4954_i (joined + (portRef Z (instanceRef AND2_t4_RNO)) (portRef A (instanceRef AND2_t4)) )) + (net N_4969 (joined + (portRef N_4969) + (portRef A (instanceRef AND2_t3_RNO)) + )) + (net state_to_apl_0 (joined + (portRef (member state_to_apl 1)) + (portRef B (instanceRef AND2_t3_RNO)) + )) + (net state_to_apl_1 (joined + (portRef (member state_to_apl 0)) + (portRef C (instanceRef AND2_t3_RNO)) + )) + (net N_4985_i (joined + (portRef Z (instanceRef AND2_t3_RNO)) + (portRef A (instanceRef AND2_t3)) + )) (net invout_2 (joined (portRef Z (instanceRef INV_8)) (portRef B (instanceRef AND2_t4)) @@ -30236,17 +30356,6 @@ (portRef A (instanceRef XOR2_t1)) (portRef A (instanceRef AND2_t2)) )) - (net buf_api_stat_fifo_to_apl_11 (joined - (portRef Q (instanceRef FF_23)) - (portRef AD0 (instanceRef LUT4_0)) - (portRef A (instanceRef INV_8)) - (portRef A (instanceRef FF_23_RNI2ON6)) - (portRef buf_api_stat_fifo_to_apl_11) - )) - (net buf_api_stat_fifo_to_apl_8 (joined - (portRef buf_api_stat_fifo_to_apl_8) - (portRef A (instanceRef AND2_t3)) - )) (net invout_1 (joined (portRef Z (instanceRef INV_7)) (portRef B (instanceRef AND2_t3)) @@ -30321,76 +30430,6 @@ (portRef S0 (instanceRef a1)) (portRef AD2 (instanceRef LUT4_0)) )) - (net GND (joined - (portRef GND) - (portRef B1 (instanceRef e_cmp_0)) - (portRef B1 (instanceRef e_cmp_1)) - (portRef B0 (instanceRef e_cmp_1)) - (portRef B1 (instanceRef e_cmp_2)) - (portRef B0 (instanceRef e_cmp_2)) - (portRef B1 (instanceRef e_cmp_3)) - (portRef B0 (instanceRef e_cmp_3)) - (portRef B1 (instanceRef e_cmp_4)) - (portRef B0 (instanceRef e_cmp_4)) - (portRef B1 (instanceRef e_cmp_5)) - (portRef B0 (instanceRef e_cmp_5)) - (portRef A1 (instanceRef e_cmp_5)) - (portRef B1 (instanceRef g_cmp_5)) - (portRef A1 (instanceRef g_cmp_5)) - (portRef CI (instanceRef r_ctr_cia)) - (portRef B0 (instanceRef r_ctr_cia)) - (portRef A0 (instanceRef r_ctr_cia)) - (portRef CI (instanceRef w_ctr_cia)) - (portRef B0 (instanceRef w_ctr_cia)) - (portRef A0 (instanceRef w_ctr_cia)) - (portRef B1 (instanceRef a1)) - (portRef B0 (instanceRef a1)) - (portRef A1 (instanceRef a1)) - (portRef A0 (instanceRef a1)) - (portRef CI (instanceRef g_cmp_ci_a)) - (portRef B1 (instanceRef a0)) - (portRef B0 (instanceRef a0)) - (portRef A1 (instanceRef a0)) - (portRef A0 (instanceRef a0)) - (portRef CI (instanceRef e_cmp_ci_a)) - (portRef PC1 (instanceRef bdcnt_bctr_5)) - (portRef CI (instanceRef bdcnt_bctr_cia)) - (portRef B0 (instanceRef bdcnt_bctr_cia)) - (portRef A0 (instanceRef bdcnt_bctr_cia)) - (portRef CSB2 (instanceRef pdp_ram_0_0_0)) - (portRef CSB1 (instanceRef pdp_ram_0_0_0)) - (portRef CSB0 (instanceRef pdp_ram_0_0_0)) - (portRef WEB (instanceRef pdp_ram_0_0_0)) - (portRef ADB3 (instanceRef pdp_ram_0_0_0)) - (portRef ADB2 (instanceRef pdp_ram_0_0_0)) - (portRef ADB1 (instanceRef pdp_ram_0_0_0)) - (portRef ADB0 (instanceRef pdp_ram_0_0_0)) - (portRef DIB17 (instanceRef pdp_ram_0_0_0)) - (portRef DIB16 (instanceRef pdp_ram_0_0_0)) - (portRef DIB15 (instanceRef pdp_ram_0_0_0)) - (portRef DIB14 (instanceRef pdp_ram_0_0_0)) - (portRef DIB13 (instanceRef pdp_ram_0_0_0)) - (portRef DIB12 (instanceRef pdp_ram_0_0_0)) - (portRef DIB11 (instanceRef pdp_ram_0_0_0)) - (portRef DIB10 (instanceRef pdp_ram_0_0_0)) - (portRef DIB9 (instanceRef pdp_ram_0_0_0)) - (portRef DIB8 (instanceRef pdp_ram_0_0_0)) - (portRef DIB7 (instanceRef pdp_ram_0_0_0)) - (portRef DIB6 (instanceRef pdp_ram_0_0_0)) - (portRef DIB5 (instanceRef pdp_ram_0_0_0)) - (portRef DIB4 (instanceRef pdp_ram_0_0_0)) - (portRef DIB3 (instanceRef pdp_ram_0_0_0)) - (portRef DIB2 (instanceRef pdp_ram_0_0_0)) - (portRef DIB1 (instanceRef pdp_ram_0_0_0)) - (portRef DIB0 (instanceRef pdp_ram_0_0_0)) - (portRef CSA2 (instanceRef pdp_ram_0_0_0)) - (portRef CSA1 (instanceRef pdp_ram_0_0_0)) - (portRef CSA0 (instanceRef pdp_ram_0_0_0)) - (portRef ADA3 (instanceRef pdp_ram_0_0_0)) - (portRef ADA2 (instanceRef pdp_ram_0_0_0)) - (portRef AD3 (instanceRef LUT4_0)) - (portRef AD3 (instanceRef LUT4_1)) - )) (net full_d (joined (portRef DO0 (instanceRef LUT4_0)) (portRef D (instanceRef FF_23)) @@ -30623,8 +30662,8 @@ (portRef CLKB (instanceRef pdp_ram_0_0_0)) (portRef CLKA (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef CD (instanceRef FF_29)) (portRef CD (instanceRef FF_30)) (portRef CD (instanceRef FF_31)) @@ -30845,8 +30884,8 @@ (portRef NC0 (instanceRef bdcnt_bctr_4)) (portRef D (instanceRef FF_27)) )) - (net reset_i_19 (joined - (portRef reset_i_19) + (net reset_i_20 (joined + (portRef reset_i_20) (portRef CD (instanceRef FF_2)) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) @@ -31262,46 +31301,46 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -31433,20 +31472,20 @@ (portRef B (instanceRef current_b2_buffer_RNO_2)) (portRef B (instanceRef current_b2_buffer_RNO_3)) (portRef B (instanceRef current_b2_buffer_RNO_4)) - (portRef B (instanceRef current_b2_buffer_RNO_5)) - (portRef B (instanceRef current_b2_buffer_RNO_6)) - (portRef B (instanceRef current_b2_buffer_RNO_7)) - (portRef B (instanceRef current_b2_buffer_RNO_9)) - (portRef B (instanceRef current_b2_buffer_RNO_10)) (portRef B (instanceRef current_b2_buffer_RNO_16)) - (portRef B (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef B (instanceRef current_b2_buffer_RNO_18)) - (portRef B (instanceRef current_b2_buffer_RNO_11)) + (portRef B (instanceRef current_b2_buffer_RNO_9)) + (portRef B (instanceRef current_b2_buffer_RNO_6)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef B (instanceRef current_b2_buffer_RNO_8)) (portRef B (instanceRef current_b2_buffer_RNO_15)) (portRef B (instanceRef current_b2_buffer_RNO_14)) + (portRef B (instanceRef current_b2_buffer_RNO_13)) (portRef B (instanceRef current_b2_buffer_RNO_12)) - (portRef B (instanceRef current_b2_buffer_RNO_8)) + (portRef B (instanceRef current_b2_buffer_RNO_11)) + (portRef B (instanceRef current_b2_buffer_RNO_10)) + (portRef B (instanceRef current_b2_buffer_RNO_7)) + (portRef B (instanceRef current_b2_buffer_RNO_5)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) (net N_149 (joined @@ -31487,7 +31526,7 @@ (portRef Q (instanceRef current_b2_buffer_1)) (portRef (member buf_apl_data_out 14)) )) - (net current_b2_buffer_RNO_1_2 (joined + (net current_b2_buffer_RNO_0_2 (joined (portRef Z (instanceRef current_b2_buffer_RNO_2)) (portRef D (instanceRef current_b2_buffer_2)) )) @@ -31495,7 +31534,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member buf_apl_data_out 13)) )) - (net current_b2_buffer_RNO_2_3 (joined + (net current_b2_buffer_RNO_1_3 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -31503,7 +31542,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member buf_apl_data_out 12)) )) - (net current_b2_buffer_RNO_1_4 (joined + (net current_b2_buffer_RNO_2_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -31511,7 +31550,7 @@ (portRef Q (instanceRef current_b2_buffer_4)) (portRef (member buf_apl_data_out 11)) )) - (net current_b2_buffer_RNO_1_5 (joined + (net N_204 (joined (portRef Z (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_5)) )) @@ -31519,7 +31558,7 @@ (portRef Q (instanceRef current_b2_buffer_5)) (portRef (member buf_apl_data_out 10)) )) - (net current_b2_buffer_RNO_2_6 (joined + (net N_215 (joined (portRef Z (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_6)) )) @@ -31527,7 +31566,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member buf_apl_data_out 9)) )) - (net current_b2_buffer_RNO_1_7 (joined + (net N_226 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -31543,7 +31582,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member buf_apl_data_out 7)) )) - (net current_b2_buffer_RNO_1_9 (joined + (net N_248 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -31551,7 +31590,7 @@ (portRef Q (instanceRef current_b2_buffer_9)) (portRef (member buf_apl_data_out 6)) )) - (net current_b2_buffer_RNO_0_10 (joined + (net N_259 (joined (portRef Z (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b2_buffer_10)) )) @@ -31599,7 +31638,7 @@ (portRef Q (instanceRef current_b2_buffer_15)) (portRef (member buf_apl_data_out 0)) )) - (net current_b2_buffer_RNO_0_16 (joined + (net current_b2_buffer_RNO_1_16 (joined (portRef Z (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b2_buffer_16)) )) @@ -31607,7 +31646,7 @@ (portRef Q (instanceRef current_b2_buffer_16)) (portRef (member buf_apl_packet_num_out 2)) )) - (net N_336 (joined + (net current_b2_buffer_RNO_1_17 (joined (portRef Z (instanceRef current_b2_buffer_RNO_17)) (portRef D (instanceRef current_b2_buffer_17)) )) @@ -31615,7 +31654,7 @@ (portRef Q (instanceRef current_b2_buffer_17)) (portRef (member buf_apl_packet_num_out 1)) )) - (net N_347 (joined + (net current_b2_buffer_RNO_2_18 (joined (portRef Z (instanceRef current_b2_buffer_RNO_18)) (portRef D (instanceRef current_b2_buffer_18)) )) @@ -31742,16 +31781,36 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) - (net fifo_to_apl_data_out_8 (joined - (portRef (member fifo_to_apl_data_out 7)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b1_buffer_8)) + (net fifo_to_apl_data_out_5 (joined + (portRef (member fifo_to_apl_data_out 10)) + (portRef C (instanceRef current_b2_buffer_RNO_5)) + (portRef D (instanceRef current_b1_buffer_5)) + )) + (net fifo_to_apl_data_out_7 (joined + (portRef (member fifo_to_apl_data_out 8)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b1_buffer_7)) + )) + (net fifo_to_apl_data_out_10 (joined + (portRef (member fifo_to_apl_data_out 5)) + (portRef C (instanceRef current_b2_buffer_RNO_10)) + (portRef D (instanceRef current_b1_buffer_10)) + )) + (net fifo_to_apl_data_out_11 (joined + (portRef (member fifo_to_apl_data_out 4)) + (portRef C (instanceRef current_b2_buffer_RNO_11)) + (portRef D (instanceRef current_b1_buffer_11)) )) (net fifo_to_apl_data_out_12 (joined (portRef (member fifo_to_apl_data_out 3)) (portRef C (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b1_buffer_12)) )) + (net fifo_to_apl_data_out_13 (joined + (portRef (member fifo_to_apl_data_out 2)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef D (instanceRef current_b1_buffer_13)) + )) (net fifo_to_apl_data_out_14 (joined (portRef (member fifo_to_apl_data_out 1)) (portRef C (instanceRef current_b2_buffer_RNO_14)) @@ -31762,55 +31821,35 @@ (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b1_buffer_15)) )) - (net fifo_to_apl_data_out_11 (joined - (portRef (member fifo_to_apl_data_out 4)) - (portRef C (instanceRef current_b2_buffer_RNO_11)) - (portRef D (instanceRef current_b1_buffer_11)) - )) - (net fifo_to_apl_packet_num_out_1 (joined - (portRef (member fifo_to_apl_packet_num_out 0)) - (portRef C (instanceRef current_b2_buffer_RNO_18)) - (portRef D (instanceRef current_b1_buffer_18)) + (net fifo_to_apl_data_out_8 (joined + (portRef (member fifo_to_apl_data_out 7)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef D (instanceRef current_b1_buffer_8)) )) (net buf_api_stat_fifo_to_apl_104 (joined (portRef (member buf_api_stat_fifo_to_apl 2)) (portRef A (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b1_buffer_0)) )) - (net fifo_to_apl_data_out_13 (joined - (portRef (member fifo_to_apl_data_out 2)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef D (instanceRef current_b1_buffer_13)) - )) - (net fifo_to_apl_packet_num_out_0 (joined - (portRef (member fifo_to_apl_packet_num_out 1)) - (portRef C (instanceRef current_b2_buffer_RNO_16)) - (portRef D (instanceRef current_b1_buffer_16)) - )) - (net fifo_to_apl_data_out_10 (joined - (portRef (member fifo_to_apl_data_out 5)) - (portRef C (instanceRef current_b2_buffer_RNO_10)) - (portRef D (instanceRef current_b1_buffer_10)) + (net fifo_to_apl_data_out_6 (joined + (portRef (member fifo_to_apl_data_out 9)) + (portRef C (instanceRef current_b2_buffer_RNO_6)) + (portRef D (instanceRef current_b1_buffer_6)) )) (net fifo_to_apl_data_out_9 (joined (portRef (member fifo_to_apl_data_out 6)) (portRef C (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b1_buffer_9)) )) - (net fifo_to_apl_data_out_7 (joined - (portRef (member fifo_to_apl_data_out 8)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) - (portRef D (instanceRef current_b1_buffer_7)) - )) - (net fifo_to_apl_data_out_6 (joined - (portRef (member fifo_to_apl_data_out 9)) - (portRef C (instanceRef current_b2_buffer_RNO_6)) - (portRef D (instanceRef current_b1_buffer_6)) + (net fifo_to_apl_packet_num_out_1 (joined + (portRef (member fifo_to_apl_packet_num_out 0)) + (portRef C (instanceRef current_b2_buffer_RNO_18)) + (portRef D (instanceRef current_b1_buffer_18)) )) - (net fifo_to_apl_data_out_5 (joined - (portRef (member fifo_to_apl_data_out 10)) - (portRef C (instanceRef current_b2_buffer_RNO_5)) - (portRef D (instanceRef current_b1_buffer_5)) + (net fifo_to_apl_packet_num_out_0 (joined + (portRef (member fifo_to_apl_packet_num_out 1)) + (portRef C (instanceRef current_b2_buffer_RNO_16)) + (portRef D (instanceRef current_b1_buffer_16)) )) (net fifo_to_apl_data_out_4 (joined (portRef (member fifo_to_apl_data_out 11)) @@ -31876,7 +31915,7 @@ (view netlist (viewType NETLIST) (interface (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(3:3)") 1) (direction INPUT)) - (port (array (rename state_to_int "state_to_int(0:0)") 1) (direction INPUT)) + (port (array (rename state_to_int "state_to_int(2:2)") 1) (direction INPUT)) (port (array (rename next_int_master_data_out "next_INT_MASTER_DATA_OUT(15:0)") 16) (direction INPUT)) (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_18 (direction INPUT)) @@ -31886,20 +31925,20 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port next_INT_MASTER_DATAREADY_OUT_iv_0 (direction INPUT)) (port sbuf_free (direction INPUT)) - (port un21_next_int_master_dataready_out_0 (direction INPUT)) + (port un11_next_int_master_dataready_out_0 (direction INPUT)) (port N_645 (direction INPUT)) (port N_647 (direction INPUT)) (port N_648 (direction INPUT)) (port N_649 (direction INPUT)) + (port N_650 (direction INPUT)) (port N_651 (direction INPUT)) (port N_652 (direction INPUT)) + (port N_653 (direction INPUT)) (port N_654 (direction INPUT)) - (port N_656 (direction INPUT)) - (port N_657 (direction INPUT)) - (port N_650 (direction INPUT)) (port N_655 (direction INPUT)) + (port N_656 (direction INPUT)) (port next_INT_MASTER_DATA_OUT_sn_N_17_i (direction INPUT)) - (port N_653 (direction INPUT)) + (port N_657 (direction INPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port un3_current_syn_dataready_out (direction OUTPUT)) (port GND (direction INPUT)) @@ -32010,22 +32049,19 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C B))")) - ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -32034,6 +32070,9 @@ (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C B))")) + ) (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) @@ -32148,14 +32187,14 @@ (portRef C (instanceRef current_b2_buffer_RNO_5)) (portRef C (instanceRef current_b2_buffer_RNO_6)) (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) (portRef C (instanceRef current_b2_buffer_RNO_9)) (portRef C (instanceRef current_b2_buffer_RNO_10)) + (portRef C (instanceRef current_b2_buffer_RNO_11)) (portRef C (instanceRef current_b2_buffer_RNO_12)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) (portRef C (instanceRef current_b2_buffer_RNO_14)) (portRef C (instanceRef current_b2_buffer_RNO_15)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef C (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNI76771)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -32208,7 +32247,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member current_b2_buffer 1)) )) - (net current_b2_buffer_RNO_1_3 (joined + (net current_b2_buffer_RNO_0_3 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -32240,7 +32279,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member apl_to_buf_reply_data 9)) )) - (net current_b2_buffer_RNO_0_7 (joined + (net current_b2_buffer_RNO_1_7 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -32248,7 +32287,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member apl_to_buf_reply_data 8)) )) - (net N_237 (joined + (net current_b2_buffer_RNO_2_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -32256,7 +32295,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member apl_to_buf_reply_data 7)) )) - (net current_b2_buffer_RNO_0_9 (joined + (net current_b2_buffer_RNO_1_9 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -32264,7 +32303,7 @@ (portRef Q (instanceRef current_b2_buffer_9)) (portRef (member apl_to_buf_reply_data 6)) )) - (net current_b2_buffer_RNO_10 (joined + (net current_b2_buffer_RNO_0_10 (joined (portRef Z (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b2_buffer_10)) )) @@ -32272,7 +32311,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member apl_to_buf_reply_data 5)) )) - (net N_270 (joined + (net current_b2_buffer_RNO_2_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -32280,7 +32319,7 @@ (portRef Q (instanceRef current_b2_buffer_11)) (portRef (member apl_to_buf_reply_data 4)) )) - (net current_b2_buffer_RNO_12 (joined + (net current_b2_buffer_RNO_1_12 (joined (portRef Z (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_12)) )) @@ -32288,7 +32327,7 @@ (portRef Q (instanceRef current_b2_buffer_12)) (portRef (member apl_to_buf_reply_data 3)) )) - (net N_292 (joined + (net current_b2_buffer_RNO_1_13 (joined (portRef Z (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_13)) )) @@ -32296,7 +32335,7 @@ (portRef Q (instanceRef current_b2_buffer_13)) (portRef (member apl_to_buf_reply_data 2)) )) - (net current_b2_buffer_RNO_14 (joined + (net current_b2_buffer_RNO_1_14 (joined (portRef Z (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_14)) )) @@ -32312,7 +32351,7 @@ (portRef Q (instanceRef current_b2_buffer_15)) (portRef (member apl_to_buf_reply_data 0)) )) - (net N_347 (joined + (net current_b2_buffer_RNO_1_18 (joined (portRef Z (instanceRef current_b2_buffer_RNO_18)) (portRef D (instanceRef current_b2_buffer_18)) )) @@ -32514,13 +32553,13 @@ (portRef Z (instanceRef COMB_next_READ_OUT_f1)) (portRef COMB_next_READ_OUT_f1_0) )) - (net N_653 (joined - (portRef N_653) - (portRef A (instanceRef current_b2_buffer_RNO_11)) + (net N_657 (joined + (portRef N_657) + (portRef A (instanceRef current_b2_buffer_RNO_15)) )) - (net N_655 (joined - (portRef N_655) - (portRef A (instanceRef current_b2_buffer_RNO_13)) + (net N_656 (joined + (portRef N_656) + (portRef A (instanceRef current_b2_buffer_RNO_14)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_i (joined (portRef next_INT_MASTER_DATA_OUT_sn_N_17_i) @@ -32528,31 +32567,27 @@ (portRef D (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_RNO_10)) + (portRef D (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_RNO_12)) + (portRef D (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_RNO_14)) (portRef D (instanceRef current_b2_buffer_RNO_15)) - (portRef D (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b2_buffer_RNO_13)) - (portRef D (instanceRef current_b2_buffer_RNO_11)) )) - (net N_650 (joined - (portRef N_650) - (portRef A (instanceRef current_b2_buffer_RNO_8)) - )) - (net N_657 (joined - (portRef N_657) - (portRef A (instanceRef current_b2_buffer_RNO_15)) - )) - (net N_656 (joined - (portRef N_656) - (portRef A (instanceRef current_b2_buffer_RNO_14)) + (net N_655 (joined + (portRef N_655) + (portRef A (instanceRef current_b2_buffer_RNO_13)) )) (net N_654 (joined (portRef N_654) (portRef A (instanceRef current_b2_buffer_RNO_12)) )) + (net N_653 (joined + (portRef N_653) + (portRef A (instanceRef current_b2_buffer_RNO_11)) + )) (net N_652 (joined (portRef N_652) (portRef A (instanceRef current_b2_buffer_RNO_10)) @@ -32561,6 +32596,10 @@ (portRef N_651) (portRef A (instanceRef current_b2_buffer_RNO_9)) )) + (net N_650 (joined + (portRef N_650) + (portRef A (instanceRef current_b2_buffer_RNO_8)) + )) (net N_649 (joined (portRef N_649) (portRef A (instanceRef current_b2_buffer_RNO_7)) @@ -32597,11 +32636,11 @@ (portRef C (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b1_buffer_4)) )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef un21_next_int_master_dataready_out_0) + (net un11_next_int_master_dataready_out_0 (joined + (portRef un11_next_int_master_dataready_out_0) (portRef A (instanceRef combined_COMB_DATAREADY_IN_RNO)) )) - (net state_to_int_0 (joined + (net state_to_int_2 (joined (portRef (member state_to_int 0)) (portRef B (instanceRef combined_COMB_DATAREADY_IN_RNO)) )) @@ -32622,25 +32661,19 @@ (port (array (rename fifo_to_int_data_out "fifo_to_int_data_out(15:3)") 13) (direction OUTPUT)) (port (array (rename buf_apl_packet_num_in "buf_APL_PACKET_NUM_IN(3:3)") 1) (direction INPUT)) (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(31:16)") 16) (direction INPUT)) - (port (array (rename state "state(1:0)") 2) (direction INPUT)) - (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_7 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_2 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_0 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_6 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_12 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_9 (direction INPUT)) - (port buf_api_stat_fifo_to_int_0 (direction INPUT)) - (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) - (port reset_i_19 (direction INPUT)) + (port buf_api_stat_fifo_to_int_3 (direction INPUT)) + (port buf_api_stat_fifo_to_int_5 (direction OUTPUT)) + (port reset_i_20 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port FF_23_RNICS201 (direction OUTPUT)) - (port waiting_word (direction INPUT)) + (port N_5723_i (direction INPUT)) ) (contents - (instance FF_23_RNICS201 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) (instance AND2_t4 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) (instance INV_8 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) @@ -32834,24 +32867,8 @@ (instance e_cmp_2 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_1 (viewRef syn_black_box (cellRef ALEB2)) ) (instance e_cmp_0 (viewRef syn_black_box (cellRef ALEB2)) ) - (net waiting_word (joined - (portRef waiting_word) - (portRef A (instanceRef FF_23_RNICS201)) - )) - (net state_1 (joined - (portRef (member state 0)) - (portRef C (instanceRef FF_23_RNICS201)) - )) - (net state_0 (joined - (portRef (member state 1)) - (portRef D (instanceRef FF_23_RNICS201)) - )) - (net FF_23_RNICS201 (joined - (portRef Z (instanceRef FF_23_RNICS201)) - (portRef FF_23_RNICS201) - )) - (net buf_api_stat_fifo_to_int_0 (joined - (portRef buf_api_stat_fifo_to_int_0) + (net N_5723_i (joined + (portRef N_5723_i) (portRef A (instanceRef AND2_t4)) )) (net invout_2 (joined @@ -32887,15 +32904,8 @@ (portRef A (instanceRef XOR2_t1)) (portRef A (instanceRef AND2_t2)) )) - (net buf_api_stat_fifo_to_int_11 (joined - (portRef Q (instanceRef FF_23)) - (portRef AD0 (instanceRef LUT4_0)) - (portRef A (instanceRef INV_8)) - (portRef B (instanceRef FF_23_RNICS201)) - (portRef buf_api_stat_fifo_to_int_11) - )) - (net buf_api_stat_fifo_to_int_9 (joined - (portRef buf_api_stat_fifo_to_int_9) + (net buf_api_stat_fifo_to_int_3 (joined + (portRef buf_api_stat_fifo_to_int_3) (portRef A (instanceRef AND2_t3)) )) (net invout_1 (joined @@ -32954,11 +32964,11 @@ (portRef Z (instanceRef INV_5)) (portRef B0 (instanceRef g_cmp_5)) )) - (net buf_api_stat_fifo_to_int_12 (joined + (net buf_api_stat_fifo_to_int_6 (joined (portRef Q (instanceRef FF_24)) (portRef AD0 (instanceRef LUT4_1)) (portRef A (instanceRef INV_7)) - (portRef buf_api_stat_fifo_to_int_12) + (portRef buf_api_stat_fifo_to_int_6) )) (net cmp_le_1 (joined (portRef S0 (instanceRef a0)) @@ -32968,6 +32978,12 @@ (portRef DO0 (instanceRef LUT4_1)) (portRef D (instanceRef FF_24)) )) + (net buf_api_stat_fifo_to_int_5 (joined + (portRef Q (instanceRef FF_23)) + (portRef AD0 (instanceRef LUT4_0)) + (portRef A (instanceRef INV_8)) + (portRef buf_api_stat_fifo_to_int_5) + )) (net cmp_ge_d1 (joined (portRef S0 (instanceRef a1)) (portRef AD2 (instanceRef LUT4_0)) @@ -33271,8 +33287,8 @@ (portRef CLKB (instanceRef pdp_ram_0_0_0)) (portRef CLKA (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_19 (joined - (portRef reset_i_19) + (net reset_i_20 (joined + (portRef reset_i_20) (portRef CD (instanceRef FF_2)) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) @@ -33363,17 +33379,17 @@ (net un1_pdp_ram_0_0_0_17 (joined (portRef DOA17 (instanceRef pdp_ram_0_0_0)) )) - (net buf_api_stat_fifo_to_int_6 (joined + (net buf_api_stat_fifo_to_int_0 (joined (portRef DOB0 (instanceRef pdp_ram_0_0_0)) - (portRef buf_api_stat_fifo_to_int_6) + (portRef buf_api_stat_fifo_to_int_0) )) - (net buf_api_stat_fifo_to_int_7 (joined + (net buf_api_stat_fifo_to_int_1 (joined (portRef DOB1 (instanceRef pdp_ram_0_0_0)) - (portRef buf_api_stat_fifo_to_int_7) + (portRef buf_api_stat_fifo_to_int_1) )) - (net buf_api_stat_fifo_to_int_8 (joined + (net buf_api_stat_fifo_to_int_2 (joined (portRef DOB2 (instanceRef pdp_ram_0_0_0)) - (portRef buf_api_stat_fifo_to_int_8) + (portRef buf_api_stat_fifo_to_int_2) )) (net fifo_to_int_data_out_3 (joined (portRef DOB3 (instanceRef pdp_ram_0_0_0)) @@ -33812,7 +33828,7 @@ (port buf_api_stat_fifo_to_apl_8 (direction INPUT)) (port buf_api_stat_fifo_to_apl_0 (direction INPUT)) (port buf_api_stat_fifo_to_apl_11 (direction OUTPUT)) - (port reset_i_19 (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -34441,8 +34457,8 @@ (portRef CLKB (instanceRef pdp_ram_0_0_0)) (portRef CLKA (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_19 (joined - (portRef reset_i_19) + (net reset_i_20 (joined + (portRef reset_i_20) (portRef CD (instanceRef FF_2)) (portRef CD (instanceRef FF_3)) (portRef CD (instanceRef FF_4)) @@ -35078,6 +35094,9 @@ (instance un1_next_buffer_state_2_sqmuxa_1_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) @@ -35087,6 +35106,12 @@ (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) + (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) @@ -35099,39 +35124,30 @@ (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance COMB_next_READ_OUT_f1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) @@ -35245,31 +35261,31 @@ (portRef D (instanceRef current_next_READ_OUT_RNO)) (portRef D (instanceRef current_buffer_state_ns_1_0__m7)) (portRef C (instanceRef COMB_next_READ_OUT_f1)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_2)) - (portRef B (instanceRef current_b2_buffer_RNO_6)) - (portRef B (instanceRef current_b2_buffer_RNO_7)) (portRef B (instanceRef current_b2_buffer_RNO_16)) (portRef B (instanceRef current_b2_buffer_RNO_18)) + (portRef B (instanceRef current_b2_buffer_RNO_13)) (portRef B (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef B (instanceRef current_b2_buffer_RNO_15)) (portRef B (instanceRef current_b2_buffer_RNO_14)) - (portRef B (instanceRef current_b2_buffer_RNO_13)) (portRef B (instanceRef current_b2_buffer_RNO_12)) (portRef B (instanceRef current_b2_buffer_RNO_11)) (portRef B (instanceRef current_b2_buffer_RNO_10)) (portRef B (instanceRef current_b2_buffer_RNO_9)) + (portRef B (instanceRef current_b2_buffer_RNO_7)) + (portRef B (instanceRef current_b2_buffer_RNO_6)) (portRef B (instanceRef current_b2_buffer_RNO_5)) (portRef B (instanceRef current_b2_buffer_RNO_4)) (portRef B (instanceRef current_b2_buffer_RNO_3)) + (portRef B (instanceRef current_b2_buffer_RNO_2)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) (net N_149 (joined (portRef Z (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b2_buffer_0)) )) - (net N_77_0 (joined + (net N_39 (joined (portRef Z (instanceRef move_b2_buffer_i)) (portRef SP (instanceRef current_b2_buffer_18)) (portRef SP (instanceRef current_b2_buffer_17)) @@ -35415,7 +35431,7 @@ (portRef Q (instanceRef current_b2_buffer_15)) (portRef (member buf_apl_data_out 0)) )) - (net N_325 (joined + (net current_b2_buffer_RNO_0_16 (joined (portRef Z (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b2_buffer_16)) )) @@ -35423,7 +35439,7 @@ (portRef Q (instanceRef current_b2_buffer_16)) (portRef (member buf_apl_packet_num_out 2)) )) - (net N_336 (joined + (net current_b2_buffer_RNO_0_17 (joined (portRef Z (instanceRef current_b2_buffer_RNO_17)) (portRef D (instanceRef current_b2_buffer_17)) )) @@ -35431,7 +35447,7 @@ (portRef Q (instanceRef current_b2_buffer_17)) (portRef (member buf_apl_packet_num_out 1)) )) - (net N_347 (joined + (net current_b2_buffer_RNO_0_18 (joined (portRef Z (instanceRef current_b2_buffer_RNO_18)) (portRef D (instanceRef current_b2_buffer_18)) )) @@ -35439,7 +35455,7 @@ (portRef Q (instanceRef current_b2_buffer_18)) (portRef (member buf_apl_packet_num_out 0)) )) - (net move_b1_buffer_0_i (joined + (net N_31_i (joined (portRef Z (instanceRef combined_COMB_DATAREADY_IN_RNI5CSK)) (portRef SP (instanceRef current_b1_buffer_18)) (portRef SP (instanceRef current_b1_buffer_17)) @@ -35558,6 +35574,11 @@ (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef B (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) + (net fifo_to_apl_data_out_2 (joined + (portRef (member fifo_to_apl_data_out 13)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) + )) (net fifo_to_apl_data_out_3 (joined (portRef (member fifo_to_apl_data_out 12)) (portRef C (instanceRef current_b2_buffer_RNO_3)) @@ -35573,6 +35594,16 @@ (portRef C (instanceRef current_b2_buffer_RNO_5)) (portRef D (instanceRef current_b1_buffer_5)) )) + (net fifo_to_apl_data_out_6 (joined + (portRef (member fifo_to_apl_data_out 9)) + (portRef C (instanceRef current_b2_buffer_RNO_6)) + (portRef D (instanceRef current_b1_buffer_6)) + )) + (net fifo_to_apl_data_out_7 (joined + (portRef (member fifo_to_apl_data_out 8)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b1_buffer_7)) + )) (net fifo_to_apl_data_out_9 (joined (portRef (member fifo_to_apl_data_out 6)) (portRef C (instanceRef current_b2_buffer_RNO_9)) @@ -35593,11 +35624,6 @@ (portRef C (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b1_buffer_12)) )) - (net fifo_to_apl_data_out_13 (joined - (portRef (member fifo_to_apl_data_out 2)) - (portRef C (instanceRef current_b2_buffer_RNO_13)) - (portRef D (instanceRef current_b1_buffer_13)) - )) (net fifo_to_apl_data_out_14 (joined (portRef (member fifo_to_apl_data_out 1)) (portRef C (instanceRef current_b2_buffer_RNO_14)) @@ -35608,11 +35634,26 @@ (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b1_buffer_15)) )) + (net buf_api_stat_fifo_to_apl_40 (joined + (portRef (member buf_api_stat_fifo_to_apl 1)) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) + )) + (net buf_api_stat_fifo_to_apl_41 (joined + (portRef (member buf_api_stat_fifo_to_apl 0)) + (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef D (instanceRef current_b1_buffer_1)) + )) (net fifo_to_apl_data_out_8 (joined (portRef (member fifo_to_apl_data_out 7)) (portRef C (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b1_buffer_8)) )) + (net fifo_to_apl_data_out_13 (joined + (portRef (member fifo_to_apl_data_out 2)) + (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef D (instanceRef current_b1_buffer_13)) + )) (net fifo_to_apl_packet_num_out_1 (joined (portRef (member fifo_to_apl_packet_num_out 0)) (portRef C (instanceRef current_b2_buffer_RNO_18)) @@ -35623,31 +35664,6 @@ (portRef C (instanceRef current_b2_buffer_RNO_16)) (portRef D (instanceRef current_b1_buffer_16)) )) - (net fifo_to_apl_data_out_7 (joined - (portRef (member fifo_to_apl_data_out 8)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) - (portRef D (instanceRef current_b1_buffer_7)) - )) - (net fifo_to_apl_data_out_6 (joined - (portRef (member fifo_to_apl_data_out 9)) - (portRef C (instanceRef current_b2_buffer_RNO_6)) - (portRef D (instanceRef current_b1_buffer_6)) - )) - (net fifo_to_apl_data_out_2 (joined - (portRef (member fifo_to_apl_data_out 13)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) - )) - (net buf_api_stat_fifo_to_apl_41 (joined - (portRef (member buf_api_stat_fifo_to_apl 0)) - (portRef A (instanceRef current_b2_buffer_RNO_1)) - (portRef D (instanceRef current_b1_buffer_1)) - )) - (net buf_api_stat_fifo_to_apl_40 (joined - (portRef (member buf_api_stat_fifo_to_apl 1)) - (portRef A (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) - )) (net buf_SYN_READ_IN (joined (portRef C (instanceRef move_b2_buffer_i)) (portRef A (instanceRef current_buffer_state_ns_1_0__N_6_i)) @@ -35692,7 +35708,7 @@ (view netlist (viewType NETLIST) (interface (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(1:1)") 1) (direction INPUT)) - (port (array (rename state_to_int "state_to_int(0:0)") 1) (direction INPUT)) + (port (array (rename state_to_int "state_to_int(2:2)") 1) (direction INPUT)) (port (array (rename next_int_master_data_out "next_INT_MASTER_DATA_OUT(15:0)") 16) (direction INPUT)) (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_18 (direction INPUT)) @@ -35701,18 +35717,18 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port next_INT_MASTER_DATAREADY_OUT_iv_0 (direction INPUT)) (port sbuf_free (direction INPUT)) - (port un21_next_int_master_dataready_out_0 (direction INPUT)) + (port un11_next_int_master_dataready_out_0 (direction INPUT)) (port N_560 (direction INPUT)) (port N_561 (direction INPUT)) - (port N_570 (direction INPUT)) - (port N_572 (direction INPUT)) - (port N_571 (direction INPUT)) + (port N_564 (direction INPUT)) + (port N_565 (direction INPUT)) + (port N_566 (direction INPUT)) (port N_569 (direction INPUT)) + (port N_570 (direction INPUT)) (port N_567 (direction INPUT)) - (port N_566 (direction INPUT)) - (port N_565 (direction INPUT)) + (port N_572 (direction INPUT)) (port next_INT_MASTER_DATA_OUT_sn_N_17_0 (direction INPUT)) - (port N_564 (direction INPUT)) + (port N_571 (direction INPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port un3_current_syn_dataready_out (direction OUTPUT)) (port GND (direction INPUT)) @@ -35823,28 +35839,28 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) (instance current_b2_buffer_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) (instance current_b2_buffer_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -35853,10 +35869,10 @@ (instance current_b2_buffer_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C B))")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_b2_buffer_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -35868,7 +35884,7 @@ (instance current_b2_buffer_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -35953,22 +35969,22 @@ (net current_buffer_state_1 (joined (portRef Q (instanceRef current_buffer_state_1)) (portRef D (instanceRef move_b2_buffer_i)) - (portRef B (instanceRef current_b2_buffer_RNO_2)) + (portRef B (instanceRef current_b2_buffer_RNO_0)) (portRef B (instanceRef current_b2_buffer_RNO_6)) (portRef B (instanceRef current_b2_buffer_RNO_11)) (portRef B (instanceRef current_b2_buffer_RNO_5)) + (portRef B (instanceRef current_b2_buffer_RNO_2)) (portRef B (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_0)) (portRef C (instanceRef current_b2_buffer_RNO_3)) (portRef C (instanceRef current_b2_buffer_RNO_4)) + (portRef C (instanceRef current_b2_buffer_RNO_7)) + (portRef C (instanceRef current_b2_buffer_RNO_8)) + (portRef C (instanceRef current_b2_buffer_RNO_9)) + (portRef C (instanceRef current_b2_buffer_RNO_12)) (portRef C (instanceRef current_b2_buffer_RNO_13)) + (portRef C (instanceRef current_b2_buffer_RNO_10)) (portRef C (instanceRef current_b2_buffer_RNO_15)) (portRef C (instanceRef current_b2_buffer_RNO_14)) - (portRef C (instanceRef current_b2_buffer_RNO_12)) - (portRef C (instanceRef current_b2_buffer_RNO_10)) - (portRef C (instanceRef current_b2_buffer_RNO_9)) - (portRef C (instanceRef current_b2_buffer_RNO_8)) - (portRef C (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNIV5771)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -35977,7 +35993,7 @@ (portRef C (instanceRef current_b2_buffer_RNO_18)) (portRef B (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) )) - (net N_149 (joined + (net current_b2_buffer_RNO_0_0 (joined (portRef Z (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b2_buffer_0)) )) @@ -36013,7 +36029,7 @@ (portRef Q (instanceRef current_b2_buffer_1)) (portRef (member apl_to_buf_reply_data 14)) )) - (net current_b2_buffer_RNO_0_2 (joined + (net N_171 (joined (portRef Z (instanceRef current_b2_buffer_RNO_2)) (portRef D (instanceRef current_b2_buffer_2)) )) @@ -36021,7 +36037,7 @@ (portRef Q (instanceRef current_b2_buffer_2)) (portRef (member apl_to_buf_reply_data 13)) )) - (net current_b2_buffer_RNO_0_3 (joined + (net current_b2_buffer_RNO_3 (joined (portRef Z (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_3)) )) @@ -36029,7 +36045,7 @@ (portRef Q (instanceRef current_b2_buffer_3)) (portRef (member apl_to_buf_reply_data 12)) )) - (net current_b2_buffer_RNO_0_4 (joined + (net current_b2_buffer_RNO_1_4 (joined (portRef Z (instanceRef current_b2_buffer_RNO_4)) (portRef D (instanceRef current_b2_buffer_4)) )) @@ -36053,7 +36069,7 @@ (portRef Q (instanceRef current_b2_buffer_6)) (portRef (member apl_to_buf_reply_data 9)) )) - (net N_226 (joined + (net current_b2_buffer_RNO_0_7 (joined (portRef Z (instanceRef current_b2_buffer_RNO_7)) (portRef D (instanceRef current_b2_buffer_7)) )) @@ -36061,7 +36077,7 @@ (portRef Q (instanceRef current_b2_buffer_7)) (portRef (member apl_to_buf_reply_data 8)) )) - (net N_237 (joined + (net current_b2_buffer_RNO_1_8 (joined (portRef Z (instanceRef current_b2_buffer_RNO_8)) (portRef D (instanceRef current_b2_buffer_8)) )) @@ -36069,7 +36085,7 @@ (portRef Q (instanceRef current_b2_buffer_8)) (portRef (member apl_to_buf_reply_data 7)) )) - (net N_248 (joined + (net current_b2_buffer_RNO_0_9 (joined (portRef Z (instanceRef current_b2_buffer_RNO_9)) (portRef D (instanceRef current_b2_buffer_9)) )) @@ -36085,7 +36101,7 @@ (portRef Q (instanceRef current_b2_buffer_10)) (portRef (member apl_to_buf_reply_data 5)) )) - (net current_b2_buffer_RNO_11 (joined + (net current_b2_buffer_RNO_1_11 (joined (portRef Z (instanceRef current_b2_buffer_RNO_11)) (portRef D (instanceRef current_b2_buffer_11)) )) @@ -36093,7 +36109,7 @@ (portRef Q (instanceRef current_b2_buffer_11)) (portRef (member apl_to_buf_reply_data 4)) )) - (net N_281 (joined + (net current_b2_buffer_RNO_0_12 (joined (portRef Z (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_12)) )) @@ -36101,7 +36117,7 @@ (portRef Q (instanceRef current_b2_buffer_12)) (portRef (member apl_to_buf_reply_data 3)) )) - (net current_b2_buffer_RNO_13 (joined + (net current_b2_buffer_RNO_0_13 (joined (portRef Z (instanceRef current_b2_buffer_RNO_13)) (portRef D (instanceRef current_b2_buffer_13)) )) @@ -36319,50 +36335,50 @@ (portRef Z (instanceRef COMB_next_READ_OUT_f1)) (portRef COMB_next_READ_OUT_f1_0) )) - (net N_564 (joined - (portRef N_564) - (portRef A (instanceRef current_b2_buffer_RNO_7)) + (net N_571 (joined + (portRef N_571) + (portRef A (instanceRef current_b2_buffer_RNO_14)) )) - (net N_565 (joined - (portRef N_565) - (portRef A (instanceRef current_b2_buffer_RNO_8)) + (net N_572 (joined + (portRef N_572) + (portRef A (instanceRef current_b2_buffer_RNO_15)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_0 (joined (portRef next_INT_MASTER_DATA_OUT_sn_N_17_0) (portRef D (instanceRef current_b2_buffer_RNO_3)) (portRef D (instanceRef current_b2_buffer_RNO_4)) + (portRef D (instanceRef current_b2_buffer_RNO_7)) + (portRef D (instanceRef current_b2_buffer_RNO_8)) + (portRef D (instanceRef current_b2_buffer_RNO_9)) + (portRef D (instanceRef current_b2_buffer_RNO_12)) (portRef D (instanceRef current_b2_buffer_RNO_13)) + (portRef D (instanceRef current_b2_buffer_RNO_10)) (portRef D (instanceRef current_b2_buffer_RNO_15)) (portRef D (instanceRef current_b2_buffer_RNO_14)) - (portRef D (instanceRef current_b2_buffer_RNO_12)) - (portRef D (instanceRef current_b2_buffer_RNO_10)) - (portRef D (instanceRef current_b2_buffer_RNO_9)) - (portRef D (instanceRef current_b2_buffer_RNO_8)) - (portRef D (instanceRef current_b2_buffer_RNO_7)) - )) - (net N_566 (joined - (portRef N_566) - (portRef A (instanceRef current_b2_buffer_RNO_9)) )) (net N_567 (joined (portRef N_567) (portRef A (instanceRef current_b2_buffer_RNO_10)) )) + (net N_570 (joined + (portRef N_570) + (portRef A (instanceRef current_b2_buffer_RNO_13)) + )) (net N_569 (joined (portRef N_569) (portRef A (instanceRef current_b2_buffer_RNO_12)) )) - (net N_571 (joined - (portRef N_571) - (portRef A (instanceRef current_b2_buffer_RNO_14)) + (net N_566 (joined + (portRef N_566) + (portRef A (instanceRef current_b2_buffer_RNO_9)) )) - (net N_572 (joined - (portRef N_572) - (portRef A (instanceRef current_b2_buffer_RNO_15)) + (net N_565 (joined + (portRef N_565) + (portRef A (instanceRef current_b2_buffer_RNO_8)) )) - (net N_570 (joined - (portRef N_570) - (portRef A (instanceRef current_b2_buffer_RNO_13)) + (net N_564 (joined + (portRef N_564) + (portRef A (instanceRef current_b2_buffer_RNO_7)) )) (net N_561 (joined (portRef N_561) @@ -36372,16 +36388,16 @@ (portRef N_560) (portRef A (instanceRef current_b2_buffer_RNO_3)) )) - (net next_INT_MASTER_DATA_OUT_0 (joined - (portRef (member next_int_master_data_out 15)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) - )) (net next_INT_MASTER_DATA_OUT_1 (joined (portRef (member next_int_master_data_out 14)) (portRef C (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_b1_buffer_1)) )) + (net next_INT_MASTER_DATA_OUT_2 (joined + (portRef (member next_int_master_data_out 13)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) + )) (net next_INT_MASTER_DATA_OUT_5 (joined (portRef (member next_int_master_data_out 10)) (portRef C (instanceRef current_b2_buffer_RNO_5)) @@ -36397,16 +36413,16 @@ (portRef C (instanceRef current_b2_buffer_RNO_6)) (portRef D (instanceRef current_b1_buffer_6)) )) - (net next_INT_MASTER_DATA_OUT_2 (joined - (portRef (member next_int_master_data_out 13)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) + (net next_INT_MASTER_DATA_OUT_0 (joined + (portRef (member next_int_master_data_out 15)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef un21_next_int_master_dataready_out_0) + (net un11_next_int_master_dataready_out_0 (joined + (portRef un11_next_int_master_dataready_out_0) (portRef A (instanceRef combined_COMB_DATAREADY_IN_RNO)) )) - (net state_to_int_0 (joined + (net state_to_int_2 (joined (portRef (member state_to_int 0)) (portRef B (instanceRef combined_COMB_DATAREADY_IN_RNO)) )) @@ -36435,7 +36451,7 @@ (port clk_100_i_c (direction INPUT)) ) (contents - (instance CRC_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance CRC_1_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -36476,18 +36492,15 @@ (instance next_CRC_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance CRC_1_i_x2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) - ) - (instance CRC_1_i_x2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B A+B !A))+D (!C (!B A+B !A)+C (!B !A+B A)))")) - ) (instance next_CRC_OUT_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) + (instance CRC_1_i_x2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B A+B !A))+D (!C (!B A+B !A)+C (!B !A+B A)))")) + ) (instance next_CRC_OUT_0_a2_i_x2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B A+B !A))+D (!C (!B A+B !A)+C (!B !A+B A)))")) ) @@ -36506,6 +36519,9 @@ (instance CRC_1_i_x2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B A+B !A))+D (!C (!B A+B !A)+C (!B !A+B A)))")) ) + (instance CRC_1_i_x2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) + ) (instance next_CRC_OUT_0_a2_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) @@ -36515,9 +36531,6 @@ (instance next_CRC_OUT_0_a2_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_0_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) - ) (instance next_CRC_OUT_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) @@ -36533,6 +36546,9 @@ (instance CRC_1_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) + (instance next_CRC_OUT_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) + ) (instance next_CRC_OUT_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) @@ -36587,32 +36603,22 @@ (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) - (net N_343 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_1)) - (portRef C (instanceRef next_CRC_OUT_0_a2_15)) - (portRef A (instanceRef CRC_1_RNO_0)) - )) (net next_CRC_OUT_0_a2_0_0 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_0_5_0)) - (portRef B (instanceRef CRC_1_RNO_0)) - )) - (net next_CRC_OUT_0 (joined - (portRef Z (instanceRef CRC_1_RNO_0)) - (portRef B (instanceRef CRC_1_0)) + (portRef Z (instanceRef next_CRC_OUT_0_a2_RNO_0)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0)) )) (net int_data_in_i_13 (joined (portRef (member int_data_in_i 2)) (portRef B (instanceRef next_CRC_OUT_1_0)) (portRef C (instanceRef CRC_1_RNO_14)) - (portRef C (instanceRef CRC_1_RNO_0)) + (portRef C (instanceRef next_CRC_OUT_0_a2_RNO_0)) )) (net CRC_13 (joined (portRef Q (instanceRef CRC_13)) (portRef A (instanceRef CRC_1_13)) (portRef A (instanceRef next_CRC_OUT_1_0)) (portRef D (instanceRef CRC_1_RNO_14)) - (portRef D (instanceRef CRC_1_RNO_0)) + (portRef D (instanceRef next_CRC_OUT_0_a2_RNO_0)) (portRef (member crc 2)) )) (net next_CRC_OUT_14 (joined @@ -36623,6 +36629,13 @@ (portRef Z (instanceRef CRC_1_0)) (portRef D (instanceRef CRC_0)) )) + (net CRC_0 (joined + (portRef Q (instanceRef CRC_0)) + (portRef A (instanceRef CRC_1_0)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0_15)) + (portRef B (instanceRef next_CRC_OUT_0_a2_RNO_0)) + (portRef (member crc 15)) + )) (net N_19 (joined (portRef Z (instanceRef CRC_1_1)) (portRef D (instanceRef CRC_1)) @@ -36719,42 +36732,6 @@ (portRef Z (instanceRef next_CRC_OUT_1_0)) (portRef B (instanceRef next_CRC_OUT_0_a2_1)) )) - (net CRC_3 (joined - (portRef Q (instanceRef CRC_3)) - (portRef A (instanceRef CRC_1_3)) - (portRef B (instanceRef next_CRC_OUT_0_a2_4)) - (portRef A (instanceRef CRC_1_i_x2_5)) - (portRef (member crc 12)) - )) - (net CRC_4 (joined - (portRef Q (instanceRef CRC_4)) - (portRef A (instanceRef CRC_1_4)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_0_0)) - (portRef A (instanceRef CRC_1_i_x2_6)) - (portRef B (instanceRef CRC_1_i_x2_5)) - (portRef (member crc 11)) - )) - (net N_67_i (joined - (portRef Z (instanceRef CRC_1_i_x2_5)) - (portRef C (instanceRef CRC_RNO_5)) - )) - (net CRC_5 (joined - (portRef Q (instanceRef CRC_5)) - (portRef A (instanceRef CRC_RNO_5)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0_x2_7)) - (portRef B (instanceRef CRC_1_i_x2_6)) - (portRef (member crc 10)) - )) - (net int_data_in_i_4 (joined - (portRef (member int_data_in_i 11)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_0_0)) - (portRef C (instanceRef CRC_1_i_x2_6)) - (portRef D (instanceRef CRC_1_i_x2_5)) - )) - (net N_74_i (joined - (portRef Z (instanceRef CRC_1_i_x2_6)) - (portRef C (instanceRef CRC_RNO_6)) - )) (net CRC_11 (joined (portRef Q (instanceRef CRC_11)) (portRef A (instanceRef CRC_1_11)) @@ -36779,16 +36756,37 @@ (portRef A (instanceRef next_CRC_OUT_0_a2_4)) (portRef (member crc 13)) )) - (net int_data_in_i_3 (joined - (portRef (member int_data_in_i 12)) - (portRef D (instanceRef next_CRC_OUT_0_a2_4)) - (portRef C (instanceRef CRC_1_i_x2_5)) + (net CRC_3 (joined + (portRef Q (instanceRef CRC_3)) + (portRef A (instanceRef CRC_1_3)) + (portRef A (instanceRef CRC_1_i_x2_5)) + (portRef B (instanceRef next_CRC_OUT_0_a2_4)) + (portRef (member crc 12)) )) (net next_CRC_OUT_4 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_4)) (portRef B (instanceRef CRC_1_4)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_0)) )) + (net CRC_4 (joined + (portRef Q (instanceRef CRC_4)) + (portRef A (instanceRef CRC_1_4)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0_0_0)) + (portRef B (instanceRef CRC_1_i_x2_5)) + (portRef A (instanceRef CRC_1_i_x2_6)) + (portRef (member crc 11)) + )) + (net CRC_5 (joined + (portRef Q (instanceRef CRC_5)) + (portRef A (instanceRef CRC_RNO_5)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0_x2_7)) + (portRef B (instanceRef CRC_1_i_x2_6)) + (portRef (member crc 10)) + )) + (net N_74_i (joined + (portRef Z (instanceRef CRC_1_i_x2_6)) + (portRef C (instanceRef CRC_RNO_6)) + )) (net CRC_9 (joined (portRef Q (instanceRef CRC_9)) (portRef A (instanceRef CRC_1_9)) @@ -36886,12 +36884,20 @@ (portRef Z (instanceRef CRC_1_i_x2_8)) (portRef C (instanceRef CRC_RNO_8)) )) - (net CRC_0 (joined - (portRef Q (instanceRef CRC_0)) - (portRef A (instanceRef CRC_1_0)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0_5_0)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0_15)) - (portRef (member crc 15)) + (net int_data_in_i_3 (joined + (portRef (member int_data_in_i 12)) + (portRef C (instanceRef CRC_1_i_x2_5)) + (portRef D (instanceRef next_CRC_OUT_0_a2_4)) + )) + (net int_data_in_i_4 (joined + (portRef (member int_data_in_i 11)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0_0_0)) + (portRef D (instanceRef CRC_1_i_x2_5)) + (portRef C (instanceRef CRC_1_i_x2_6)) + )) + (net N_67_i (joined + (portRef Z (instanceRef CRC_1_i_x2_5)) + (portRef C (instanceRef CRC_RNO_5)) )) (net CRC_14 (joined (portRef Q (instanceRef CRC_14)) @@ -36900,6 +36906,11 @@ (portRef B (instanceRef next_CRC_OUT_0_a2_0_15)) (portRef (member crc 1)) )) + (net int_data_in_i_0 (joined + (portRef (member int_data_in_i 15)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0_15)) + (portRef A (instanceRef next_CRC_OUT_0_a2_RNO_0)) + )) (net next_CRC_OUT_0_a2_0_2 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_0_15)) (portRef B (instanceRef next_CRC_OUT_0_a2_15)) @@ -36932,29 +36943,18 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_4_0)) )) + (net next_CRC_OUT_2 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_2)) + (portRef B (instanceRef CRC_1_2)) + )) (net CRC_15 (joined (portRef Q (instanceRef CRC_15)) (portRef A (instanceRef CRC_1_15)) (portRef A (instanceRef next_CRC_OUT_0_a2_15)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_3)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_5_0)) (portRef (member crc 0)) )) - (net int_data_in_i_0 (joined - (portRef (member int_data_in_i 15)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0_5_0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0_15)) - )) - (net next_CRC_OUT_2 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_2)) - (portRef B (instanceRef CRC_1_2)) - )) - (net int_data_in_i_15 (joined - (portRef (member int_data_in_i 0)) - (portRef D (instanceRef next_CRC_OUT_0_a2_15)) - (portRef C (instanceRef next_CRC_OUT_0_a2_3)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_5_0)) - )) (net next_CRC_OUT_3 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_3)) (portRef B (instanceRef CRC_1_3)) @@ -36963,6 +36963,12 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_0_4_0)) (portRef C (instanceRef next_CRC_OUT_0_a2_0_0)) )) + (net N_343 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0)) + (portRef C (instanceRef next_CRC_OUT_0_a2_1)) + (portRef C (instanceRef next_CRC_OUT_0_a2_15)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0)) + )) (net CRC_RESET_1_sqmuxa (joined (portRef CRC_RESET_1_sqmuxa) (portRef A (instanceRef CRC_1_i_o2_5)) @@ -36971,6 +36977,16 @@ (portRef reset_no_link_1) (portRef B (instanceRef CRC_1_i_o2_5)) )) + (net int_data_in_i_15 (joined + (portRef (member int_data_in_i 0)) + (portRef D (instanceRef next_CRC_OUT_0_a2_15)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0)) + (portRef C (instanceRef next_CRC_OUT_0_a2_3)) + )) + (net next_CRC_OUT_0 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_0)) + (portRef B (instanceRef CRC_1_0)) + )) (net next_CRC_OUT_15 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_15)) (portRef B (instanceRef CRC_1_15)) @@ -37341,16 +37357,13 @@ (instance next_CRC_OUT_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) - ) (instance next_CRC_OUT_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -37359,6 +37372,9 @@ (instance next_CRC_OUT_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) + (instance next_CRC_OUT_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) + ) (instance next_CRC_OUT_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) @@ -37368,19 +37384,19 @@ (instance next_CRC_OUT_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) - (instance next_CRC_OUT_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) (instance next_CRC_OUT_0_a2_0_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -37401,10 +37417,10 @@ (instance CRC_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -37431,16 +37447,16 @@ (instance CRC_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C (B+A)))")) - ) (instance CRC_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + ) + (instance CRC_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (net next_CRC_OUT_1_15 (joined @@ -37448,7 +37464,7 @@ (portRef D (instanceRef next_CRC_OUT_0_a2_15)) (portRef A (instanceRef CRC_1_RNO_0)) )) - (net N_4327 (joined + (net N_4334 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_15)) (portRef A (instanceRef next_CRC_OUT_0_a2_1)) @@ -37607,30 +37623,13 @@ (portRef C (instanceRef CRC_1_13)) (portRef B (instanceRef next_CRC_OUT_0_a2_0_0)) )) - (net crc_out_9 (joined - (portRef Q (instanceRef CRC_9)) - (portRef B (instanceRef CRC_1_9)) - (portRef B (instanceRef next_CRC_OUT_0_a2_10)) - (portRef A (instanceRef next_CRC_OUT_0_a2_11)) - (portRef (member crc_out 6)) - )) (net crc_out_10 (joined (portRef Q (instanceRef CRC_10)) (portRef B (instanceRef CRC_1_10)) - (portRef A (instanceRef next_CRC_OUT_0_a2_12)) (portRef B (instanceRef next_CRC_OUT_0_a2_11)) + (portRef A (instanceRef next_CRC_OUT_0_a2_12)) (portRef (member crc_out 5)) )) - (net next_CRC_OUT_11 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_11)) - (portRef C (instanceRef CRC_1_11)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0_4_0)) - )) - (net fifo_data_out_10 (joined - (portRef (member fifo_data_out 5)) - (portRef C (instanceRef next_CRC_OUT_0_a2_12)) - (portRef D (instanceRef next_CRC_OUT_0_a2_11)) - )) (net fifo_data_out_11 (joined (portRef (member fifo_data_out 4)) (portRef D (instanceRef next_CRC_OUT_0_a2_12)) @@ -37640,22 +37639,6 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_12)) (portRef C (instanceRef CRC_1_12)) )) - (net crc_out_8 (joined - (portRef Q (instanceRef CRC_8)) - (portRef B (instanceRef CRC_1_8)) - (portRef B (instanceRef next_CRC_OUT_0_a2_9)) - (portRef A (instanceRef next_CRC_OUT_0_a2_10)) - (portRef (member crc_out 7)) - )) - (net fifo_data_out_9 (joined - (portRef (member fifo_data_out 6)) - (portRef D (instanceRef next_CRC_OUT_0_a2_10)) - (portRef C (instanceRef next_CRC_OUT_0_a2_11)) - )) - (net next_CRC_OUT_10 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_10)) - (portRef C (instanceRef CRC_1_10)) - )) (net crc_out_2 (joined (portRef Q (instanceRef CRC_2)) (portRef B (instanceRef CRC_1_2)) @@ -37675,6 +37658,23 @@ (portRef C (instanceRef CRC_1_4)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_0)) )) + (net crc_out_9 (joined + (portRef Q (instanceRef CRC_9)) + (portRef B (instanceRef CRC_1_9)) + (portRef B (instanceRef next_CRC_OUT_0_a2_10)) + (portRef A (instanceRef next_CRC_OUT_0_a2_11)) + (portRef (member crc_out 6)) + )) + (net fifo_data_out_10 (joined + (portRef (member fifo_data_out 5)) + (portRef D (instanceRef next_CRC_OUT_0_a2_11)) + (portRef C (instanceRef next_CRC_OUT_0_a2_12)) + )) + (net next_CRC_OUT_11 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_11)) + (portRef C (instanceRef CRC_1_11)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0_4_0)) + )) (net crc_out_7 (joined (portRef Q (instanceRef CRC_7)) (portRef B (instanceRef CRC_1_7)) @@ -37682,10 +37682,12 @@ (portRef A (instanceRef next_CRC_OUT_0_a2_9)) (portRef (member crc_out 8)) )) - (net fifo_data_out_8 (joined - (portRef (member fifo_data_out 7)) - (portRef D (instanceRef next_CRC_OUT_0_a2_9)) - (portRef C (instanceRef next_CRC_OUT_0_a2_10)) + (net crc_out_8 (joined + (portRef Q (instanceRef CRC_8)) + (portRef B (instanceRef CRC_1_8)) + (portRef A (instanceRef next_CRC_OUT_0_a2_10)) + (portRef B (instanceRef next_CRC_OUT_0_a2_9)) + (portRef (member crc_out 7)) )) (net next_CRC_OUT_9 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_9)) @@ -37711,6 +37713,20 @@ (portRef C (instanceRef CRC_1_7)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_4_0)) )) + (net fifo_data_out_8 (joined + (portRef (member fifo_data_out 7)) + (portRef C (instanceRef next_CRC_OUT_0_a2_10)) + (portRef D (instanceRef next_CRC_OUT_0_a2_9)) + )) + (net fifo_data_out_9 (joined + (portRef (member fifo_data_out 6)) + (portRef D (instanceRef next_CRC_OUT_0_a2_10)) + (portRef C (instanceRef next_CRC_OUT_0_a2_11)) + )) + (net next_CRC_OUT_10 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_10)) + (portRef C (instanceRef CRC_1_10)) + )) (net fifo_data_out_6 (joined (portRef (member fifo_data_out 9)) (portRef C (instanceRef next_CRC_OUT_0_a2_8)) @@ -37757,6 +37773,20 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_5)) (portRef C (instanceRef CRC_1_5)) )) + (net fifo_data_out_2 (joined + (portRef (member fifo_data_out 13)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0_0_3)) + (portRef C (instanceRef next_CRC_OUT_0_a2_4)) + )) + (net fifo_data_out_15 (joined + (portRef (member fifo_data_out 0)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0_0_3)) + (portRef D (instanceRef next_CRC_OUT_0_a2_1_15)) + )) + (net next_CRC_OUT_0_a2_0_3 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0_3)) + (portRef C (instanceRef next_CRC_OUT_0_a2_3)) + )) (net crc_out_14 (joined (portRef Q (instanceRef CRC_14)) (portRef B (instanceRef CRC_1_14)) @@ -37774,25 +37804,11 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0_2)) (portRef C (instanceRef next_CRC_OUT_0_a2_2)) )) - (net fifo_data_out_2 (joined - (portRef (member fifo_data_out 13)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0_0_3)) - (portRef C (instanceRef next_CRC_OUT_0_a2_4)) - )) - (net fifo_data_out_15 (joined - (portRef (member fifo_data_out 0)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_0_3)) - (portRef D (instanceRef next_CRC_OUT_0_a2_1_15)) - )) - (net next_CRC_OUT_0_a2_0_3 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0_3)) - (portRef C (instanceRef next_CRC_OUT_0_a2_3)) - )) (net crc_out_1 (joined (portRef Q (instanceRef CRC_1)) (portRef B (instanceRef CRC_1_1)) - (portRef A (instanceRef next_CRC_OUT_0_a2_2)) (portRef A (instanceRef next_CRC_OUT_0_a2_3)) + (portRef A (instanceRef next_CRC_OUT_0_a2_2)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_0_0)) (portRef (member crc_out 14)) )) @@ -37802,18 +37818,18 @@ )) (net fifo_data_out_1 (joined (portRef (member fifo_data_out 14)) - (portRef B (instanceRef next_CRC_OUT_0_a2_2)) (portRef B (instanceRef next_CRC_OUT_0_a2_3)) + (portRef B (instanceRef next_CRC_OUT_0_a2_2)) (portRef C (instanceRef next_CRC_OUT_0_a2_0_0_0)) )) - (net next_CRC_OUT_3 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_3)) - (portRef C (instanceRef CRC_1_3)) - )) (net next_CRC_OUT_2 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_2)) (portRef C (instanceRef CRC_1_2)) )) + (net next_CRC_OUT_3 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_3)) + (portRef C (instanceRef CRC_1_3)) + )) (net next_CRC_OUT_0_a2_0_4_0 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_0_4_0)) (portRef C (instanceRef next_CRC_OUT_0_a2_0_0)) @@ -37834,10 +37850,10 @@ )) (net buf_STAT_INIT_BUFFER_115 (joined (portRef (member buf_stat_init_buffer 0)) - (portRef A (instanceRef CRC_1_4)) + (portRef A (instanceRef CRC_1_2)) + (portRef A (instanceRef CRC_1_3)) (portRef A (instanceRef CRC_1_5)) (portRef A (instanceRef CRC_1_6)) - (portRef A (instanceRef CRC_1_7)) (portRef A (instanceRef CRC_1_8)) (portRef A (instanceRef CRC_1_9)) (portRef A (instanceRef CRC_1_10)) @@ -37846,17 +37862,17 @@ (portRef A (instanceRef CRC_1_13)) (portRef A (instanceRef CRC_1_14)) (portRef A (instanceRef CRC_1_15)) - (portRef A (instanceRef CRC_1_3)) - (portRef A (instanceRef CRC_1_2)) + (portRef A (instanceRef CRC_1_7)) + (portRef A (instanceRef CRC_1_4)) (portRef A (instanceRef CRC_1_1)) (portRef A (instanceRef CRC_1_0)) )) (net STAT_IBUF_BUFFER_0_20 (joined (portRef (member stat_ibuf_buffer_0 0)) - (portRef D (instanceRef CRC_1_4)) + (portRef D (instanceRef CRC_1_2)) + (portRef D (instanceRef CRC_1_3)) (portRef D (instanceRef CRC_1_5)) (portRef D (instanceRef CRC_1_6)) - (portRef D (instanceRef CRC_1_7)) (portRef D (instanceRef CRC_1_8)) (portRef D (instanceRef CRC_1_9)) (portRef D (instanceRef CRC_1_10)) @@ -37865,8 +37881,8 @@ (portRef D (instanceRef CRC_1_13)) (portRef D (instanceRef CRC_1_14)) (portRef D (instanceRef CRC_1_15)) - (portRef D (instanceRef CRC_1_3)) - (portRef D (instanceRef CRC_1_2)) + (portRef D (instanceRef CRC_1_7)) + (portRef D (instanceRef CRC_1_4)) (portRef D (instanceRef CRC_1_1)) (portRef D (instanceRef CRC_1_0)) )) @@ -38200,30 +38216,30 @@ (instance CRC_1_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance next_CRC_OUT_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) (instance CRC_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) - (instance CRC_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A))")) - ) (instance CRC_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) ) (instance CRC_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) ) + (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) @@ -38248,15 +38264,15 @@ (instance CRC_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) ) - (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A))")) - ) (instance CRC_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) (instance CRC_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A))")) ) + (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) (net next_CRC_OUT_0_a2_0_0 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_RNO_0)) (portRef B (instanceRef next_CRC_OUT_0_a2_0)) @@ -38604,8 +38620,8 @@ (net CRC_15 (joined (portRef Q (instanceRef CRC_15)) (portRef A (instanceRef CRC_1_15)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_15)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0)) (portRef A (instanceRef next_CRC_OUT_0_a2_3)) (portRef (member crc 0)) )) @@ -38619,9 +38635,9 @@ )) (net N_343 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_0_0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_15)) (portRef C (instanceRef next_CRC_OUT_0_a2_1)) + (portRef C (instanceRef next_CRC_OUT_0_a2_15)) + (portRef C (instanceRef next_CRC_OUT_0_a2_0)) )) (net CRC_RESET_1_sqmuxa (joined (portRef CRC_RESET_1_sqmuxa) @@ -38631,34 +38647,34 @@ (portRef reset_no_link_1) (portRef B (instanceRef CRC_1_i_o2_5)) )) - (net int_data_in_i_14 (joined - (portRef (member int_data_in_i 1)) - (portRef D (instanceRef next_CRC_OUT_0_a2_1)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_15)) - )) - (net next_CRC_OUT_1 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_1)) - (portRef B (instanceRef CRC_1_1)) - )) (net int_data_in_i_15 (joined (portRef (member int_data_in_i 0)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0)) (portRef D (instanceRef next_CRC_OUT_0_a2_15)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0)) (portRef C (instanceRef next_CRC_OUT_0_a2_3)) )) + (net next_CRC_OUT_0 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_0)) + (portRef B (instanceRef CRC_1_0)) + )) (net next_CRC_OUT_15 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_15)) (portRef B (instanceRef CRC_1_15)) )) - (net next_CRC_OUT_0 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_0)) - (portRef B (instanceRef CRC_1_0)) + (net int_data_in_i_14 (joined + (portRef (member int_data_in_i 1)) + (portRef D (instanceRef next_CRC_OUT_0_a2_1)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0_15)) + )) + (net next_CRC_OUT_1 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_1)) + (portRef B (instanceRef CRC_1_1)) )) (net N_354 (joined (portRef Z (instanceRef CRC_1_i_o2_5)) + (portRef D (instanceRef CRC_1_15)) (portRef D (instanceRef CRC_1_0)) (portRef D (instanceRef CRC_1_1)) - (portRef D (instanceRef CRC_1_15)) (portRef D (instanceRef CRC_RNO_10)) (portRef D (instanceRef CRC_RNO_12)) (portRef D (instanceRef CRC_RNO_5)) @@ -38667,18 +38683,18 @@ (portRef D (instanceRef CRC_1_7)) (portRef D (instanceRef CRC_1_2)) (portRef D (instanceRef CRC_1_3)) + (portRef D (instanceRef CRC_1_4)) (portRef D (instanceRef CRC_1_9)) (portRef D (instanceRef CRC_1_11)) - (portRef D (instanceRef CRC_1_14)) (portRef D (instanceRef CRC_1_13)) - (portRef D (instanceRef CRC_1_4)) + (portRef D (instanceRef CRC_1_14)) (portRef N_354) )) (net N_55 (joined (portRef N_55) + (portRef C (instanceRef CRC_1_15)) (portRef C (instanceRef CRC_1_0)) (portRef C (instanceRef CRC_1_1)) - (portRef C (instanceRef CRC_1_15)) (portRef B (instanceRef CRC_RNO_10)) (portRef B (instanceRef CRC_RNO_12)) (portRef B (instanceRef CRC_RNO_5)) @@ -38687,11 +38703,11 @@ (portRef B (instanceRef CRC_1_7)) (portRef C (instanceRef CRC_1_2)) (portRef C (instanceRef CRC_1_3)) + (portRef C (instanceRef CRC_1_4)) (portRef B (instanceRef CRC_1_9)) (portRef B (instanceRef CRC_1_11)) - (portRef C (instanceRef CRC_1_14)) (portRef C (instanceRef CRC_1_13)) - (portRef C (instanceRef CRC_1_4)) + (portRef C (instanceRef CRC_1_14)) )) ) ) @@ -38730,9 +38746,10 @@ (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) (port un3_current_syn_dataready_out_i (direction OUTPUT)) (port comb_next_init_read (direction OUTPUT)) + (port fifo_data_valid (direction INPUT)) (port init_word_waiting (direction INPUT)) + (port un17_current_fifo_packet_type (direction INPUT)) (port sbuf_init_free (direction INPUT)) - (port un4_fifo_data_valid (direction INPUT)) ) (contents (instance gen_version_0_sbuf (viewRef netlist (cellRef trb_net_sbufZ0_gen_version_0_sbuf_3_gen_version_0_sbuf_1_GEN_IBUF_THE_IBUF_gen_init_sbuf_SBUF_INIT_gen_version_0_sbuf_0_1)) @@ -38905,18 +38922,22 @@ (portRef current_buffer_state_0 (instanceRef gen_version_0_sbuf)) (portRef (member current_buffer_state 0)) )) - (net un4_fifo_data_valid (joined - (portRef un4_fifo_data_valid) - (portRef un4_fifo_data_valid (instanceRef gen_version_0_sbuf)) - )) (net sbuf_init_free (joined (portRef sbuf_init_free) (portRef sbuf_init_free (instanceRef gen_version_0_sbuf)) )) + (net un17_current_fifo_packet_type (joined + (portRef un17_current_fifo_packet_type) + (portRef un17_current_fifo_packet_type (instanceRef gen_version_0_sbuf)) + )) (net init_word_waiting (joined (portRef init_word_waiting) (portRef init_word_waiting (instanceRef gen_version_0_sbuf)) )) + (net fifo_data_valid (joined + (portRef fifo_data_valid) + (portRef fifo_data_valid (instanceRef gen_version_0_sbuf)) + )) (net comb_next_init_read (joined (portRef comb_next_init_read (instanceRef gen_version_0_sbuf)) (portRef comb_next_init_read) @@ -39008,28 +39029,25 @@ (instance next_CRC_OUT_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance next_CRC_OUT_0_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) - ) (instance next_CRC_OUT_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance next_CRC_OUT_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (instance next_CRC_OUT_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -39038,6 +39056,9 @@ (instance next_CRC_OUT_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) + (instance next_CRC_OUT_0_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) + ) (instance next_CRC_OUT_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) @@ -39062,16 +39083,16 @@ (instance next_CRC_OUT_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) - (instance CRC_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -39080,41 +39101,41 @@ (instance CRC_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) (instance CRC_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance CRC_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CRC_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)+C (B+A)))")) ) - (instance next_CRC_OUT_0_a2_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_CRC_OUT_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A))+D (!C (!B !A+B A)+C (!B A+B !A)))")) ) (net next_CRC_OUT_1_15 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef Z (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef D (instanceRef next_CRC_OUT_0_a2_0)) (portRef A (instanceRef CRC_1_RNO_15)) )) @@ -39236,7 +39257,7 @@ )) (net crc_out_15 (joined (portRef Q (instanceRef CRC_15)) - (portRef D (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef D (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef B (instanceRef CRC_1_15)) (portRef A (instanceRef next_CRC_OUT_0_a2_1_3)) (portRef (member crc_out 0)) @@ -39261,25 +39282,6 @@ (portRef A (instanceRef next_CRC_OUT_0_a2_3)) (portRef A (instanceRef next_CRC_OUT_0_a2_2)) )) - (net crc_out_4 (joined - (portRef Q (instanceRef CRC_4)) - (portRef B (instanceRef CRC_1_4)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_1_0)) - (portRef B (instanceRef next_CRC_OUT_0_a2_5)) - (portRef A (instanceRef next_CRC_OUT_0_a2_6)) - (portRef (member crc_out 11)) - )) - (net crc_out_5 (joined - (portRef Q (instanceRef CRC_5)) - (portRef B (instanceRef CRC_1_5)) - (portRef A (instanceRef next_CRC_OUT_0_a2_7)) - (portRef B (instanceRef next_CRC_OUT_0_a2_6)) - (portRef (member crc_out 10)) - )) - (net next_CRC_OUT_6 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_6)) - (portRef C (instanceRef CRC_1_6)) - )) (net crc_out_8 (joined (portRef Q (instanceRef CRC_8)) (portRef B (instanceRef CRC_1_8)) @@ -39298,24 +39300,22 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_10)) (portRef C (instanceRef CRC_1_10)) )) - (net crc_out_12 (joined - (portRef Q (instanceRef CRC_12)) - (portRef B (instanceRef CRC_1_12)) - (portRef B (instanceRef next_CRC_OUT_0_a2_13)) - (portRef A (instanceRef next_CRC_OUT_0_a2_14)) - (portRef (member crc_out 3)) + (net crc_out_7 (joined + (portRef Q (instanceRef CRC_7)) + (portRef B (instanceRef CRC_1_7)) + (portRef B (instanceRef next_CRC_OUT_0_a2_8)) + (portRef A (instanceRef next_CRC_OUT_0_a2_9)) + (portRef (member crc_out 8)) )) - (net crc_out_13 (joined - (portRef Q (instanceRef CRC_13)) - (portRef B (instanceRef CRC_1_13)) - (portRef C (instanceRef next_CRC_OUT_0_a2_1)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0)) - (portRef B (instanceRef next_CRC_OUT_0_a2_14)) - (portRef (member crc_out 2)) + (net fifo_data_out_8 (joined + (portRef (member fifo_data_out 7)) + (portRef D (instanceRef next_CRC_OUT_0_a2_9)) + (portRef C (instanceRef next_CRC_OUT_0_a2_10)) )) - (net next_CRC_OUT_14 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_14)) - (portRef C (instanceRef CRC_1_14)) + (net next_CRC_OUT_9 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_9)) + (portRef C (instanceRef CRC_1_9)) + (portRef A (instanceRef next_CRC_OUT_0_a2_0_2_0)) )) (net crc_out_10 (joined (portRef Q (instanceRef CRC_10)) @@ -39332,38 +39332,57 @@ (net next_CRC_OUT_11 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_11)) (portRef C (instanceRef CRC_1_11)) - (portRef D (instanceRef next_CRC_OUT_0_a2_0_1_0)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0_2_0)) )) (net crc_out_11 (joined (portRef Q (instanceRef CRC_11)) (portRef B (instanceRef CRC_1_11)) - (portRef B (instanceRef next_CRC_OUT_0_a2_12)) (portRef A (instanceRef next_CRC_OUT_0_a2_13)) + (portRef B (instanceRef next_CRC_OUT_0_a2_12)) (portRef (member crc_out 4)) )) - (net fifo_data_out_12 (joined - (portRef (member fifo_data_out 3)) - (portRef D (instanceRef next_CRC_OUT_0_a2_13)) - (portRef C (instanceRef next_CRC_OUT_0_a2_14)) - )) - (net next_CRC_OUT_13 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_13)) - (portRef C (instanceRef CRC_1_13)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_2_0)) - )) (net fifo_data_out_10 (joined (portRef (member fifo_data_out 5)) (portRef C (instanceRef next_CRC_OUT_0_a2_12)) (portRef D (instanceRef next_CRC_OUT_0_a2_11)) )) + (net next_CRC_OUT_12 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_12)) + (portRef C (instanceRef CRC_1_12)) + )) + (net crc_out_12 (joined + (portRef Q (instanceRef CRC_12)) + (portRef B (instanceRef CRC_1_12)) + (portRef B (instanceRef next_CRC_OUT_0_a2_13)) + (portRef A (instanceRef next_CRC_OUT_0_a2_14)) + (portRef (member crc_out 3)) + )) + (net crc_out_13 (joined + (portRef Q (instanceRef CRC_13)) + (portRef B (instanceRef CRC_1_13)) + (portRef C (instanceRef next_CRC_OUT_0_a2_1)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0)) + (portRef B (instanceRef next_CRC_OUT_0_a2_14)) + (portRef (member crc_out 2)) + )) + (net next_CRC_OUT_14 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_14)) + (portRef C (instanceRef CRC_1_14)) + )) (net fifo_data_out_11 (joined (portRef (member fifo_data_out 4)) - (portRef D (instanceRef next_CRC_OUT_0_a2_12)) (portRef C (instanceRef next_CRC_OUT_0_a2_13)) + (portRef D (instanceRef next_CRC_OUT_0_a2_12)) )) - (net next_CRC_OUT_12 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_12)) - (portRef C (instanceRef CRC_1_12)) + (net fifo_data_out_12 (joined + (portRef (member fifo_data_out 3)) + (portRef D (instanceRef next_CRC_OUT_0_a2_13)) + (portRef C (instanceRef next_CRC_OUT_0_a2_14)) + )) + (net next_CRC_OUT_13 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_13)) + (portRef C (instanceRef CRC_1_13)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0_0)) )) (net crc_out_2 (joined (portRef Q (instanceRef CRC_2)) @@ -39384,22 +39403,12 @@ (portRef C (instanceRef CRC_1_4)) (portRef A (instanceRef next_CRC_OUT_0_a2_0_0)) )) - (net crc_out_7 (joined - (portRef Q (instanceRef CRC_7)) - (portRef B (instanceRef CRC_1_7)) - (portRef B (instanceRef next_CRC_OUT_0_a2_8)) - (portRef A (instanceRef next_CRC_OUT_0_a2_9)) - (portRef (member crc_out 8)) - )) - (net fifo_data_out_8 (joined - (portRef (member fifo_data_out 7)) - (portRef D (instanceRef next_CRC_OUT_0_a2_9)) - (portRef C (instanceRef next_CRC_OUT_0_a2_10)) - )) - (net next_CRC_OUT_9 (joined - (portRef Z (instanceRef next_CRC_OUT_0_a2_9)) - (portRef C (instanceRef CRC_1_9)) - (portRef A (instanceRef next_CRC_OUT_0_a2_0_2_0)) + (net crc_out_5 (joined + (portRef Q (instanceRef CRC_5)) + (portRef B (instanceRef CRC_1_5)) + (portRef B (instanceRef next_CRC_OUT_0_a2_6)) + (portRef A (instanceRef next_CRC_OUT_0_a2_7)) + (portRef (member crc_out 10)) )) (net crc_out_6 (joined (portRef Q (instanceRef CRC_6)) @@ -39408,15 +39417,10 @@ (portRef B (instanceRef next_CRC_OUT_0_a2_7)) (portRef (member crc_out 9)) )) - (net fifo_data_out_5 (joined - (portRef (member fifo_data_out 10)) - (portRef C (instanceRef next_CRC_OUT_0_a2_7)) - (portRef D (instanceRef next_CRC_OUT_0_a2_6)) - )) (net next_CRC_OUT_7 (joined (portRef Z (instanceRef next_CRC_OUT_0_a2_7)) (portRef C (instanceRef CRC_1_7)) - (portRef B (instanceRef next_CRC_OUT_0_a2_0_0)) + (portRef D (instanceRef next_CRC_OUT_0_a2_0_1_0)) )) (net fifo_data_out_6 (joined (portRef (member fifo_data_out 9)) @@ -39432,6 +39436,23 @@ (portRef Z (instanceRef next_CRC_OUT_0_a2_8)) (portRef C (instanceRef CRC_1_8)) )) + (net crc_out_4 (joined + (portRef Q (instanceRef CRC_4)) + (portRef B (instanceRef CRC_1_4)) + (portRef B (instanceRef next_CRC_OUT_0_a2_0_1_0)) + (portRef B (instanceRef next_CRC_OUT_0_a2_5)) + (portRef A (instanceRef next_CRC_OUT_0_a2_6)) + (portRef (member crc_out 11)) + )) + (net fifo_data_out_5 (joined + (portRef (member fifo_data_out 10)) + (portRef D (instanceRef next_CRC_OUT_0_a2_6)) + (portRef C (instanceRef next_CRC_OUT_0_a2_7)) + )) + (net next_CRC_OUT_6 (joined + (portRef Z (instanceRef next_CRC_OUT_0_a2_6)) + (portRef C (instanceRef CRC_1_6)) + )) (net fifo_data_out_3 (joined (portRef (member fifo_data_out 12)) (portRef C (instanceRef next_CRC_OUT_0_a2_5)) @@ -39449,7 +39470,7 @@ )) (net crc_out_0 (joined (portRef Q (instanceRef CRC_0)) - (portRef B (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef B (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef B (instanceRef CRC_1_0)) (portRef C (instanceRef next_CRC_OUT_0_a2_2)) (portRef (member crc_out 15)) @@ -39491,50 +39512,50 @@ )) (net buf_STAT_INIT_BUFFER_51 (joined (portRef (member buf_stat_init_buffer 0)) - (portRef A (instanceRef CRC_1_3)) + (portRef A (instanceRef CRC_1_4)) (portRef A (instanceRef CRC_1_5)) - (portRef A (instanceRef CRC_1_7)) + (portRef A (instanceRef CRC_1_6)) (portRef A (instanceRef CRC_1_8)) - (portRef A (instanceRef CRC_1_9)) (portRef A (instanceRef CRC_1_15)) + (portRef A (instanceRef CRC_1_1)) + (portRef A (instanceRef CRC_1_3)) + (portRef A (instanceRef CRC_1_14)) (portRef A (instanceRef CRC_1_13)) (portRef A (instanceRef CRC_1_12)) - (portRef A (instanceRef CRC_1_0)) - (portRef A (instanceRef CRC_1_14)) (portRef A (instanceRef CRC_1_11)) (portRef A (instanceRef CRC_1_10)) - (portRef A (instanceRef CRC_1_6)) - (portRef A (instanceRef CRC_1_4)) + (portRef A (instanceRef CRC_1_9)) + (portRef A (instanceRef CRC_1_7)) (portRef A (instanceRef CRC_1_2)) - (portRef A (instanceRef CRC_1_1)) + (portRef A (instanceRef CRC_1_0)) )) (net STAT_IBUF_BUFFER_0_20 (joined (portRef (member stat_ibuf_buffer_0 0)) - (portRef D (instanceRef CRC_1_3)) + (portRef D (instanceRef CRC_1_4)) (portRef D (instanceRef CRC_1_5)) - (portRef D (instanceRef CRC_1_7)) + (portRef D (instanceRef CRC_1_6)) (portRef D (instanceRef CRC_1_8)) - (portRef D (instanceRef CRC_1_9)) (portRef D (instanceRef CRC_1_15)) + (portRef D (instanceRef CRC_1_1)) + (portRef D (instanceRef CRC_1_3)) + (portRef D (instanceRef CRC_1_14)) (portRef D (instanceRef CRC_1_13)) (portRef D (instanceRef CRC_1_12)) - (portRef D (instanceRef CRC_1_0)) - (portRef D (instanceRef CRC_1_14)) (portRef D (instanceRef CRC_1_11)) (portRef D (instanceRef CRC_1_10)) - (portRef D (instanceRef CRC_1_6)) - (portRef D (instanceRef CRC_1_4)) + (portRef D (instanceRef CRC_1_9)) + (portRef D (instanceRef CRC_1_7)) (portRef D (instanceRef CRC_1_2)) - (portRef D (instanceRef CRC_1_1)) + (portRef D (instanceRef CRC_1_0)) )) (net fifo_data_out_0 (joined (portRef (member fifo_data_out 15)) - (portRef A (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef A (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef D (instanceRef next_CRC_OUT_0_a2_2)) )) (net fifo_data_out_15 (joined (portRef (member fifo_data_out 0)) - (portRef C (instanceRef next_CRC_OUT_0_a2_1_15)) + (portRef C (instanceRef next_CRC_OUT_0_a2_1_0)) (portRef B (instanceRef next_CRC_OUT_0_a2_1_3)) )) ) @@ -39773,8 +39794,8 @@ (port VCC (direction INPUT)) (port GND (direction INPUT)) (port buf_SYN_READ_IN (direction INOUT)) - (port un3_current_syn_dataready_out_i (direction OUTPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) + (port un3_current_syn_dataready_out_i (direction OUTPUT)) (port comb_next_init_read (direction OUTPUT)) (port init_word_waiting (direction INPUT)) (port sbuf_init_free (direction INPUT)) @@ -39963,14 +39984,14 @@ (portRef comb_next_init_read (instanceRef gen_version_0_sbuf)) (portRef comb_next_init_read) )) - (net COMB_next_READ_OUT_f1_0 (joined - (portRef COMB_next_READ_OUT_f1_0 (instanceRef gen_version_0_sbuf)) - (portRef COMB_next_READ_OUT_f1_0) - )) (net un3_current_syn_dataready_out_i (joined (portRef un3_current_syn_dataready_out_i (instanceRef gen_version_0_sbuf)) (portRef un3_current_syn_dataready_out_i) )) + (net COMB_next_READ_OUT_f1_0 (joined + (portRef COMB_next_READ_OUT_f1_0 (instanceRef gen_version_0_sbuf)) + (portRef COMB_next_READ_OUT_f1_0) + )) (net buf_SYN_READ_IN (joined (portRef buf_SYN_READ_INZ0 (instanceRef gen_version_0_sbuf)) (portRef buf_SYN_READ_IN) @@ -40230,7 +40251,7 @@ (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) ) (contents (instance gen_18_512_THE_FIFO (viewRef netlist (cellRef fifo_18x512_oreg)) @@ -40383,9 +40404,9 @@ (portRef (member length_buffer_full 0) (instanceRef gen_18_512_THE_FIFO)) (portRef (member length_buffer_full 0)) )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) - (portRef reset_ipu_i_0_i_2 (instanceRef gen_18_512_THE_FIFO)) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) + (portRef reset_ipu_i_0_i_1_2 (instanceRef gen_18_512_THE_FIFO)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -40427,7 +40448,7 @@ (port tmg_trg_error_i (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_ipu_i_0_i (direction INPUT)) + (port reset_ipu_i_0_i_1 (direction INPUT)) (port header_buffer_almost_full (direction OUTPUT)) ) (contents @@ -40717,9 +40738,9 @@ (portRef header_buffer_almost_full (instanceRef gen_36_512_THE_FIFO)) (portRef header_buffer_almost_full) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) - (portRef reset_ipu_i_0_i (instanceRef gen_36_512_THE_FIFO)) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) + (portRef reset_ipu_i_0_i_1 (instanceRef gen_36_512_THE_FIFO)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -40765,15 +40786,14 @@ (port (array (rename trg_int_number_i "trg_int_number_i(3:0)") 4) (direction INPUT)) (port (array (rename data_buffer_filllevel "data_buffer_filllevel(13:0)") 14) (direction OUTPUT)) (port (array (rename ipu_data "ipu_data(31:8)") 24) (direction OUTPUT)) - (port fee_data_write_i (direction INPUT)) - (port data_counter_0 (direction INPUT)) - (port GND (direction INPUT)) + (port N_277 (direction INPUT)) (port m129_2_03_4_i_4 (direction INPUT)) + (port GND (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) + (port reset_ipu_i_0_i_1_1 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) (port reset_ipu_i_0_i_1 (direction INPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) - (port reset_ipu_i_0_i (direction INPUT)) (port fee_almost_full_i (direction OUTPUT)) (port m176_2_03_2_i_4 (direction OUTPUT)) (port m177_2_03_2_i_4 (direction OUTPUT)) @@ -41147,18 +41167,18 @@ (portRef fee_almost_full_i (instanceRef gen_36_8k_THE_FIFO)) (portRef fee_almost_full_i) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) - (portRef reset_ipu_i_0_i (instanceRef gen_36_8k_THE_FIFO)) - )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) - (portRef reset_ipu_i_0_i_2 (instanceRef gen_36_8k_THE_FIFO)) - )) (net reset_ipu_i_0_i_1 (joined (portRef reset_ipu_i_0_i_1) (portRef reset_ipu_i_0_i_1 (instanceRef gen_36_8k_THE_FIFO)) )) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) + (portRef reset_ipu_i_0_i_1_2 (instanceRef gen_36_8k_THE_FIFO)) + )) + (net reset_ipu_i_0_i_1_1 (joined + (portRef reset_ipu_i_0_i_1_1) + (portRef reset_ipu_i_0_i_1_1 (instanceRef gen_36_8k_THE_FIFO)) + )) (net VCC (joined (portRef VCC) (portRef VCC (instanceRef gen_36_8k_THE_FIFO)) @@ -41167,21 +41187,17 @@ (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef gen_36_8k_THE_FIFO)) )) - (net m129_2_03_4_i_4 (joined - (portRef m129_2_03_4_i_4) - (portRef m129_2_03_4_i_4 (instanceRef gen_36_8k_THE_FIFO)) - )) (net GND (joined (portRef GND) (portRef GND (instanceRef gen_36_8k_THE_FIFO)) )) - (net data_counter_0 (joined - (portRef data_counter_0) - (portRef data_counter_0 (instanceRef gen_36_8k_THE_FIFO)) + (net m129_2_03_4_i_4 (joined + (portRef m129_2_03_4_i_4) + (portRef m129_2_03_4_i_4 (instanceRef gen_36_8k_THE_FIFO)) )) - (net fee_data_write_i (joined - (portRef fee_data_write_i) - (portRef fee_data_write_i (instanceRef gen_36_8k_THE_FIFO)) + (net N_277 (joined + (portRef N_277) + (portRef N_277 (instanceRef gen_36_8k_THE_FIFO)) )) ) ) @@ -41357,9 +41373,9 @@ (view netlist (viewType NETLIST) (interface (port current_mux_buffer_3_5 (direction INPUT)) - (port current_mux_buffer_3_16 (direction INPUT)) - (port current_mux_buffer_3_0 (direction INPUT)) (port current_mux_buffer_3_1 (direction INPUT)) + (port current_mux_buffer_3_0 (direction INPUT)) + (port current_mux_buffer_3_16 (direction INPUT)) (port current_mux_buffer_3_18 (direction INPUT)) (port current_mux_buffer_3_4 (direction INPUT)) (port current_mux_buffer_2_5 (direction INPUT)) @@ -41374,10 +41390,10 @@ (port current_mux_buffer_2_8 (direction INPUT)) (port current_mux_buffer_2_6 (direction INPUT)) (port current_mux_buffer_2_15 (direction INPUT)) - (port current_mux_buffer_2_16 (direction INPUT)) (port current_mux_buffer_2_13 (direction INPUT)) - (port current_mux_buffer_2_0 (direction INPUT)) (port current_mux_buffer_2_1 (direction INPUT)) + (port current_mux_buffer_2_0 (direction INPUT)) + (port current_mux_buffer_2_16 (direction INPUT)) (port current_mux_buffer_2_18 (direction INPUT)) (port current_mux_buffer_2_4 (direction INPUT)) (port current_mux_buffer_1_5 (direction INPUT)) @@ -41392,30 +41408,30 @@ (port current_mux_buffer_1_8 (direction INPUT)) (port current_mux_buffer_1_6 (direction INPUT)) (port current_mux_buffer_1_15 (direction INPUT)) - (port current_mux_buffer_1_16 (direction INPUT)) (port current_mux_buffer_1_13 (direction INPUT)) - (port current_mux_buffer_1_0 (direction INPUT)) (port current_mux_buffer_1_1 (direction INPUT)) + (port current_mux_buffer_1_0 (direction INPUT)) + (port current_mux_buffer_1_16 (direction INPUT)) (port current_mux_buffer_1_18 (direction INPUT)) (port current_mux_buffer_1_4 (direction INPUT)) (port (array (rename med_data_out "med_data_out(15:0)") 16) (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) (port med_packet_num_out_2 (direction OUTPUT)) - (port current_mux_buffer_0_0_d0 (direction INPUT)) (port current_mux_buffer_0_1 (direction INPUT)) (port current_mux_buffer_0_2 (direction INPUT)) (port current_mux_buffer_0_6 (direction INPUT)) (port current_mux_buffer_0_7 (direction INPUT)) (port current_mux_buffer_0_8 (direction INPUT)) - (port current_mux_buffer_0_9 (direction INPUT)) (port current_mux_buffer_0_10 (direction INPUT)) (port current_mux_buffer_0_11 (direction INPUT)) (port current_mux_buffer_0_12 (direction INPUT)) (port current_mux_buffer_0_13 (direction INPUT)) (port current_mux_buffer_0_14 (direction INPUT)) (port current_mux_buffer_0_15 (direction INPUT)) - (port current_mux_buffer_0_16 (direction INPUT)) (port current_mux_buffer_0_18 (direction INPUT)) + (port current_mux_buffer_0_16 (direction INPUT)) + (port current_mux_buffer_0_9 (direction INPUT)) + (port current_mux_buffer_0_0_d0 (direction INPUT)) (port current_mux_buffer_0_5 (direction INPUT)) (port current_mux_buffer_0_4 (direction INPUT)) (port current_mux_buffer_0_0_3 (direction INPUT)) @@ -41429,10 +41445,10 @@ (port current_mux_buffer_0_0_8 (direction INPUT)) (port current_mux_buffer_0_0_6 (direction INPUT)) (port current_mux_buffer_0_0_15 (direction INPUT)) - (port current_mux_buffer_0_0_16 (direction INPUT)) (port current_mux_buffer_0_0_13 (direction INPUT)) - (port current_mux_buffer_0_0_0 (direction INPUT)) (port current_mux_buffer_0_0_1 (direction INPUT)) + (port current_mux_buffer_0_0_0 (direction INPUT)) + (port current_mux_buffer_0_0_16 (direction INPUT)) (port current_mux_buffer_0_0_18 (direction INPUT)) (port (array (rename k_1 "k_1(1:0)") 2) (direction INPUT)) (port N_757_0 (direction INPUT)) @@ -41450,7 +41466,7 @@ (port un155_var_mux_buffer (direction INPUT)) (port un145_var_mux_buffer (direction INPUT)) (port mux_read (direction INPUT)) - (port N_538_i (direction INPUT)) + (port N_465_i (direction INPUT)) ) (contents (instance gen_version_0_sbuf (viewRef netlist (cellRef trb_net_sbufZ0_gen_version_0_sbuf_3_gen_version_0_sbuf_1_GEN_IBUF_THE_IBUF_gen_init_sbuf_SBUF_INIT_gen_version_0_sbuf_0_7)) @@ -41467,22 +41483,22 @@ (portRef current_mux_buffer_0_0_18) (portRef current_mux_buffer_0_0_18 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_0_1 (joined - (portRef current_mux_buffer_0_0_1) - (portRef current_mux_buffer_0_0_1 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_0_0_16 (joined + (portRef current_mux_buffer_0_0_16) + (portRef current_mux_buffer_0_0_16 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_0_0_0 (joined (portRef current_mux_buffer_0_0_0) (portRef current_mux_buffer_0_0_0 (instanceRef gen_version_0_sbuf)) )) + (net current_mux_buffer_0_0_1 (joined + (portRef current_mux_buffer_0_0_1) + (portRef current_mux_buffer_0_0_1 (instanceRef gen_version_0_sbuf)) + )) (net current_mux_buffer_0_0_13 (joined (portRef current_mux_buffer_0_0_13) (portRef current_mux_buffer_0_0_13 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_0_16 (joined - (portRef current_mux_buffer_0_0_16) - (portRef current_mux_buffer_0_0_16 (instanceRef gen_version_0_sbuf)) - )) (net current_mux_buffer_0_0_15 (joined (portRef current_mux_buffer_0_0_15) (portRef current_mux_buffer_0_0_15 (instanceRef gen_version_0_sbuf)) @@ -41535,14 +41551,22 @@ (portRef current_mux_buffer_0_5) (portRef current_mux_buffer_0_5 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_18 (joined - (portRef current_mux_buffer_0_18) - (portRef current_mux_buffer_0_18 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_0_0_d0 (joined + (portRef current_mux_buffer_0_0_d0) + (portRef current_mux_buffer_0_0_d0 (instanceRef gen_version_0_sbuf)) + )) + (net current_mux_buffer_0_9 (joined + (portRef current_mux_buffer_0_9) + (portRef current_mux_buffer_0_9 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_0_16 (joined (portRef current_mux_buffer_0_16) (portRef current_mux_buffer_0_16 (instanceRef gen_version_0_sbuf)) )) + (net current_mux_buffer_0_18 (joined + (portRef current_mux_buffer_0_18) + (portRef current_mux_buffer_0_18 (instanceRef gen_version_0_sbuf)) + )) (net current_mux_buffer_0_15 (joined (portRef current_mux_buffer_0_15) (portRef current_mux_buffer_0_15 (instanceRef gen_version_0_sbuf)) @@ -41567,10 +41591,6 @@ (portRef current_mux_buffer_0_10) (portRef current_mux_buffer_0_10 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_9 (joined - (portRef current_mux_buffer_0_9) - (portRef current_mux_buffer_0_9 (instanceRef gen_version_0_sbuf)) - )) (net current_mux_buffer_0_8 (joined (portRef current_mux_buffer_0_8) (portRef current_mux_buffer_0_8 (instanceRef gen_version_0_sbuf)) @@ -41591,10 +41611,6 @@ (portRef current_mux_buffer_0_1) (portRef current_mux_buffer_0_1 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_0_0_d0 (joined - (portRef current_mux_buffer_0_0_d0) - (portRef current_mux_buffer_0_0_d0 (instanceRef gen_version_0_sbuf)) - )) (net med_packet_num_out_2 (joined (portRef med_packet_num_out_2 (instanceRef gen_version_0_sbuf)) (portRef med_packet_num_out_2) @@ -41675,22 +41691,22 @@ (portRef current_mux_buffer_1_18) (portRef current_mux_buffer_1_18 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_1_1 (joined - (portRef current_mux_buffer_1_1) - (portRef current_mux_buffer_1_1 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_1_16 (joined + (portRef current_mux_buffer_1_16) + (portRef current_mux_buffer_1_16 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_1_0 (joined (portRef current_mux_buffer_1_0) (portRef current_mux_buffer_1_0 (instanceRef gen_version_0_sbuf)) )) + (net current_mux_buffer_1_1 (joined + (portRef current_mux_buffer_1_1) + (portRef current_mux_buffer_1_1 (instanceRef gen_version_0_sbuf)) + )) (net current_mux_buffer_1_13 (joined (portRef current_mux_buffer_1_13) (portRef current_mux_buffer_1_13 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_1_16 (joined - (portRef current_mux_buffer_1_16) - (portRef current_mux_buffer_1_16 (instanceRef gen_version_0_sbuf)) - )) (net current_mux_buffer_1_15 (joined (portRef current_mux_buffer_1_15) (portRef current_mux_buffer_1_15 (instanceRef gen_version_0_sbuf)) @@ -41747,22 +41763,22 @@ (portRef current_mux_buffer_2_18) (portRef current_mux_buffer_2_18 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_2_1 (joined - (portRef current_mux_buffer_2_1) - (portRef current_mux_buffer_2_1 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_2_16 (joined + (portRef current_mux_buffer_2_16) + (portRef current_mux_buffer_2_16 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_2_0 (joined (portRef current_mux_buffer_2_0) (portRef current_mux_buffer_2_0 (instanceRef gen_version_0_sbuf)) )) + (net current_mux_buffer_2_1 (joined + (portRef current_mux_buffer_2_1) + (portRef current_mux_buffer_2_1 (instanceRef gen_version_0_sbuf)) + )) (net current_mux_buffer_2_13 (joined (portRef current_mux_buffer_2_13) (portRef current_mux_buffer_2_13 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_2_16 (joined - (portRef current_mux_buffer_2_16) - (portRef current_mux_buffer_2_16 (instanceRef gen_version_0_sbuf)) - )) (net current_mux_buffer_2_15 (joined (portRef current_mux_buffer_2_15) (portRef current_mux_buffer_2_15 (instanceRef gen_version_0_sbuf)) @@ -41819,25 +41835,25 @@ (portRef current_mux_buffer_3_18) (portRef current_mux_buffer_3_18 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_3_1 (joined - (portRef current_mux_buffer_3_1) - (portRef current_mux_buffer_3_1 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_3_16 (joined + (portRef current_mux_buffer_3_16) + (portRef current_mux_buffer_3_16 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_3_0 (joined (portRef current_mux_buffer_3_0) (portRef current_mux_buffer_3_0 (instanceRef gen_version_0_sbuf)) )) - (net current_mux_buffer_3_16 (joined - (portRef current_mux_buffer_3_16) - (portRef current_mux_buffer_3_16 (instanceRef gen_version_0_sbuf)) + (net current_mux_buffer_3_1 (joined + (portRef current_mux_buffer_3_1) + (portRef current_mux_buffer_3_1 (instanceRef gen_version_0_sbuf)) )) (net current_mux_buffer_3_5 (joined (portRef current_mux_buffer_3_5) (portRef current_mux_buffer_3_5 (instanceRef gen_version_0_sbuf)) )) - (net N_538_i (joined - (portRef N_538_i) - (portRef N_538_i (instanceRef gen_version_0_sbuf)) + (net N_465_i (joined + (portRef N_465_i) + (portRef N_465_i (instanceRef gen_version_0_sbuf)) )) (net mux_read (joined (portRef mux_read) @@ -41989,7 +42005,7 @@ (portRef A (instanceRef un1_current_p1_pattern4_2)) (portRef B (instanceRef comb_rr_or_all_tmp_1_1_RNI7TJ11)) )) - (net current_final_pattern_537_1 (joined + (net current_final_pattern_544_1 (joined (portRef Z (instanceRef comb_rr_or_all_tmp_1_1_RNI7TJ11)) (portRef A (instanceRef comb_rr_or_all_tmp_1_1_RNI5VOK2)) )) @@ -42347,12 +42363,11 @@ (cell trb_net16_sbuf_5_4 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename buf_int_read_out "buf_INT_READ_OUT(7:6)") 2) (direction INPUT)) - (port buf_INT_PACKET_NUM_IN_5 (direction INPUT)) - (port buf_INT_PACKET_NUM_IN_2 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_0 (direction OUTPUT)) - (port buf_INT_PACKET_NUM_IN_1 (direction OUTPUT)) - (port (array (rename current_mux_buffer_2 "current_mux_buffer_2(18:18)") 1) (direction OUTPUT)) + (port (array (rename med_io_read_in "MED_IO_READ_IN(5:5)") 1) (direction INPUT)) + (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(17:17)") 1) (direction INPUT)) + (port (array (rename buf_int_read_out "buf_INT_READ_OUT(6:6)") 1) (direction INPUT)) + (port (array (rename buf_int_packet_num_in "buf_INT_PACKET_NUM_IN(20:18)") 3) (direction OUTPUT)) + (port (array (rename current_mux_buffer_1 "current_mux_buffer_1(18:18)") 1) (direction OUTPUT)) (port (array (rename buf_int_data_in "buf_INT_DATA_IN(111:96)") 16) (direction OUTPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(98:96)") 3) (direction INPUT)) (port (array (rename med_io_dataready_out "MED_IO_DATAREADY_OUT(6:6)") 1) (direction INPUT)) @@ -42452,34 +42467,34 @@ (portRef (member buf_int_data_in 0) (instanceRef gen_version_5_sbuf)) (portRef (member buf_int_data_in 0)) )) - (net current_mux_buffer_2_18 (joined - (portRef (member current_mux_buffer_2 0) (instanceRef gen_version_5_sbuf)) - (portRef (member current_mux_buffer_2 0)) - )) - (net buf_INT_PACKET_NUM_IN_1 (joined - (portRef buf_INT_PACKET_NUM_IN_1 (instanceRef gen_version_5_sbuf)) - (portRef buf_INT_PACKET_NUM_IN_1) + (net current_mux_buffer_1_18 (joined + (portRef (member current_mux_buffer_1 0) (instanceRef gen_version_5_sbuf)) + (portRef (member current_mux_buffer_1 0)) )) - (net buf_INT_PACKET_NUM_IN_0 (joined - (portRef buf_INT_PACKET_NUM_IN_0 (instanceRef gen_version_5_sbuf)) - (portRef buf_INT_PACKET_NUM_IN_0) + (net buf_INT_PACKET_NUM_IN_18 (joined + (portRef (member buf_int_packet_num_in 2) (instanceRef gen_version_5_sbuf)) + (portRef (member buf_int_packet_num_in 2)) )) - (net buf_INT_PACKET_NUM_IN_2 (joined - (portRef buf_INT_PACKET_NUM_IN_2 (instanceRef gen_version_5_sbuf)) - (portRef buf_INT_PACKET_NUM_IN_2) + (net buf_INT_PACKET_NUM_IN_19 (joined + (portRef (member buf_int_packet_num_in 1) (instanceRef gen_version_5_sbuf)) + (portRef (member buf_int_packet_num_in 1)) )) - (net buf_INT_PACKET_NUM_IN_5 (joined - (portRef buf_INT_PACKET_NUM_IN_5) - (portRef buf_INT_PACKET_NUM_IN_5 (instanceRef gen_version_5_sbuf)) + (net buf_INT_PACKET_NUM_IN_20 (joined + (portRef (member buf_int_packet_num_in 0) (instanceRef gen_version_5_sbuf)) + (portRef (member buf_int_packet_num_in 0)) )) (net buf_INT_READ_OUT_6 (joined - (portRef (member buf_int_read_out 1)) - (portRef (member buf_int_read_out 1) (instanceRef gen_version_5_sbuf)) - )) - (net buf_INT_READ_OUT_7 (joined (portRef (member buf_int_read_out 0)) (portRef (member buf_int_read_out 0) (instanceRef gen_version_5_sbuf)) )) + (net MED_IO_PACKET_NUM_OUT_17 (joined + (portRef (member med_io_packet_num_out 0)) + (portRef (member med_io_packet_num_out 0) (instanceRef gen_version_5_sbuf)) + )) + (net MED_IO_READ_IN_5 (joined + (portRef (member med_io_read_in 0)) + (portRef (member med_io_read_in 0) (instanceRef gen_version_5_sbuf)) + )) (net N_159_i (joined (portRef N_159_i) (portRef N_159_i (instanceRef gen_version_5_sbuf)) @@ -42981,7 +42996,9 @@ (port (array (rename buf_int_packet_num_in "buf_INT_PACKET_NUM_IN(23:21)") 3) (direction OUTPUT)) (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(23:21)") 3) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(127:116)") 12) (direction INPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction INPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction INPUT)) + (port current_output_data_buffer_3 (direction INPUT)) + (port current_output_data_buffer_0 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port reset_no_link (direction INPUT)) @@ -42997,20 +43014,20 @@ (instance gen_version_5_sbuf (viewRef netlist (cellRef trb_net_sbuf5_1)) ) (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3)) - (portRef (member current_output_data_buffer 3) (instanceRef gen_version_5_sbuf)) + (portRef current_output_data_buffer_0) + (portRef current_output_data_buffer_0 (instanceRef gen_version_5_sbuf)) )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2)) - (portRef (member current_output_data_buffer 2) (instanceRef gen_version_5_sbuf)) + (net current_output_data_buffer_3 (joined + (portRef current_output_data_buffer_3) + (portRef current_output_data_buffer_3 (instanceRef gen_version_5_sbuf)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1)) - (portRef (member current_output_data_buffer 1) (instanceRef gen_version_5_sbuf)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1)) + (portRef (member current_output_data_buffer_mb 1) (instanceRef gen_version_5_sbuf)) )) - (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0)) - (portRef (member current_output_data_buffer 0) (instanceRef gen_version_5_sbuf)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0)) + (portRef (member current_output_data_buffer_mb 0) (instanceRef gen_version_5_sbuf)) )) (net MED_IO_DATA_OUT_116 (joined (portRef (member med_io_data_out 11)) @@ -43364,13 +43381,16 @@ (cell rom_16x8 (cellType GENERIC) (view netlist (viewType NETLIST) (interface + (port (array (rename buf_rom_read_addr "buf_rom_read_addr(1:1)") 1) (direction INPUT)) (port (array (rename rom_read_addr "rom_read_addr(2:0)") 3) (direction INPUT)) (port rom_read_dout_15 (direction OUTPUT)) (port rom_read_dout_8 (direction OUTPUT)) (port rom_read_dout_9 (direction OUTPUT)) - (port rom_read_dout_7 (direction OUTPUT)) - (port rom_read_dout_2 (direction OUTPUT)) + (port rom_read_dout_6 (direction OUTPUT)) + (port rom_read_dout_3 (direction OUTPUT)) (port rom_read_dout_0 (direction OUTPUT)) + (port N_2345 (direction INPUT)) + (port rom_read_addr_sn_N_2 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -43380,19 +43400,16 @@ ) (instance dout_1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance dout_1_3 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance dout_1_6 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance dout_1_5 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance dout_1_7 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance dout_1_8 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance dout_1_12 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance un1_dout_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance dout_1_1_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance dout_1_1_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) ) (instance un1_dout_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) @@ -43403,55 +43420,58 @@ (instance un1_dout_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance dout_1_1_0__m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C (!B !A))")) + (instance dout_1_1_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (!B !A))+D (!C (B !A)))")) ) - (net dout_1_1_0 (joined - (portRef Z (instanceRef dout_1_1_0__m3)) + (instance un1_dout_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B A))+D (!C (B A)))")) + ) + (net N_23_0 (joined + (portRef Z (instanceRef dout_1_1_i_0)) (portRef D (instanceRef dout_1_0)) )) (net rom_read_dout_0 (joined (portRef Q (instanceRef dout_1_0)) (portRef rom_read_dout_0) )) - (net un1_dout_0 (joined - (portRef Z (instanceRef un1_dout_0)) + (net dout_1_1_1 (joined + (portRef Z (instanceRef dout_1_1_0_a2_1)) (portRef D (instanceRef dout_1_1)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef CK (instanceRef dout_1_12)) (portRef CK (instanceRef dout_1_8)) - (portRef CK (instanceRef dout_1_5)) - (portRef CK (instanceRef dout_1_3)) + (portRef CK (instanceRef dout_1_7)) + (portRef CK (instanceRef dout_1_6)) (portRef CK (instanceRef dout_1_1)) (portRef CK (instanceRef dout_1_0)) )) - (net rom_read_dout_2 (joined + (net rom_read_dout_3 (joined (portRef Q (instanceRef dout_1_1)) - (portRef rom_read_dout_2) + (portRef rom_read_dout_3) )) (net VCC (joined (portRef VCC) )) - (net un1_dout_1_0 (joined - (portRef Z (instanceRef un1_dout_1_0)) - (portRef D (instanceRef dout_1_3)) + (net un1_dout_0 (joined + (portRef Z (instanceRef un1_dout_0)) + (portRef D (instanceRef dout_1_6)) )) - (net rom_read_dout_7 (joined - (portRef Q (instanceRef dout_1_3)) - (portRef rom_read_dout_7) + (net rom_read_dout_6 (joined + (portRef Q (instanceRef dout_1_6)) + (portRef rom_read_dout_6) )) - (net dout_1_1_5 (joined - (portRef Z (instanceRef dout_1_1_0_a2_5)) - (portRef D (instanceRef dout_1_5)) + (net un1_dout_1_0 (joined + (portRef Z (instanceRef un1_dout_1_0)) + (portRef D (instanceRef dout_1_7)) )) (net rom_read_dout_9 (joined - (portRef Q (instanceRef dout_1_5)) + (portRef Q (instanceRef dout_1_7)) (portRef rom_read_dout_9) )) (net dout_1_1_8 (joined - (portRef Z (instanceRef un1_dout_1_0_1)) + (portRef Z (instanceRef un1_dout_5_0)) (portRef D (instanceRef dout_1_8)) )) (net rom_read_dout_8 (joined @@ -43466,33 +43486,46 @@ (portRef Q (instanceRef dout_1_12)) (portRef rom_read_dout_15) )) - (net GND (joined - (portRef GND) + (net rom_read_addr_0 (joined + (portRef (member rom_read_addr 2)) + (portRef A (instanceRef un1_dout_5_0)) + (portRef A (instanceRef un1_dout_0)) + (portRef A (instanceRef un1_dout_5)) + (portRef A (instanceRef un1_dout_1_0)) + (portRef A (instanceRef dout_1_1_i_0)) )) (net rom_read_addr_1 (joined (portRef (member rom_read_addr 1)) - (portRef B (instanceRef dout_1_1_0__m3)) (portRef B (instanceRef un1_dout_0)) (portRef B (instanceRef un1_dout_5)) (portRef B (instanceRef un1_dout_1_0)) - (portRef A (instanceRef dout_1_1_0_a2_5)) - (portRef B (instanceRef un1_dout_1_0_1)) - )) - (net rom_read_addr_0 (joined - (portRef (member rom_read_addr 2)) - (portRef A (instanceRef dout_1_1_0__m3)) - (portRef A (instanceRef un1_dout_0)) - (portRef A (instanceRef un1_dout_5)) - (portRef A (instanceRef un1_dout_1_0)) - (portRef A (instanceRef un1_dout_1_0_1)) + (portRef B (instanceRef dout_1_1_i_0)) )) (net rom_read_addr_2 (joined (portRef (member rom_read_addr 0)) - (portRef C (instanceRef dout_1_1_0__m3)) + (portRef A (instanceRef dout_1_1_0_a2_1)) (portRef C (instanceRef un1_dout_0)) (portRef C (instanceRef un1_dout_5)) (portRef C (instanceRef un1_dout_1_0)) - (portRef B (instanceRef dout_1_1_0_a2_5)) + (portRef C (instanceRef dout_1_1_i_0)) + )) + (net GND (joined + (portRef GND) + )) + (net rom_read_addr_sn_N_2 (joined + (portRef rom_read_addr_sn_N_2) + (portRef B (instanceRef un1_dout_5_0)) + (portRef B (instanceRef dout_1_1_0_a2_1)) + )) + (net buf_rom_read_addr_1 (joined + (portRef (member buf_rom_read_addr 0)) + (portRef C (instanceRef un1_dout_5_0)) + (portRef C (instanceRef dout_1_1_0_a2_1)) + )) + (net N_2345 (joined + (portRef N_2345) + (portRef D (instanceRef un1_dout_5_0)) + (portRef D (instanceRef dout_1_1_0_a2_1)) )) ) ) @@ -43508,67 +43541,62 @@ (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction OUTPUT)) (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(63:48)") 16) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port (array (rename adr_packet_num_out "ADR_PACKET_NUM_OUT(2:2)") 1) (direction OUTPUT)) (port (array (rename current_state "current_state(5:4)") 2) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl "buf_api_stat_fifo_to_apl(106:106)") 1) (direction INPUT)) - (port (array (rename adr_packet_num_out "ADR_PACKET_NUM_OUT(2:2)") 1) (direction OUTPUT)) (port state_2 (direction OUTPUT)) (port state_0 (direction OUTPUT)) (port buf_IDRAM_WR_IN_0_i (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) (port reset_i_rep2 (direction INPUT)) + (port reset_i_fast_r9 (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port ADDRESS_REJECTED_Q (direction OUTPUT)) (port DONT_UNDERSTAND_OUT_Q (direction OUTPUT)) (port reset_i_fast_1_i (direction INPUT)) (port delayed_buf_API_SEND_OUT_Q (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port N_1956 (direction INPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port GND (direction INPUT)) + (port N_1958 (direction INPUT)) (port ADR_READ_OUT (direction OUTPUT)) + (port GND (direction INPUT)) (port ADR_READ_IN (direction INPUT)) ) (contents - (instance delayed_buf_API_SEND_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B !A))+D (!C+(!B+!A)))")) + (instance sending_state_RNIVRG81_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (!C (B+A)+C (!B A)))")) ) - (instance ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+!A))+D (!C+(!B !A)))")) + (instance ram_read_addr1_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)+C (!B A)))")) ) - (instance state_srsts_0_i_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (C+(!B !A)))")) + (instance proc_read_id_un24_clk_en_4_RNINP1G1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) (instance ADDRESS_REJECTED_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B !A)))")) ) - (instance ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+!A)))")) - ) - (instance matching_counter_RNO_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance proc_read_id_un32_clk_en_RNIUVU51 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) (instance buf_API_READ_OUT_RNIIL19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (B A))")) ) - (instance matching_counter_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance sending_state_RNI8CF81_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) - ) (instance buf_API_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+!A))")) ) - (instance state_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + (instance last_ram_read_addr2_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C (B !A))+D A)")) + ) + (instance sending_state_RNIUNG81_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D B)")) + ) + (instance state_0 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance state_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance state_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance state_3 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance state_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance state_4 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) @@ -43578,27 +43606,27 @@ ) (instance recv_set_address (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance ram_read_addr1_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance ram_read_addr1_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance ram_read_addr1_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance ram_read_addr1_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance ram_read_addr1_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance ram_read_addr1_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance ram_read_addr1_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance ram_read_addr1_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance matching_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance matching_counter_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance matching_counter_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance matching_counter_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance matching_counter_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance last_ram_read_addr2_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance last_ram_read_addr2_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance last_ram_read_addr2_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance last_ram_read_addr2_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance last_ram_read_addr2_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance last_ram_read_addr2_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance last_ram_read_addr2_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance last_ram_read_addr2_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance delayed_buf_API_SEND_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -43640,214 +43668,178 @@ ) (instance ADDRESS_REJECTED (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance un1_ram_read_addr1_1_sqmuxa_p4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance ram_read_addr2_0_o2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance ram_read_addr2_cnst_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance ram_read_addr1_1_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance un5_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance ram_read_addr2_0_o3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance proc_read_id_un39_clk_en_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance state_srsts_0_i_a3_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance ram_read_addr1_c1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance matching_counter_1_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) - ) - (instance matching_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A+B A)")) - ) - (instance matching_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A+B A)")) - ) - (instance un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance proc_read_id_un22_clk_en_9_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance ram_read_addr2_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance proc_read_id_un18_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance ram_read_addr1_1_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_read_id_un32_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance proc_read_id_un18_clk_en_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) (instance proc_read_id_un34_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance proc_read_id_un24_clk_en_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance proc_read_id_un22_clk_en_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_read_id_un24_clk_en_0_a3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance proc_read_id_un22_clk_en_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance matching_counter_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance proc_read_id_un22_clk_en_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance ram_read_addr1_1_sqmuxa_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance proc_read_id_un24_clk_en_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance ram_read_addr2_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance proc_read_id_un24_clk_en_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance ram_read_addr2_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+A)))")) + (instance ram_read_addr2_cnst_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) ) - (instance ram_read_addr2_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance matching_counter_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance proc_read_id_ram_read_addr1_9_itt_1_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance ram_read_addr2_cnst_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance ram_read_addr2_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_read_id_un22_clk_en_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance ram_read_addr2_0_a2_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A)))")) + (instance ram_read_addr2_0_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance proc_read_id_un18_clk_en_0_a2_RNICHQF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance ram_read_addr2_cnst_i_x3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (!B A+B !A))")) ) - (instance proc_read_id_ram_read_addr1_9_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A)))")) + (instance state_srsts_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance state_srsts_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C !A+C (B+!A)))")) + (instance state_srsts_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance sending_state_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance ram_read_addr2_cnst_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (B !A))")) ) - (instance ram_read_addr1_1_sqmuxa_i_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance state_srsts_0_a2_0_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance ram_read_addr2_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A)))")) + (instance ram_read_addr2_cnst_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)+C A))")) ) - (instance un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_read_id_un39_clk_en_0_a2_RNIM4NF1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance ram_read_addr2_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance state_srsts_0_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B A))+D (!C !B))")) - ) - (instance sending_state_2_sqmuxa_1_i_s_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+!A)+D (C+(B+!A)))")) - ) - (instance state_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C (B+A)))")) - ) - (instance state_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C (B+A)))")) + (instance proc_read_id_un22_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance proc_read_id_un18_clk_en_0_a2_RNINBOH (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance buf_ADDRESS_OUT_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance proc_read_id_matching_counter_7_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C A)+D (C (!B+A)))")) + (instance matching_counter_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance recv_set_address_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance proc_read_id_un24_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance matching_counter_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + (instance un1_next_state_2_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B !A))+D (!C (!B+A)+C (!B !A)))")) ) - (instance matching_counter_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + (instance ram_read_addr1_n1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A+B !A))")) ) - (instance state_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance ram_read_addr1_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance ram_read_addr2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance matching_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A))")) ) - (instance ram_read_addr2_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) - ) - (instance proc_read_id_ram_read_addr1_9_i_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance proc_read_id_ram_read_addr1_9_i_1_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B !A)))")) + (instance state_srsts_0_a2_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)+C B))")) ) - (instance state_srsts_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A))")) + (instance DONT_UNDERSTAND_OUT_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) - (instance ram_read_addr2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) + (instance ram_read_addr1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance ram_read_addr2_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance state_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A)))")) ) - (instance ram_read_addr_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance state_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)+C B))")) ) - (instance ram_read_addr_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance ram_read_addr1_n3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C (B A)+C (B !A)))")) ) - (instance ram_read_addr_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance matching_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A))")) ) - (instance un1_recv_set_address_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_ram_read_addr120_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)+C A)+D (C+B))")) ) (instance sending_statee_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A)))")) ) - (instance ram_read_addr_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) + (instance un1_sending_state_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B A)+C A))")) + ) + (instance matching_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) ) (instance proc_read_id_sending_state_13_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A)))")) + (property lut_function (string "(!D (!C (B A)+C A))")) ) - (instance sending_state_2_sqmuxa_1_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance ram_read_addr_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+A))+D (!C A+C (B+A)))")) ) - (instance proc_read_id_ram_read_addr1_9_i_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+!A))+D (B+!A))")) + (instance ram_read_addr_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance proc_read_id_ram_read_addr1_9_i_1_m6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))")) + (instance ram_read_addr_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B)+D (C+(B+A)))")) ) - (instance ram_read_addr1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (!B !A)))")) + (instance ram_read_addr_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B A)+C (B+A)))")) ) - (instance ram_read_addr1_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (!B !A)))")) + (instance ram_read_addr1e_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B+A)+C !B))")) ) - (instance sending_statee_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A))")) ) - (instance ram_read_addr1_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) - (instance DONT_UNDERSTAND_OUT_2_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B !A))")) - ) - (instance DONT_UNDERSTAND_OUT_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B+!A)))")) - ) - (instance proc_read_id_un24_clk_en_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) + (instance sending_statee_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B A)))")) ) - (instance proc_read_id_un24_clk_en_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance sending_state_2_sqmuxa_1_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C !A+C (B+!A)))")) ) (instance sending_statee_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (property lut_function (string "(!C B+C A)")) ) (instance sending_statee_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (property lut_function (string "(!C B+C A)")) ) - (instance ram_read_addr2_0_a3_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance proc_read_id_un22_clk_en_5_0_RNI82J41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance sending_state_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_read_id_un29_clk_en_0_I_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -43882,216 +43874,236 @@ ) (instance THE_STAT_RAM (viewRef netlist (cellRef ram_16x16_dp)) ) - (net sending_state_1 (joined - (portRef Q (instanceRef sending_state_1)) - (portRef A (instanceRef ram_read_addr2_0_a3_0_2)) - (portRef C (instanceRef sending_statee_1)) - (portRef D (instanceRef sending_statee_RNO_0_1)) - (portRef C (instanceRef ram_read_addr2_0_a2_2)) - (portRef C (instanceRef state_srsts_0_i_0)) - (portRef C (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef C (instanceRef ram_read_addr2_0_a2_3)) - (portRef C (instanceRef ram_read_addr2_0_a3_2)) - (portRef D (instanceRef ram_read_addr2_0_a3_0)) - (portRef B (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_o2)) - (portRef B (instanceRef sending_state_RNI8CF81_0)) - (portRef C (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) - (portRef B (instanceRef delayed_buf_API_SEND_OUT_RNO)) - )) (net sending_state_0 (joined (portRef Q (instanceRef sending_state_0)) - (portRef B (instanceRef ram_read_addr2_0_a3_0_2)) - (portRef C (instanceRef sending_statee_0)) + (portRef B (instanceRef sending_statee_0)) + (portRef C (instanceRef sending_state_2_sqmuxa_1_i)) (portRef C (instanceRef sending_statee_RNO_0_1)) - (portRef B (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef B (instanceRef ram_read_addr2_0_a3_2)) - (portRef A (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef B (instanceRef state_srsts_0_i_a3_0_4)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_o2)) - (portRef B (instanceRef ram_read_addr2_0_o2_0_3)) - (portRef C (instanceRef sending_state_RNI8CF81_0)) - (portRef D (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) - (portRef D (instanceRef state_srsts_0_i_RNO_4)) - (portRef D (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - (portRef D (instanceRef delayed_buf_API_SEND_OUT_RNO)) - )) - (net N_33_i_0 (joined - (portRef Z (instanceRef delayed_buf_API_SEND_OUT_RNO)) + (portRef C (instanceRef state_RNO_0)) + (portRef D (instanceRef state_srsts_0_a2_1_4)) + (portRef C (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef A (instanceRef proc_read_id_un39_clk_en_0_a2)) + (portRef B (instanceRef sending_state_RNIUNG81_0)) + (portRef A (instanceRef sending_state_RNIVRG81_0)) + )) + (net sending_state_1 (joined + (portRef Q (instanceRef sending_state_1)) + (portRef B (instanceRef sending_statee_1)) + (portRef D (instanceRef sending_statee_RNO_0_1)) + (portRef D (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef C (instanceRef ram_read_addr2_cnst_i_1)) + (portRef D (instanceRef ram_read_addr2_cnst_0)) + (portRef B (instanceRef state_srsts_0_a2_0)) + (portRef B (instanceRef ram_read_addr2_cnst_i_x3_2)) + (portRef B (instanceRef ram_read_addr2_0_sqmuxa_0_a3)) + (portRef B (instanceRef ram_read_addr2_cnst_i_3)) + (portRef B (instanceRef proc_read_id_un39_clk_en_0_a2)) + (portRef A (instanceRef sending_state_RNIUNG81_0)) + (portRef A (instanceRef last_ram_read_addr2_RNO_0)) + (portRef B (instanceRef sending_state_RNIVRG81_0)) + )) + (net N_232_0 (joined + (portRef Z (instanceRef sending_state_RNIVRG81_0)) + (portRef A (instanceRef sending_state_2_sqmuxa_1_i)) (portRef D (instanceRef delayed_buf_API_SEND_OUT)) )) - (net ADR_READ_IN (joined - (portRef ADR_READ_IN) - (portRef A (instanceRef state_RNO_2)) - (portRef A (instanceRef state_RNO_3)) - (portRef C (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef B (instanceRef state_srsts_0_0_0_1)) - (portRef C (instanceRef ram_read_addr2_0_0_2)) - (portRef B (instanceRef state_srsts_0_i_0)) - (portRef B (instanceRef ram_read_addr2_0_a2_3)) - (portRef A (instanceRef ram_read_addr2_0_a3_2)) - (portRef B (instanceRef state_srsts_0_i_RNO_4)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - (portRef C (instanceRef delayed_buf_API_SEND_OUT_RNO)) + (net N_347_0 (joined + (portRef Z (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) + (portRef B (instanceRef ram_read_addr1_n3)) + (portRef B (instanceRef ram_read_addr1_RNO_0)) + (portRef C (instanceRef ram_read_addr1_n1)) + (portRef A (instanceRef ram_read_addr1_RNO_2)) )) - (net N_98 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_o2)) - (portRef A (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef A (instanceRef state_srsts_0_0_0_1)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - (portRef C (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - )) - (net N_96 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - (portRef A (instanceRef ram_read_addr_0_i_3)) - (portRef A (instanceRef ram_read_addr2_0_o2_0)) - (portRef A (instanceRef ram_read_addr2_0_2)) - (portRef A (instanceRef ram_read_addr2_0_o2_1)) - (portRef A (instanceRef ram_read_addr2_0_3)) + (net buf_STAT_ADDR_DEBUG_2 (joined + (portRef Q (instanceRef ram_read_addr1_2)) + (portRef B (instanceRef ram_read_addr_0_i_2)) + (portRef A (instanceRef ram_read_addr1_n3)) + (portRef C (instanceRef proc_read_id_un32_clk_en)) + (portRef B (instanceRef ram_read_addr1_RNO_2)) )) - (net state_0 (joined - (portRef Q (instanceRef state_1)) - (portRef D (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef C (instanceRef state_srsts_0_0_0_1)) - (portRef D (instanceRef ram_read_addr2_0_a2_2)) - (portRef D (instanceRef state_srsts_0_i_0)) - (portRef D (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef D (instanceRef ram_read_addr2_0_a3_2)) - (portRef C (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef A (instanceRef state_srsts_0_i_RNO_4)) - (portRef A (instanceRef delayed_buf_API_SEND_OUT_RNO)) - (portRef state_0) + (net buf_STAT_ADDR_DEBUG_1 (joined + (portRef Q (instanceRef ram_read_addr1_1)) + (portRef A (instanceRef ram_read_addr_0_i_1)) + (portRef B (instanceRef ram_read_addr1_n1)) + (portRef B (instanceRef proc_read_id_un32_clk_en)) + (portRef B (instanceRef ram_read_addr1_c1)) + (portRef C (instanceRef ram_read_addr1_RNO_2)) )) - (net ADR_PACKET_NUM_OUT_2 (joined - (portRef Q (instanceRef state_0)) - (portRef C (instanceRef ram_read_addr2_0_a3_0_2)) - (portRef B (instanceRef ram_read_addr2_0_a3_0_1)) - (portRef A (instanceRef state_srsts_0_i_a3_0_4)) - (portRef A (instanceRef ram_read_addr2_0_o2_0_3)) - (portRef C (instanceRef state_srsts_0_i_RNO_4)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_o2_RNIL0J51)) - (portRef (member adr_packet_num_out 0)) + (net buf_STAT_ADDR_DEBUG_0 (joined + (portRef Q (instanceRef ram_read_addr1_0)) + (portRef A (instanceRef ram_read_addr_0_i_0)) + (portRef A (instanceRef ram_read_addr1_RNO_0)) + (portRef A (instanceRef ram_read_addr1_n1)) + (portRef A (instanceRef proc_read_id_un32_clk_en)) + (portRef A (instanceRef ram_read_addr1_c1)) + (portRef D (instanceRef ram_read_addr1_RNO_2)) + )) + (net ram_read_addr1_n2 (joined + (portRef Z (instanceRef ram_read_addr1_RNO_2)) + (portRef D (instanceRef ram_read_addr1_2)) )) - (net state_srsts_0_i_tz_0_4 (joined - (portRef Z (instanceRef state_srsts_0_i_RNO_4)) - (portRef C (instanceRef state_srsts_0_i_4)) + (net un18_clk_en (joined + (portRef Z (instanceRef proc_read_id_un18_clk_en)) + (portRef A (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef B (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) + (portRef A (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + )) + (net un24_clk_en_8 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_8)) + (portRef B (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef C (instanceRef proc_read_id_un24_clk_en)) + (portRef C (instanceRef proc_read_id_un22_clk_en)) + (portRef B (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + )) + (net un24_clk_en_5_0 (joined + (portRef Z (instanceRef proc_read_id_un24_clk_en_5)) + (portRef B (instanceRef proc_read_id_un24_clk_en)) + (portRef C (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + )) + (net un24_clk_en_4_0 (joined + (portRef Z (instanceRef proc_read_id_un24_clk_en_4)) + (portRef A (instanceRef proc_read_id_un24_clk_en)) + (portRef D (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + )) + (net recv_set_address_1_sqmuxa (joined + (portRef Z (instanceRef proc_read_id_un24_clk_en_4_RNINP1G1)) + (portRef C (instanceRef un1_ram_read_addr120_1)) + (portRef D (instanceRef recv_set_address)) )) (net un34_clk_en (joined (portRef Z (instanceRef proc_read_id_un34_clk_en)) - (portRef A (instanceRef sending_state_0_sqmuxa_0)) + (portRef B (instanceRef buf_ADDRESS_OUT_0_sqmuxa)) (portRef A (instanceRef ADDRESS_REJECTED_RNO)) )) - (net N_309_0 (joined - (portRef Z (instanceRef buf_API_READ_OUT_RNIIL19)) - (portRef B (instanceRef sending_state_0_sqmuxa_0)) - (portRef C (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) - (portRef A (instanceRef sending_state_2_sqmuxa_1_i_s)) - (portRef B (instanceRef proc_read_id_sending_state_13_0)) - (portRef A (instanceRef sending_statee_RNO_0_1)) - (portRef A (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_a2_1_0)) - (portRef A (instanceRef matching_counter_RNO_0_1)) - (portRef A (instanceRef matching_counter_RNO_0_2)) - (portRef A (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_m1_e)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_o2_0)) + (net un32_clk_en (joined + (portRef Z (instanceRef proc_read_id_un32_clk_en)) + (portRef A (instanceRef buf_ADDRESS_OUT_0_sqmuxa)) + (portRef B (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) + (portRef A (instanceRef matching_counter_0_sqmuxa)) + (portRef C (instanceRef proc_read_id_un32_clk_en_RNIUVU51)) (portRef B (instanceRef ADDRESS_REJECTED_RNO)) )) (net recv_set_address (joined (portRef Q (instanceRef recv_set_address)) - (portRef C (instanceRef sending_state_0_sqmuxa_0)) - (portRef B (instanceRef matching_counter_0_sqmuxa_0_a2)) - (portRef D (instanceRef proc_read_id_un18_clk_en_0_a2)) - (portRef B (instanceRef matching_counter_1_sqmuxa_i_0)) - (portRef B (instanceRef matching_counter_RNO_1_1)) - (portRef C (instanceRef matching_counter_RNO_1_2)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) + (portRef B (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef B (instanceRef ram_read_addr1_1_sqmuxa_1)) + (portRef C (instanceRef matching_counter_1_sqmuxa)) + (portRef C (instanceRef buf_ADDRESS_OUT_0_sqmuxa)) + (portRef C (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) + (portRef B (instanceRef matching_counter_0_sqmuxa)) + (portRef D (instanceRef proc_read_id_un18_clk_en)) + (portRef B (instanceRef proc_read_id_un32_clk_en_RNIUVU51)) (portRef C (instanceRef ADDRESS_REJECTED_RNO)) )) - (net N_200 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) - (portRef D (instanceRef sending_state_0_sqmuxa_0)) - (portRef A (instanceRef matching_counter_0_sqmuxa_0_a2)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) + (net N_342_0 (joined + (portRef Z (instanceRef buf_API_READ_OUT_RNIIL19)) + (portRef D (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef A (instanceRef sending_statee_RNO_1)) + (portRef A (instanceRef ram_read_addr1e_0_i)) + (portRef A (instanceRef proc_read_id_sending_state_13_0)) + (portRef A (instanceRef un1_sending_state_2_sqmuxa)) + (portRef A (instanceRef sending_statee_RNO_0_1)) + (portRef A (instanceRef un1_ram_read_addr120_1)) + (portRef A (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) + (portRef A (instanceRef sending_state_0_sqmuxa)) + (portRef A (instanceRef matching_counter_1_sqmuxa)) + (portRef A (instanceRef proc_read_id_un32_clk_en_RNIUVU51)) (portRef D (instanceRef ADDRESS_REJECTED_RNO)) )) (net ADDRESS_REJECTED_4 (joined (portRef Z (instanceRef ADDRESS_REJECTED_RNO)) (portRef D (instanceRef ADDRESS_REJECTED)) )) - (net ram_read_addr1_1_sqmuxa_i_1 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_a2_RNIF73J1)) - (portRef B (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_m1_e)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_itt_1_m1_e)) - )) - (net matching_counter_1 (joined - (portRef Q (instanceRef matching_counter_1)) - (portRef C (instanceRef matching_counter_RNO_0_1)) - (portRef B (instanceRef proc_read_id_un34_clk_en)) - (portRef A (instanceRef matching_counter_RNO_1)) - (portRef A (instanceRef matching_counter_RNO_1_2)) - )) - (net matching_counter_0 (joined - (portRef Q (instanceRef matching_counter_0)) - (portRef C (instanceRef proc_read_id_matching_counter_7_0)) - (portRef A (instanceRef proc_read_id_un34_clk_en)) - (portRef A (instanceRef matching_counter_RNO_1_1)) - (portRef B (instanceRef matching_counter_RNO_1_2)) + (net recv_set_address_0_sqmuxa (joined + (portRef Z (instanceRef proc_read_id_un32_clk_en_RNIUVU51)) + (portRef D (instanceRef matching_counter_RNO_2)) + (portRef C (instanceRef matching_counter_RNO_1)) + (portRef C (instanceRef matching_counter_RNO_0)) )) - (net un29_clk_en_i (joined - (portRef S1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) - (portRef A (instanceRef matching_counter_1_sqmuxa_i_0)) - (portRef C (instanceRef matching_counter_RNO_1_1)) - (portRef D (instanceRef matching_counter_RNO_1_2)) + (net ADR_READ_OUT (joined + (portRef Q (instanceRef buf_API_READ_OUT)) + (portRef A (instanceRef buf_API_READ_OUT_RNO)) + (portRef A (instanceRef buf_API_READ_OUT_RNIIL19)) + (portRef ADR_READ_OUT) )) - (net matching_counter_RNO_1_2 (joined - (portRef Z (instanceRef matching_counter_RNO_1_2)) - (portRef D (instanceRef matching_counter_RNO_0_2)) + (net buf_api_stat_fifo_to_apl_106 (joined + (portRef (member buf_api_stat_fifo_to_apl 0)) + (portRef B (instanceRef buf_API_READ_OUT_RNO)) + (portRef B (instanceRef buf_API_READ_OUT_RNIIL19)) )) - (net matching_counter_RNO_1_1 (joined - (portRef Z (instanceRef matching_counter_RNO_1_1)) - (portRef D (instanceRef matching_counter_RNO_0_1)) + (net N_1958 (joined + (portRef N_1958) + (portRef C (instanceRef buf_API_READ_OUT_RNO)) )) (net GND (joined (portRef GND) (portRef GND (instanceRef THE_STAT_RAM)) (portRef C1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) + (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) (portRef CIN (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) (portRef C0 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) )) - (net N_175 (joined - (portRef Z (instanceRef sending_state_RNI8CF81_0)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) + (net N_342_0_i (joined + (portRef Z (instanceRef buf_API_READ_OUT_RNO)) + (portRef D (instanceRef buf_API_READ_OUT)) )) - (net ADR_READ_OUT (joined - (portRef Q (instanceRef buf_API_READ_OUT)) - (portRef A (instanceRef buf_API_READ_OUT_RNO)) - (portRef A (instanceRef buf_API_READ_OUT_RNIIL19)) - (portRef ADR_READ_OUT) + (net N_276 (joined + (portRef Z (instanceRef un5_i_a2_2)) + (portRef C (instanceRef ram_read_addr2_cnst_0)) + (portRef B (instanceRef last_ram_read_addr2_RNO_0)) )) - (net buf_api_stat_fifo_to_apl_106 (joined - (portRef (member buf_api_stat_fifo_to_apl 0)) - (portRef B (instanceRef buf_API_READ_OUT_RNO)) - (portRef B (instanceRef buf_API_READ_OUT_RNIIL19)) + (net N_234_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_o2_0_0)) + (portRef B (instanceRef state_srsts_0_a2_1_4)) + (portRef B (instanceRef ram_read_addr2_cnst_i_1)) + (portRef C (instanceRef state_srsts_0_a2_0_1_4)) + (portRef B (instanceRef ram_read_addr2_cnst_0)) + (portRef C (instanceRef last_ram_read_addr2_RNO_0)) + )) + (net ram_read_addr2_cnst_0_i_0 (joined + (portRef Z (instanceRef last_ram_read_addr2_RNO_0)) + (portRef D (instanceRef last_ram_read_addr2_0)) )) - (net N_1956 (joined - (portRef N_1956) - (portRef C (instanceRef buf_API_READ_OUT_RNO)) + (net ADR_READ_IN (joined + (portRef ADR_READ_IN) + (portRef B (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef B (instanceRef state_srsts_0_a2_0_1_4)) + (portRef A (instanceRef state_srsts_0_a2_0)) + (portRef A (instanceRef state_srsts_0_o2_1)) + (portRef A (instanceRef ram_read_addr2_cnst_i_x3_2)) + (portRef A (instanceRef ram_read_addr2_0_sqmuxa_0_a3)) + (portRef A (instanceRef ram_read_addr2_cnst_i_3)) + (portRef SP (instanceRef state_3)) + (portRef SP (instanceRef state_2)) + (portRef C (instanceRef sending_state_RNIUNG81_0)) + (portRef D (instanceRef sending_state_RNIVRG81_0)) )) - (net N_309_0_i (joined - (portRef Z (instanceRef buf_API_READ_OUT_RNO)) - (portRef D (instanceRef buf_API_READ_OUT)) + (net ADR_PACKET_NUM_OUT_2 (joined + (portRef Q (instanceRef state_0)) + (portRef A (instanceRef state_RNO_0)) + (portRef A (instanceRef state_srsts_0_a2_1_4)) + (portRef A (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef A (instanceRef state_srsts_0_a2_0_1_4)) + (portRef A (instanceRef ram_read_addr2_cnst_0)) + (portRef B (instanceRef ram_read_addr2_cnst_o2_0)) + (portRef D (instanceRef sending_state_RNIUNG81_0)) + (portRef D (instanceRef last_ram_read_addr2_RNO_0)) + (portRef (member adr_packet_num_out 0)) )) - (net N_51 (joined - (portRef Z (instanceRef state_srsts_0_i_0)) + (net N_236_0_i (joined + (portRef Z (instanceRef sending_state_RNIUNG81_0)) + (portRef SP (instanceRef last_ram_read_addr2_3)) + (portRef SP (instanceRef last_ram_read_addr2_2)) + (portRef SP (instanceRef last_ram_read_addr2_1)) + (portRef SP (instanceRef last_ram_read_addr2_0)) + )) + (net N_185s_0_i (joined + (portRef Z (instanceRef state_RNO_0)) (portRef D (instanceRef state_0)) )) - (net N_172s_0_i (joined + (net N_184s_0_i (joined (portRef Z (instanceRef state_RNO_1)) (portRef D (instanceRef state_1)) )) @@ -44138,53 +44150,35 @@ (portRef CK (instanceRef state_1)) (portRef CK (instanceRef state_0)) )) + (net state_0 (joined + (portRef Q (instanceRef state_1)) + (portRef C (instanceRef state_RNO_1)) + (portRef D (instanceRef ram_read_addr2_cnst_i_1)) + (portRef D (instanceRef state_srsts_0_a2_0_1_4)) + (portRef C (instanceRef state_srsts_0_a2_0)) + (portRef B (instanceRef state_srsts_0_o2_1)) + (portRef C (instanceRef ram_read_addr2_cnst_i_x3_2)) + (portRef C (instanceRef ram_read_addr2_0_sqmuxa_0_a3)) + (portRef A (instanceRef un5_i_a2_2)) + (portRef C (instanceRef sending_state_RNIVRG81_0)) + (portRef state_0) + )) (net VCC (joined (portRef VCC) (portRef VCC (instanceRef THE_STAT_RAM)) (portRef D1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) - (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) (portRef D0 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) )) - (net N_29_i (joined - (portRef Z (instanceRef state_RNO_2)) - (portRef D (instanceRef state_2)) - )) (net stateZ0Z_2 (joined (portRef Q (instanceRef state_2)) - (portRef B (instanceRef state_RNO_2)) - (portRef D (instanceRef state_srsts_0_0_0_1)) - (portRef D (instanceRef ram_read_addr2_0_a2_3)) - (portRef A (instanceRef ram_read_addr2_0_o3_0_1)) - )) - (net N_27_i (joined - (portRef Z (instanceRef state_RNO_3)) - (portRef D (instanceRef state_3)) - )) - (net N_25 (joined - (portRef Z (instanceRef state_srsts_0_i_4)) - (portRef D (instanceRef state_4)) + (portRef C (instanceRef state_srsts_0_o2_1)) + (portRef C (instanceRef ram_read_addr2_cnst_i_3)) + (portRef A (instanceRef ram_read_addr2_cnst_o2_0_0)) )) (net state_4 (joined (portRef Q (instanceRef state_4)) - (portRef C (instanceRef state_RNO_3)) - )) - (net sending_statee_0_0 (joined - (portRef Z (instanceRef sending_statee_0)) - (portRef D (instanceRef sending_state_0)) - )) - (net sending_statee_0_1 (joined - (portRef Z (instanceRef sending_statee_1)) - (portRef D (instanceRef sending_state_1)) - )) - (net recv_set_address_1_sqmuxa (joined - (portRef Z (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef C (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef D (instanceRef recv_set_address)) - )) - (net un1_recv_set_address_0_sqmuxa_0 (joined - (portRef Z (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef SP (instanceRef recv_set_address)) + (portRef D (instanceRef state_3)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -44196,121 +44190,117 @@ (portRef CD (instanceRef matching_counter_2)) (portRef CD (instanceRef matching_counter_1)) (portRef CD (instanceRef matching_counter_0)) - (portRef CD (instanceRef ram_read_addr1_3)) - (portRef CD (instanceRef ram_read_addr1_2)) - (portRef CD (instanceRef ram_read_addr1_1)) - (portRef CD (instanceRef ram_read_addr1_0)) (portRef CD (instanceRef recv_set_address)) - (portRef PD (instanceRef state_0)) + (portRef CD (instanceRef state_3)) + (portRef CD (instanceRef state_2)) )) - (net N_35_i_0 (joined + (net state_2 (joined + (portRef Q (instanceRef state_3)) + (portRef B (instanceRef un5_i_a2_2)) + (portRef B (instanceRef ram_read_addr2_cnst_o2_0_0)) + (portRef D (instanceRef state_2)) + (portRef state_2) + )) + (net N_181s_0_i (joined + (portRef Z (instanceRef state_RNO_4)) + (portRef D (instanceRef state_4)) + )) + (net sending_statee_0_0 (joined + (portRef Z (instanceRef sending_statee_0)) + (portRef D (instanceRef sending_state_0)) + )) + (net sending_statee_0_1 (joined + (portRef Z (instanceRef sending_statee_1)) + (portRef D (instanceRef sending_state_1)) + )) + (net un1_ram_read_addr120_1_0 (joined + (portRef Z (instanceRef un1_ram_read_addr120_1)) + (portRef SP (instanceRef recv_set_address)) + )) + (net N_4339_i (joined (portRef Z (instanceRef ram_read_addr1_RNO_0)) (portRef D (instanceRef ram_read_addr1_0)) )) - (net buf_STAT_ADDR_DEBUG_0 (joined - (portRef Q (instanceRef ram_read_addr1_0)) - (portRef C (instanceRef ram_read_addr1_RNO_0)) - (portRef A (instanceRef ram_read_addr_i_0)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_itt_1_m1_e)) - (portRef A (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) - (portRef A (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0)) - (portRef A (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) - )) - (net ram_read_addr1_9_i_1_m6 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) - (portRef D (instanceRef ram_read_addr1_1)) + (net ram_read_addr1e_0_i (joined + (portRef Z (instanceRef ram_read_addr1e_0_i)) + (portRef SP (instanceRef ram_read_addr1_3)) + (portRef SP (instanceRef ram_read_addr1_2)) + (portRef SP (instanceRef ram_read_addr1_1)) + (portRef SP (instanceRef ram_read_addr1_0)) )) - (net buf_STAT_ADDR_DEBUG_1 (joined - (portRef Q (instanceRef ram_read_addr1_1)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) - (portRef A (instanceRef ram_read_addr_i_1)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) - (portRef B (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0)) - (portRef B (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) - )) - (net N_39_i_0 (joined - (portRef Z (instanceRef ram_read_addr1_RNO_2)) - (portRef D (instanceRef ram_read_addr1_2)) - )) - (net buf_STAT_ADDR_DEBUG_2 (joined - (portRef Q (instanceRef ram_read_addr1_2)) - (portRef C (instanceRef ram_read_addr1_RNO_2)) - (portRef A (instanceRef ram_read_addr_i_2)) - (portRef C (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) - (portRef C (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) + (net ram_read_addr1_n1 (joined + (portRef Z (instanceRef ram_read_addr1_n1)) + (portRef D (instanceRef ram_read_addr1_1)) )) - (net N_41_i_0 (joined - (portRef Z (instanceRef ram_read_addr1_RNO_3)) + (net ram_read_addr1_n3 (joined + (portRef Z (instanceRef ram_read_addr1_n3)) (portRef D (instanceRef ram_read_addr1_3)) )) (net ram_read_addr1_3 (joined (portRef Q (instanceRef ram_read_addr1_3)) - (portRef B (instanceRef ram_read_addr1_RNO_3)) (portRef D (instanceRef ram_read_addr_0_i_3)) - (portRef D (instanceRef ram_read_addr1_1_sqmuxa_i_a2)) + (portRef C (instanceRef ram_read_addr1_n3)) + (portRef D (instanceRef proc_read_id_un32_clk_en)) )) - (net matching_counter_7_0 (joined - (portRef Z (instanceRef proc_read_id_matching_counter_7_0)) + (net N_159_m (joined + (portRef Z (instanceRef matching_counter_RNO_0)) (portRef D (instanceRef matching_counter_0)) )) - (net matching_counter_RNO_1 (joined + (net matching_counter_0 (joined + (portRef Q (instanceRef matching_counter_0)) + (portRef A (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef A (instanceRef matching_counter_RNO_0)) + (portRef A (instanceRef proc_read_id_un34_clk_en)) + )) + (net N_160_m (joined (portRef Z (instanceRef matching_counter_RNO_1)) (portRef D (instanceRef matching_counter_1)) )) - (net N_2_2_i (joined - (portRef Z (instanceRef matching_counter_RNO_0_1)) - (portRef SP (instanceRef matching_counter_1)) + (net matching_counter_1 (joined + (portRef Q (instanceRef matching_counter_1)) + (portRef B (instanceRef matching_counter_RNO_2)) + (portRef B (instanceRef matching_counter_RNO_1)) + (portRef B (instanceRef proc_read_id_un34_clk_en)) )) - (net matching_counter_RNO_2 (joined + (net N_161_m (joined (portRef Z (instanceRef matching_counter_RNO_2)) (portRef D (instanceRef matching_counter_2)) )) - (net N_2_8_i (joined - (portRef Z (instanceRef matching_counter_RNO_0_2)) - (portRef SP (instanceRef matching_counter_2)) - )) (net matching_counter_2 (joined (portRef Q (instanceRef matching_counter_2)) - (portRef C (instanceRef matching_counter_RNO_0_2)) + (portRef C (instanceRef matching_counter_RNO_2)) (portRef C (instanceRef proc_read_id_un34_clk_en)) - (portRef A (instanceRef matching_counter_RNO_2)) - )) - (net buf_STAT_ADDR_DEBUG_3 (joined - (portRef Z (instanceRef ram_read_addr2_0_o2_0)) - (portRef B (instanceRef ram_read_addr_i_0)) - (portRef D (instanceRef last_ram_read_addr2_0)) )) (net last_ram_read_addr2_0 (joined (portRef Q (instanceRef last_ram_read_addr2_0)) - (portRef D (instanceRef ram_read_addr2_0_o2_0)) + (portRef C (instanceRef ram_read_addr_0_i_0)) )) - (net buf_STAT_ADDR_DEBUG_4 (joined - (portRef Z (instanceRef ram_read_addr2_0_o2_1)) - (portRef B (instanceRef ram_read_addr_i_1)) + (net N_224_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_i_1)) + (portRef B (instanceRef ram_read_addr_0_i_1)) (portRef D (instanceRef last_ram_read_addr2_1)) )) (net last_ram_read_addr2_1 (joined (portRef Q (instanceRef last_ram_read_addr2_1)) - (portRef C (instanceRef ram_read_addr2_0_o2_1)) + (portRef D (instanceRef ram_read_addr_0_i_1)) )) - (net buf_STAT_ADDR_DEBUG_5 (joined - (portRef Z (instanceRef ram_read_addr2_0_2)) - (portRef B (instanceRef ram_read_addr_i_2)) + (net N_4338 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_i_x3_2)) + (portRef A (instanceRef ram_read_addr_0_i_2)) (portRef D (instanceRef last_ram_read_addr2_2)) )) (net last_ram_read_addr2_2 (joined (portRef Q (instanceRef last_ram_read_addr2_2)) - (portRef C (instanceRef ram_read_addr2_0_2)) + (portRef D (instanceRef ram_read_addr_0_i_2)) )) - (net buf_STAT_ADDR_DEBUG_6 (joined - (portRef Z (instanceRef ram_read_addr2_0_3)) + (net N_228_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_i_3)) + (portRef A (instanceRef ram_read_addr_0_i_3)) (portRef D (instanceRef last_ram_read_addr2_3)) )) (net last_ram_read_addr2_3 (joined (portRef Q (instanceRef last_ram_read_addr2_3)) (portRef C (instanceRef ram_read_addr_0_i_3)) - (portRef C (instanceRef ram_read_addr2_0_3)) )) (net delayed_buf_API_SEND_OUT_Q (joined (portRef Q (instanceRef delayed_buf_API_SEND_OUT)) @@ -44417,380 +44407,303 @@ (portRef Q (instanceRef ADDRESS_REJECTED)) (portRef ADDRESS_REJECTED_Q) )) - (net ram_read_addr1_1_sqmuxa_i_m1_e (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_m1_e)) - (portRef D (instanceRef ram_read_addr1_RNO_0)) - (portRef D (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) - )) - (net un1_ram_read_addr1_1_sqmuxa_p4 (joined - (portRef Z (instanceRef un1_ram_read_addr1_1_sqmuxa_p4)) - (portRef C (instanceRef ram_read_addr1_RNO_3)) - )) - (net N_114 (joined - (portRef Z (instanceRef ram_read_addr2_0_o2_0_3)) - (portRef A (instanceRef state_srsts_0_i_0)) - (portRef A (instanceRef ram_read_addr2_0_a2_3)) - )) - (net state_2 (joined - (portRef Q (instanceRef state_3)) - (portRef C (instanceRef state_RNO_2)) - (portRef B (instanceRef state_RNO_3)) - (portRef D (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef B (instanceRef ram_read_addr2_0_o3_0_1)) - (portRef state_2) - )) - (net N_97 (joined - (portRef Z (instanceRef ram_read_addr2_0_o3_0_1)) - (portRef A (instanceRef state_srsts_0_i_4)) - (portRef A (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef A (instanceRef ram_read_addr2_0_a3_0)) - )) - (net N_210 (joined - (portRef Z (instanceRef state_srsts_0_i_a3_0_4)) - (portRef A (instanceRef ram_read_addr2_0_a2_2)) + (net N_347_0_1 (joined + (portRef Z (instanceRef proc_read_id_un39_clk_en_0_a2)) + (portRef B (instanceRef ram_read_addr1e_0_i)) + (portRef B (instanceRef state_RNO_1)) + (portRef A (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) )) - (net matching_counter_1_sqmuxa_i_0 (joined - (portRef Z (instanceRef matching_counter_1_sqmuxa_i_0)) - (portRef D (instanceRef proc_read_id_matching_counter_7_0)) + (net ram_read_addr1_c1 (joined + (portRef Z (instanceRef ram_read_addr1_c1)) + (portRef D (instanceRef ram_read_addr1_n3)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef THE_STAT_RAM)) - (portRef D (instanceRef state_srsts_0_i_4)) - (portRef B (instanceRef state_RNO_1)) - (portRef D (instanceRef state_RNO_2)) - (portRef D (instanceRef state_RNO_3)) - (portRef B (instanceRef matching_counter_RNO_1)) - (portRef B (instanceRef matching_counter_RNO_2)) + (net buf_APL_DATA_OUT_61 (joined + (portRef (member buf_apl_data_out 2)) + (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) + (portRef A (instanceRef proc_read_id_un22_clk_en_9_0)) + (portRef D (instanceRef buf_ADDRESS_OUT_13)) )) - (net un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0 (joined - (portRef Z (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e_0)) - (portRef C (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) + (net buf_APL_DATA_OUT_63 (joined + (portRef (member buf_apl_data_out 0)) + (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) + (portRef B (instanceRef proc_read_id_un22_clk_en_9_0)) + (portRef D (instanceRef buf_ADDRESS_OUT_15)) )) - (net N_183 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_0_0)) - (portRef B (instanceRef ram_read_addr2_0_a3_0)) + (net un22_clk_en_9_0 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_9_0)) + (portRef C (instanceRef proc_read_id_un22_clk_en_8)) )) (net buf_APL_PACKET_NUM_OUT_9 (joined (portRef (member buf_apl_packet_num_out 2)) - (portRef A (instanceRef proc_read_id_un18_clk_en_0_a2)) + (portRef A (instanceRef proc_read_id_un18_clk_en)) )) (net buf_APL_PACKET_NUM_OUT_10 (joined (portRef (member buf_apl_packet_num_out 1)) - (portRef B (instanceRef proc_read_id_un18_clk_en_0_a2)) + (portRef B (instanceRef proc_read_id_un18_clk_en)) )) (net buf_APL_PACKET_NUM_OUT_11 (joined (portRef (member buf_apl_packet_num_out 0)) - (portRef C (instanceRef proc_read_id_un18_clk_en_0_a2)) + (portRef C (instanceRef proc_read_id_un18_clk_en)) )) - (net un18_clk_en (joined - (portRef Z (instanceRef proc_read_id_un18_clk_en_0_a2)) - (portRef D (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) - (portRef B (instanceRef proc_read_id_un18_clk_en_0_a2_RNICHQF)) + (net buf_APL_DATA_OUT_52 (joined + (portRef (member buf_apl_data_out 11)) + (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) + (portRef A (instanceRef proc_read_id_un24_clk_en_4)) + (portRef A (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef buf_ADDRESS_OUT_4)) + )) + (net buf_APL_DATA_OUT_53 (joined + (portRef (member buf_apl_data_out 10)) + (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) + (portRef B (instanceRef proc_read_id_un24_clk_en_4)) + (portRef B (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef buf_ADDRESS_OUT_5)) + )) + (net buf_APL_DATA_OUT_54 (joined + (portRef (member buf_apl_data_out 9)) + (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) + (portRef C (instanceRef proc_read_id_un24_clk_en_4)) + (portRef C (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef buf_ADDRESS_OUT_6)) + )) + (net buf_APL_DATA_OUT_55 (joined + (portRef (member buf_apl_data_out 8)) + (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) + (portRef D (instanceRef proc_read_id_un24_clk_en_4)) + (portRef D (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef buf_ADDRESS_OUT_7)) + )) + (net un22_clk_en_4 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_4)) + (portRef D (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef A (instanceRef proc_read_id_un22_clk_en)) )) (net buf_APL_DATA_OUT_56 (joined (portRef (member buf_apl_data_out 7)) (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) - (portRef A (instanceRef proc_read_id_un24_clk_en_0_a3_7)) + (portRef A (instanceRef proc_read_id_un24_clk_en_5)) + (portRef A (instanceRef proc_read_id_un22_clk_en_5_0)) (portRef D (instanceRef buf_ADDRESS_OUT_8)) )) - (net buf_APL_DATA_OUT_61 (joined - (portRef (member buf_apl_data_out 2)) - (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) - (portRef B (instanceRef proc_read_id_un24_clk_en_0_a3_7)) - (portRef D (instanceRef buf_ADDRESS_OUT_13)) + (net buf_APL_DATA_OUT_59 (joined + (portRef (member buf_apl_data_out 4)) + (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) + (portRef B (instanceRef proc_read_id_un24_clk_en_5)) + (portRef B (instanceRef proc_read_id_un22_clk_en_5_0)) + (portRef D (instanceRef buf_ADDRESS_OUT_11)) + )) + (net buf_APL_DATA_OUT_60 (joined + (portRef (member buf_apl_data_out 3)) + (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) + (portRef C (instanceRef proc_read_id_un24_clk_en_5)) + (portRef C (instanceRef proc_read_id_un22_clk_en_5_0)) + (portRef D (instanceRef buf_ADDRESS_OUT_12)) )) (net buf_APL_DATA_OUT_62 (joined (portRef (member buf_apl_data_out 1)) (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) - (portRef C (instanceRef proc_read_id_un24_clk_en_0_a3_7)) + (portRef D (instanceRef proc_read_id_un24_clk_en_5)) + (portRef D (instanceRef proc_read_id_un22_clk_en_5_0)) (portRef D (instanceRef buf_ADDRESS_OUT_14)) )) - (net buf_APL_DATA_OUT_63 (joined - (portRef (member buf_apl_data_out 0)) - (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) - (portRef D (instanceRef proc_read_id_un24_clk_en_0_a3_7)) - (portRef D (instanceRef buf_ADDRESS_OUT_15)) + (net un22_clk_en_5 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_5_0)) + (portRef C (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef B (instanceRef proc_read_id_un22_clk_en)) )) - (net un24_clk_en_0_a3_7 (joined - (portRef Z (instanceRef proc_read_id_un24_clk_en_0_a3_7)) - (portRef C (instanceRef proc_read_id_un24_clk_en_0_a3)) + (net buf_APL_DATA_OUT_49 (joined + (portRef (member buf_apl_data_out 14)) + (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) + (portRef A (instanceRef proc_read_id_un22_clk_en_6)) + (portRef D (instanceRef buf_ADDRESS_OUT_1)) )) - (net buf_APL_DATA_OUT_50 (joined - (portRef (member buf_apl_data_out 13)) - (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) - (portRef A (instanceRef proc_read_id_un24_clk_en_0_a3_8)) - (portRef D (instanceRef buf_ADDRESS_OUT_2)) + (net buf_APL_DATA_OUT_51 (joined + (portRef (member buf_apl_data_out 12)) + (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) + (portRef B (instanceRef proc_read_id_un22_clk_en_6)) + (portRef D (instanceRef buf_ADDRESS_OUT_3)) + )) + (net buf_APL_DATA_OUT_57 (joined + (portRef (member buf_apl_data_out 6)) + (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) + (portRef C (instanceRef proc_read_id_un22_clk_en_6)) + (portRef D (instanceRef buf_ADDRESS_OUT_9)) )) (net buf_APL_DATA_OUT_58 (joined (portRef (member buf_apl_data_out 5)) (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) - (portRef B (instanceRef proc_read_id_un24_clk_en_0_a3_8)) + (portRef D (instanceRef proc_read_id_un22_clk_en_6)) (portRef D (instanceRef buf_ADDRESS_OUT_10)) )) - (net buf_APL_DATA_OUT_59 (joined - (portRef (member buf_apl_data_out 4)) - (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) - (portRef C (instanceRef proc_read_id_un24_clk_en_0_a3_8)) - (portRef D (instanceRef buf_ADDRESS_OUT_11)) - )) - (net buf_APL_DATA_OUT_60 (joined - (portRef (member buf_apl_data_out 3)) - (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_33_0)) - (portRef D (instanceRef proc_read_id_un24_clk_en_0_a3_8)) - (portRef D (instanceRef buf_ADDRESS_OUT_12)) - )) - (net un24_clk_en_0_a3_8 (joined - (portRef Z (instanceRef proc_read_id_un24_clk_en_0_a3_8)) - (portRef D (instanceRef proc_read_id_un24_clk_en_0_a3)) - )) - (net N_313_1 (joined - (portRef Z (instanceRef matching_counter_0_sqmuxa_0_a2)) - (portRef D (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) - (portRef B (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - (portRef B (instanceRef matching_counter_RNO_0_1)) - (portRef B (instanceRef matching_counter_RNO_0_2)) - (portRef B (instanceRef proc_read_id_matching_counter_7_0)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef B (instanceRef sending_state_0_sqmuxa)) - (portRef D (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - (portRef B (instanceRef ram_read_addr1_1_sqmuxa_i_o2_0)) - (portRef A (instanceRef sending_state_RNI8CF81_0)) - )) - (net N_129 (joined - (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_i_o2_0)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) - (portRef A (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) - (portRef A (instanceRef proc_read_id_matching_counter_7_0)) + (net un24_clk_en_6 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_6)) + (portRef D (instanceRef proc_read_id_un22_clk_en_8)) )) (net buf_api_stat_fifo_to_int_110 (joined (portRef (member buf_api_stat_fifo_to_int 0)) - (portRef A (instanceRef ram_read_addr2_0_a3_0_1)) + (portRef A (instanceRef ram_read_addr2_cnst_o2_0)) )) (net current_state_4 (joined (portRef (member current_state 1)) - (portRef C (instanceRef ram_read_addr2_0_a3_0_1)) + (portRef C (instanceRef ram_read_addr2_cnst_o2_0)) (portRef D (instanceRef buf_API_READ_OUT_RNIIL19)) )) (net current_state_5 (joined (portRef (member current_state 0)) - (portRef D (instanceRef ram_read_addr2_0_a3_0_1)) + (portRef D (instanceRef ram_read_addr2_cnst_o2_0)) (portRef C (instanceRef buf_API_READ_OUT_RNIIL19)) )) - (net N_218 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_0_1)) - (portRef B (instanceRef ram_read_addr2_0_o2_1)) - (portRef B (instanceRef ram_read_addr2_0_a2_2)) - (portRef C (instanceRef ram_read_addr2_0_a3_0)) - )) - (net N_187 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_0)) - (portRef B (instanceRef ram_read_addr2_0_o2_0)) - )) - (net N_264 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_2)) - (portRef B (instanceRef state_srsts_0_i_4)) - (portRef B (instanceRef ram_read_addr2_0_0_2)) + (net N_231_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_o2_0)) + (portRef A (instanceRef ram_read_addr2_cnst_i_1)) )) - (net ram_read_addr1_9_itt_1_m1_e (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_itt_1_m1_e)) - (portRef D (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - )) - (net N_178 (joined - (portRef Z (instanceRef ram_read_addr2_0_a2_3)) - (portRef B (instanceRef ram_read_addr_0_i_3)) - (portRef B (instanceRef ram_read_addr2_0_3)) - )) - (net ram_read_addr2_0_a2_0_1 (joined - (portRef Z (instanceRef ram_read_addr2_0_a2_0_0_1)) - (portRef D (instanceRef ram_read_addr2_0_o2_1)) - )) - (net N_207 (joined - (portRef Z (instanceRef proc_read_id_un24_clk_en_0_a3)) - (portRef A (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) - (portRef A (instanceRef proc_read_id_un18_clk_en_0_a2_RNICHQF)) + (net N_346_1 (joined + (portRef Z (instanceRef matching_counter_0_sqmuxa)) + (portRef B (instanceRef un1_ram_read_addr120_1)) )) - (net N_216 (joined - (portRef Z (instanceRef proc_read_id_un18_clk_en_0_a2_RNICHQF)) - (portRef A (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef A (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) + (net buf_APL_DATA_OUT_48 (joined + (portRef (member buf_apl_data_out 15)) + (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) + (portRef A (instanceRef proc_read_id_un22_clk_en_8)) + (portRef D (instanceRef buf_ADDRESS_OUT_0)) )) - (net N_39_1 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_1_0)) - (portRef A (instanceRef ram_read_addr1_RNO_2)) - (portRef A (instanceRef ram_read_addr1_RNO_0)) + (net buf_APL_DATA_OUT_50 (joined + (portRef (member buf_apl_data_out 13)) + (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) + (portRef B (instanceRef proc_read_id_un22_clk_en_8)) + (portRef D (instanceRef buf_ADDRESS_OUT_2)) )) - (net N_165 (joined - (portRef Z (instanceRef sending_state_0_sqmuxa_0)) - (portRef A (instanceRef sending_statee_RNO_1)) - (portRef A (instanceRef proc_read_id_sending_state_13_0)) - (portRef B (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef A (instanceRef sending_state_0_sqmuxa)) + (net N_272 (joined + (portRef Z (instanceRef ram_read_addr2_0_sqmuxa_0_a3)) + (portRef B (instanceRef sending_state_2_sqmuxa_1_i)) + (portRef C (instanceRef state_srsts_0_a2_1_4)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef C (instanceRef ram_read_addr1_1_sqmuxa_i_m1_e)) + (net N_241_0 (joined + (portRef Z (instanceRef state_srsts_0_o2_1)) + (portRef A (instanceRef state_RNO_1)) )) - (net N_186 (joined - (portRef Z (instanceRef ram_read_addr2_0_a2_2)) - (portRef B (instanceRef ram_read_addr2_0_2)) + (net N_254 (joined + (portRef Z (instanceRef state_srsts_0_a2_0)) + (portRef B (instanceRef state_RNO_0)) )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef D (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) + (net ram_read_addr2_cnst_0_0 (joined + (portRef Z (instanceRef ram_read_addr2_cnst_0)) + (portRef D (instanceRef ram_read_addr_0_i_0)) )) - (net un1_ram_read_addr1_1_sqmuxa_ac0_1 (joined - (portRef Z (instanceRef un1_ram_read_addr1_1_sqmuxa_ac0_1_m1_e)) - (portRef D (instanceRef ram_read_addr1_RNO_2)) + (net state_srsts_0_a2_0_1_4 (joined + (portRef Z (instanceRef state_srsts_0_a2_0_1_4)) + (portRef A (instanceRef state_RNO_4)) )) - (net N_259 (joined - (portRef Z (instanceRef ram_read_addr2_0_a3_0_2)) - (portRef C (instanceRef ram_read_addr2_0_o2_0)) - (portRef A (instanceRef ram_read_addr2_0_0_2)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef D (instanceRef proc_read_id_un39_clk_en_0_a2_RNIM4NF1)) )) - (net ram_read_addr2_0_0_2 (joined - (portRef Z (instanceRef ram_read_addr2_0_0_2)) - (portRef D (instanceRef ram_read_addr2_0_2)) + (net un22_clk_en (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en)) + (portRef C (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) )) - (net state_srsts_0_0_0_1 (joined - (portRef Z (instanceRef state_srsts_0_0_0_1)) - (portRef A (instanceRef state_RNO_1)) + (net buf_ADDRESS_OUT_0_sqmuxa (joined + (portRef Z (instanceRef buf_ADDRESS_OUT_0_sqmuxa)) + (portRef B (instanceRef sending_statee_RNO_1)) + (portRef C (instanceRef proc_read_id_sending_state_13_0)) + (portRef C (instanceRef un1_sending_state_2_sqmuxa)) + (portRef B (instanceRef sending_state_0_sqmuxa)) )) - (net sending_state_2_sqmuxa_1_i_s_1 (joined - (portRef Z (instanceRef sending_state_2_sqmuxa_1_i_s_1)) - (portRef C (instanceRef sending_state_2_sqmuxa_1_i_s)) + (net un29_clk_en (joined + (portRef S1 (instanceRef proc_read_id_un29_clk_en_0_I_21_0)) + (portRef C (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef B (instanceRef matching_counter_1_sqmuxa)) )) - (net buf_APL_DATA_OUT_52 (joined - (portRef (member buf_apl_data_out 11)) - (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) - (portRef A (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa_1)) - (portRef B (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef B (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) - (portRef D (instanceRef buf_ADDRESS_OUT_4)) + (net matching_counter_1_sqmuxa (joined + (portRef Z (instanceRef matching_counter_1_sqmuxa)) + (portRef B (instanceRef matching_counter_RNO_0)) )) - (net buf_APL_DATA_OUT_53 (joined - (portRef (member buf_apl_data_out 10)) - (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) - (portRef B (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa_1)) - (portRef C (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef C (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) - (portRef D (instanceRef buf_ADDRESS_OUT_5)) + (net un24_clk_en (joined + (portRef Z (instanceRef proc_read_id_un24_clk_en)) + (portRef D (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) )) - (net buf_APL_DATA_OUT_55 (joined - (portRef (member buf_apl_data_out 8)) - (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) - (portRef C (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa_1)) - (portRef D (instanceRef recv_set_address_1_sqmuxa_0_a2)) - (portRef D (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) - (portRef D (instanceRef buf_ADDRESS_OUT_7)) + (net N_236_0 (joined + (portRef Z (instanceRef un1_next_state_2_sqmuxa_i_o2)) + (portRef B (instanceRef ram_read_addr_0_i_3)) + (portRef C (instanceRef ram_read_addr_0_i_2)) + (portRef C (instanceRef ram_read_addr_0_i_1)) + (portRef B (instanceRef ram_read_addr_0_i_0)) )) - (net N_311_0_1 (joined - (portRef Z (instanceRef proc_read_id_un18_clk_en_0_a2_RNINBOH)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) - (portRef B (instanceRef sending_state_2_sqmuxa_1_i_s)) - (portRef C (instanceRef proc_read_id_sending_state_13_0)) + (net N_344_0_1 (joined + (portRef Z (instanceRef proc_read_id_un22_clk_en_5_0_RNI82J41)) + (portRef B (instanceRef proc_read_id_sending_state_13_0)) + (portRef B (instanceRef un1_sending_state_2_sqmuxa)) (portRef B (instanceRef sending_statee_RNO_0_1)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - (portRef B (instanceRef proc_read_id_ram_read_addr1_9_i_a2_1_0)) - )) - (net N_177 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_a2_1_0)) - (portRef B (instanceRef ram_read_addr1_RNO_2)) - (portRef B (instanceRef ram_read_addr1_RNO_0)) + (portRef A (instanceRef ram_read_addr1_1_sqmuxa_1)) )) - (net ram_read_addr1_9_i_1_N_4 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_1_m3)) - (portRef D (instanceRef proc_read_id_ram_read_addr1_9_i_1_m6)) + (net ram_read_addr1_1_sqmuxa_1 (joined + (portRef Z (instanceRef ram_read_addr1_1_sqmuxa_1)) + (portRef C (instanceRef ram_read_addr1e_0_i)) )) - (net ram_read_addr_i_0 (joined - (portRef Z (instanceRef ram_read_addr_i_0)) - (portRef (member ram_read_addr_i 1) (instanceRef THE_STAT_RAM)) + (net state_srsts_0_a2_1_4 (joined + (portRef Z (instanceRef state_srsts_0_a2_1_4)) + (portRef B (instanceRef state_RNO_4)) )) - (net N_18 (joined - (portRef Z (instanceRef ram_read_addr_i_2)) - (portRef N_18 (instanceRef THE_STAT_RAM)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef THE_STAT_RAM)) + (portRef C (instanceRef state_RNO_4)) + (portRef D (instanceRef state_RNO_0)) + (portRef D (instanceRef state_RNO_1)) )) - (net ram_read_addr_i_1 (joined - (portRef Z (instanceRef ram_read_addr_i_1)) - (portRef (member ram_read_addr_i 0) (instanceRef THE_STAT_RAM)) + (net CO0 (joined + (portRef Z (instanceRef proc_read_id_un29_clk_en_0_I_21_0_RNI42NF1)) + (portRef A (instanceRef matching_counter_RNO_2)) + (portRef A (instanceRef matching_counter_RNO_1)) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef C (instanceRef sending_statee_RNO_1)) - (portRef D (instanceRef sending_state_2_sqmuxa_1_i_s)) - (portRef D (instanceRef un1_recv_set_address_0_sqmuxa_0)) - (portRef C (instanceRef proc_read_id_ram_read_addr1_9_i_a2_1_0)) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef D (instanceRef un1_ram_read_addr120_1)) + (portRef C (instanceRef sending_state_0_sqmuxa)) )) - (net sending_state_13_110_a3_0 (joined + (net sending_state_13_119_a3_1 (joined (portRef Z (instanceRef sending_statee_RNO_0_1)) - (portRef B (instanceRef sending_statee_RNO_1)) + (portRef C (instanceRef sending_statee_RNO_1)) )) - (net ram_read_addr_0_i_3 (joined - (portRef Z (instanceRef ram_read_addr_0_i_3)) - (portRef (member ram_read_addr_0_i 0) (instanceRef THE_STAT_RAM)) + (net un1_sending_state_2_sqmuxa_0 (joined + (portRef Z (instanceRef un1_sending_state_2_sqmuxa)) + (portRef D (instanceRef sending_state_2_sqmuxa_1_i)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef D (instanceRef sending_statee_RNO_1)) + (portRef D (instanceRef ram_read_addr1e_0_i)) (portRef D (instanceRef proc_read_id_sending_state_13_0)) + (portRef D (instanceRef un1_sending_state_2_sqmuxa)) )) (net sending_state_13_0 (joined (portRef Z (instanceRef proc_read_id_sending_state_13_0)) - (portRef B (instanceRef sending_statee_0)) - )) - (net N_9 (joined - (portRef Z (instanceRef sending_state_2_sqmuxa_1_i_s)) (portRef A (instanceRef sending_statee_0)) - (portRef A (instanceRef sending_statee_1)) )) - (net ram_read_addr1_9_i_1_3 (joined - (portRef Z (instanceRef proc_read_id_ram_read_addr1_9_i_1_3)) - (portRef A (instanceRef ram_read_addr1_RNO_3)) + (net ram_read_addr_0_i_0 (joined + (portRef Z (instanceRef ram_read_addr_0_i_0)) + (portRef (member ram_read_addr_0_i 3) (instanceRef THE_STAT_RAM)) )) - (net N_296_0_i (joined - (portRef Z (instanceRef sending_statee_RNO_1)) - (portRef B (instanceRef sending_statee_1)) - )) - (net DONT_UNDERSTAND_OUT_2_sqmuxa_1 (joined - (portRef Z (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa_1)) - (portRef B (instanceRef DONT_UNDERSTAND_OUT_2_sqmuxa)) + (net ram_read_addr_0_i_1 (joined + (portRef Z (instanceRef ram_read_addr_0_i_1)) + (portRef (member ram_read_addr_0_i 2) (instanceRef THE_STAT_RAM)) )) - (net buf_APL_DATA_OUT_48 (joined - (portRef (member buf_apl_data_out 15)) - (portRef A1 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) - (portRef A (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef D (instanceRef buf_ADDRESS_OUT_0)) + (net ram_read_addr_0_i_2 (joined + (portRef Z (instanceRef ram_read_addr_0_i_2)) + (portRef (member ram_read_addr_0_i 1) (instanceRef THE_STAT_RAM)) )) - (net buf_APL_DATA_OUT_49 (joined - (portRef (member buf_apl_data_out 14)) - (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) - (portRef B (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef D (instanceRef buf_ADDRESS_OUT_1)) - )) - (net buf_APL_DATA_OUT_51 (joined - (portRef (member buf_apl_data_out 12)) - (portRef B0 (instanceRef proc_read_id_un29_clk_en_0_I_9_0)) - (portRef C (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef D (instanceRef buf_ADDRESS_OUT_3)) - )) - (net buf_APL_DATA_OUT_54 (joined - (portRef (member buf_apl_data_out 9)) - (portRef A0 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) - (portRef D (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef D (instanceRef buf_ADDRESS_OUT_6)) + (net ram_read_addr_0_i_3 (joined + (portRef Z (instanceRef ram_read_addr_0_i_3)) + (portRef (member ram_read_addr_0_i 0) (instanceRef THE_STAT_RAM)) )) - (net un24_clk_en_0_a3_1 (joined - (portRef Z (instanceRef proc_read_id_un24_clk_en_0_a3_1)) - (portRef B (instanceRef proc_read_id_un24_clk_en_0_a3)) + (net N_329_0_i (joined + (portRef Z (instanceRef sending_statee_RNO_1)) + (portRef A (instanceRef sending_statee_1)) )) - (net buf_APL_DATA_OUT_57 (joined - (portRef (member buf_apl_data_out 6)) - (portRef B1 (instanceRef proc_read_id_un29_clk_en_0_I_27_0)) - (portRef A (instanceRef proc_read_id_un24_clk_en_0_a3)) - (portRef D (instanceRef buf_ADDRESS_OUT_9)) + (net sending_state_2_sqmuxa_1_i (joined + (portRef Z (instanceRef sending_state_2_sqmuxa_1_i)) + (portRef C (instanceRef sending_statee_0)) + (portRef C (instanceRef sending_statee_1)) )) (net un29_clk_en_0_data_tmp_0 (joined (portRef COUT (instanceRef proc_read_id_un29_clk_en_0_I_1_0)) @@ -45001,33 +44914,34 @@ (cell trb_net_pattern_gen (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename regio_addr_i "regio_addr_i(4:2)") 3) (direction INPUT)) - (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:1)") 1) (direction INPUT)) - (port un20_dat_addr_in_1 (direction OUTPUT)) + (port (array (rename reg_enable_pattern "reg_enable_pattern(1:1)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i "regio_addr_i(1:1)") 1) (direction INPUT)) + (port (array (rename regio_addr_i_4 "regio_addr_i_4(0:0)") 1) (direction INPUT)) + (port GND (direction INPUT)) + (port N_5867 (direction INPUT)) ) (contents - (instance RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (net regio_addr_i_1_1 (joined - (portRef (member regio_addr_i_1 0)) - (portRef A (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) - )) - (net regio_addr_i_2 (joined - (portRef (member regio_addr_i 2)) - (portRef B (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) + (net N_5867 (joined + (portRef N_5867) + (portRef A (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2)) )) - (net regio_addr_i_3 (joined - (portRef (member regio_addr_i 1)) - (portRef C (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) + (net regio_addr_i_4_0 (joined + (portRef (member regio_addr_i_4 0)) + (portRef B (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2)) )) - (net regio_addr_i_4 (joined + (net regio_addr_i_1 (joined (portRef (member regio_addr_i 0)) - (portRef D (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) + (portRef C (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2)) + )) + (net GND (joined + (portRef GND) )) - (net un20_dat_addr_in_1 (joined - (portRef Z (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_8_1)) - (portRef un20_dat_addr_in_1) + (net reg_enable_pattern_1 (joined + (portRef Z (instanceRef RESULT_OUT_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_pattern_gen_inst_RESULT_OUT_7_0_a2)) + (portRef (member reg_enable_pattern 0)) )) ) ) @@ -45042,14 +44956,29 @@ (port buf_api_stat_fifo_to_int_6 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_7 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) - (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(63:48)") 16) (direction INPUT)) + (port buf_APL_DATA_IN_0 (direction INPUT)) + (port buf_APL_DATA_IN_1 (direction INPUT)) + (port buf_APL_DATA_IN_2 (direction INPUT)) + (port buf_APL_DATA_IN_3 (direction INPUT)) + (port buf_APL_DATA_IN_4 (direction INPUT)) + (port buf_APL_DATA_IN_5 (direction INPUT)) + (port buf_APL_DATA_IN_6 (direction INPUT)) + (port buf_APL_DATA_IN_7 (direction INPUT)) + (port buf_APL_DATA_IN_8 (direction INPUT)) + (port buf_APL_DATA_IN_10 (direction INPUT)) + (port buf_APL_DATA_IN_11 (direction INPUT)) + (port buf_APL_DATA_IN_12 (direction INPUT)) + (port buf_APL_DATA_IN_13 (direction INPUT)) + (port buf_APL_DATA_IN_14 (direction INPUT)) + (port buf_APL_DATA_IN_15 (direction INPUT)) + (port (array (rename buf_api_data_out_rnigu9q "buf_API_DATA_OUT_RNIGU9Q(9:9)") 1) (direction INPUT)) (port buf_APL_PACKET_NUM_IN_0 (direction INPUT)) (port buf_APL_PACKET_NUM_IN_2 (direction INPUT)) (port (array (rename fifo_to_int_data_out "fifo_to_int_data_out(15:3)") 13) (direction OUTPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) ) (contents (instance fifo (viewRef netlist (cellRef lattice_ecp3_fifo_18x1k_6)) @@ -45114,69 +45043,69 @@ (portRef buf_APL_PACKET_NUM_IN_0) (portRef buf_APL_PACKET_NUM_IN_0 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_48 (joined - (portRef (member buf_apl_data_in 15)) - (portRef (member buf_apl_data_in 15) (instanceRef fifo)) + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined + (portRef (member buf_api_data_out_rnigu9q 0)) + (portRef (member buf_api_data_out_rnigu9q 0) (instanceRef fifo)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef (member buf_apl_data_in 14)) - (portRef (member buf_apl_data_in 14) (instanceRef fifo)) + (net buf_APL_DATA_IN_15 (joined + (portRef buf_APL_DATA_IN_15) + (portRef buf_APL_DATA_IN_15 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_50 (joined - (portRef (member buf_apl_data_in 13)) - (portRef (member buf_apl_data_in 13) (instanceRef fifo)) + (net buf_APL_DATA_IN_14 (joined + (portRef buf_APL_DATA_IN_14) + (portRef buf_APL_DATA_IN_14 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef (member buf_apl_data_in 12)) - (portRef (member buf_apl_data_in 12) (instanceRef fifo)) + (net buf_APL_DATA_IN_13 (joined + (portRef buf_APL_DATA_IN_13) + (portRef buf_APL_DATA_IN_13 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_52 (joined - (portRef (member buf_apl_data_in 11)) - (portRef (member buf_apl_data_in 11) (instanceRef fifo)) + (net buf_APL_DATA_IN_12 (joined + (portRef buf_APL_DATA_IN_12) + (portRef buf_APL_DATA_IN_12 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_53 (joined - (portRef (member buf_apl_data_in 10)) - (portRef (member buf_apl_data_in 10) (instanceRef fifo)) + (net buf_APL_DATA_IN_11 (joined + (portRef buf_APL_DATA_IN_11) + (portRef buf_APL_DATA_IN_11 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef (member buf_apl_data_in 9)) - (portRef (member buf_apl_data_in 9) (instanceRef fifo)) + (net buf_APL_DATA_IN_10 (joined + (portRef buf_APL_DATA_IN_10) + (portRef buf_APL_DATA_IN_10 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_55 (joined - (portRef (member buf_apl_data_in 8)) - (portRef (member buf_apl_data_in 8) (instanceRef fifo)) + (net buf_APL_DATA_IN_8 (joined + (portRef buf_APL_DATA_IN_8) + (portRef buf_APL_DATA_IN_8 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef (member buf_apl_data_in 7)) - (portRef (member buf_apl_data_in 7) (instanceRef fifo)) + (net buf_APL_DATA_IN_7 (joined + (portRef buf_APL_DATA_IN_7) + (portRef buf_APL_DATA_IN_7 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_57 (joined - (portRef (member buf_apl_data_in 6)) - (portRef (member buf_apl_data_in 6) (instanceRef fifo)) + (net buf_APL_DATA_IN_6 (joined + (portRef buf_APL_DATA_IN_6) + (portRef buf_APL_DATA_IN_6 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_58 (joined - (portRef (member buf_apl_data_in 5)) - (portRef (member buf_apl_data_in 5) (instanceRef fifo)) + (net buf_APL_DATA_IN_5 (joined + (portRef buf_APL_DATA_IN_5) + (portRef buf_APL_DATA_IN_5 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_59 (joined - (portRef (member buf_apl_data_in 4)) - (portRef (member buf_apl_data_in 4) (instanceRef fifo)) + (net buf_APL_DATA_IN_4 (joined + (portRef buf_APL_DATA_IN_4) + (portRef buf_APL_DATA_IN_4 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_60 (joined - (portRef (member buf_apl_data_in 3)) - (portRef (member buf_apl_data_in 3) (instanceRef fifo)) + (net buf_APL_DATA_IN_3 (joined + (portRef buf_APL_DATA_IN_3) + (portRef buf_APL_DATA_IN_3 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef (member buf_apl_data_in 2)) - (portRef (member buf_apl_data_in 2) (instanceRef fifo)) + (net buf_APL_DATA_IN_2 (joined + (portRef buf_APL_DATA_IN_2) + (portRef buf_APL_DATA_IN_2 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef (member buf_apl_data_in 1)) - (portRef (member buf_apl_data_in 1) (instanceRef fifo)) + (net buf_APL_DATA_IN_1 (joined + (portRef buf_APL_DATA_IN_1) + (portRef buf_APL_DATA_IN_1 (instanceRef fifo)) )) - (net buf_APL_DATA_IN_63 (joined - (portRef (member buf_apl_data_in 0)) - (portRef (member buf_apl_data_in 0) (instanceRef fifo)) + (net buf_APL_DATA_IN_0 (joined + (portRef buf_APL_DATA_IN_0) + (portRef buf_APL_DATA_IN_0 (instanceRef fifo)) )) (net buf_api_stat_fifo_to_int_8 (joined (portRef buf_api_stat_fifo_to_int_8 (instanceRef fifo)) @@ -45206,9 +45135,9 @@ (portRef buf_api_stat_fifo_to_int_0) (portRef buf_api_stat_fifo_to_int_0 (instanceRef fifo)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef fifo)) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef fifo)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -45228,21 +45157,21 @@ (cell trb_net16_fifo_1_1_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port buf_api_stat_fifo_to_apl_11 (direction OUTPUT)) - (port buf_api_stat_fifo_to_apl_0 (direction INPUT)) - (port buf_api_stat_fifo_to_apl_8 (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl_i "buf_api_stat_fifo_to_apl_i(110:110)") 1) (direction OUTPUT)) + (port (array (rename buf_to_apl_init_dataready "buf_to_apl_INIT_DATAREADY(3:3)") 1) (direction INPUT)) + (port (array (rename state_to_apl "state_to_apl(1:0)") 2) (direction INPUT)) (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(63:48)") 16) (direction INPUT)) (port buf_to_apl_INIT_PACKET_NUM_0 (direction INPUT)) (port buf_to_apl_INIT_PACKET_NUM_2 (direction INPUT)) (port (array (rename next_fifo_to_apl_data_out "next_fifo_to_apl_data_out(15:0)") 16) (direction OUTPUT)) (port (array (rename next_fifo_to_apl_packet_num_out "next_fifo_to_apl_packet_num_out(1:0)") 2) (direction OUTPUT)) - (port next_fifo_to_apl_empty (direction OUTPUT)) (port GND (direction INPUT)) + (port N_4969 (direction INPUT)) + (port next_fifo_to_apl_empty (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_18 (direction INPUT)) (port reset_i_19 (direction INPUT)) + (port reset_i_20 (direction INPUT)) ) (contents (instance fifo (viewRef netlist (cellRef lattice_ecp3_fifo_18x1k_5)) @@ -45391,30 +45320,30 @@ (portRef (member buf_to_apl_init_data 0)) (portRef (member buf_to_apl_init_data 0) (instanceRef fifo)) )) + (net state_to_apl_0 (joined + (portRef (member state_to_apl 1)) + (portRef (member state_to_apl 1) (instanceRef fifo)) + )) + (net state_to_apl_1 (joined + (portRef (member state_to_apl 0)) + (portRef (member state_to_apl 0) (instanceRef fifo)) + )) + (net buf_to_apl_INIT_DATAREADY_3 (joined + (portRef (member buf_to_apl_init_dataready 0)) + (portRef (member buf_to_apl_init_dataready 0) (instanceRef fifo)) + )) (net buf_api_stat_fifo_to_apl_i_110 (joined (portRef (member buf_api_stat_fifo_to_apl_i 0) (instanceRef fifo)) (portRef (member buf_api_stat_fifo_to_apl_i 0)) )) - (net buf_api_stat_fifo_to_apl_8 (joined - (portRef buf_api_stat_fifo_to_apl_8) - (portRef buf_api_stat_fifo_to_apl_8 (instanceRef fifo)) - )) - (net buf_api_stat_fifo_to_apl_0 (joined - (portRef buf_api_stat_fifo_to_apl_0) - (portRef buf_api_stat_fifo_to_apl_0 (instanceRef fifo)) - )) - (net buf_api_stat_fifo_to_apl_11 (joined - (portRef buf_api_stat_fifo_to_apl_11 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_apl_11) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef fifo)) )) (net reset_i_19 (joined (portRef reset_i_19) (portRef reset_i_19 (instanceRef fifo)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef fifo)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef fifo)) @@ -45423,14 +45352,18 @@ (portRef VCC) (portRef VCC (instanceRef fifo)) )) - (net GND (joined - (portRef GND) - (portRef GND (instanceRef fifo)) - )) (net next_fifo_to_apl_empty (joined (portRef next_fifo_to_apl_empty (instanceRef fifo)) (portRef next_fifo_to_apl_empty) )) + (net N_4969 (joined + (portRef N_4969) + (portRef N_4969 (instanceRef fifo)) + )) + (net GND (joined + (portRef GND) + (portRef GND (instanceRef fifo)) + )) ) ) ) @@ -45439,10 +45372,12 @@ (interface (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(112:112)") 1) (direction INPUT)) - (port (array (rename current_fifo_to_apl_packet_type "current_fifo_to_apl_packet_type(2:0)") 3) (direction INPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port GND (direction INPUT)) + (port N_104 (direction INPUT)) + (port N_103 (direction INPUT)) + (port N_102 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) ) @@ -45485,14 +45420,14 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (property lut_function (string "(!C A+C B)")) + ) + (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (property lut_function (string "(!C A+C B)")) ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C+(!B !A)))")) @@ -45544,9 +45479,9 @@ (net current_buffer_state_1 (joined (portRef Q (instanceRef current_buffer_state_1)) (portRef A (instanceRef move_b2_buffer_i)) - (portRef B (instanceRef current_b2_buffer_RNO_2)) - (portRef B (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_0)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNI5MTC)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -45591,15 +45526,15 @@ )) (net current_b1_buffer_0 (joined (portRef Q (instanceRef current_b1_buffer_0)) - (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef B (instanceRef current_b2_buffer_RNO_0)) )) (net current_b1_buffer_1 (joined (portRef Q (instanceRef current_b1_buffer_1)) - (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef B (instanceRef current_b2_buffer_RNO_1)) )) (net current_b1_buffer_2 (joined (portRef Q (instanceRef current_b1_buffer_2)) - (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef B (instanceRef current_b2_buffer_RNO_2)) )) (net N_102_0_i (joined (portRef Z (instanceRef current_SYN_DATAREADY_OUT_RNO)) @@ -45618,7 +45553,7 @@ (net GND (joined (portRef GND) )) - (net N_93_0 (joined + (net N_1223 (joined (portRef Z (instanceRef un1_next_buffer_state_2_sqmuxa_1_0_o3)) (portRef A (instanceRef current_SYN_DATAREADY_OUT_RNO)) )) @@ -45637,19 +45572,19 @@ (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) ) ) - (net current_fifo_to_apl_packet_type_0 (joined - (portRef (member current_fifo_to_apl_packet_type 2)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) - (portRef D (instanceRef current_b1_buffer_0)) - )) - (net current_fifo_to_apl_packet_type_1 (joined - (portRef (member current_fifo_to_apl_packet_type 1)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) + (net N_103 (joined + (portRef N_103) + (portRef A (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_b1_buffer_1)) )) - (net current_fifo_to_apl_packet_type_2 (joined - (portRef (member current_fifo_to_apl_packet_type 0)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) + (net N_102 (joined + (portRef N_102) + (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef D (instanceRef current_b1_buffer_0)) + )) + (net N_104 (joined + (portRef N_104) + (portRef A (instanceRef current_b2_buffer_RNO_2)) (portRef D (instanceRef current_b1_buffer_2)) )) (net current_next_READ_OUT_1 (joined @@ -45876,27 +45811,27 @@ (port buf_api_stat_fifo_to_int_18 (direction INPUT)) (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) (port (array (rename next_int_master_data_out "next_INT_MASTER_DATA_OUT(15:0)") 16) (direction INPUT)) - (port (array (rename state_to_int "state_to_int(0:0)") 1) (direction INPUT)) + (port (array (rename state_to_int "state_to_int(2:2)") 1) (direction INPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(3:3)") 1) (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port un3_current_syn_dataready_out (direction OUTPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) - (port N_653 (direction INPUT)) - (port next_INT_MASTER_DATA_OUT_sn_N_17_i (direction INPUT)) - (port N_655 (direction INPUT)) - (port N_650 (direction INPUT)) (port N_657 (direction INPUT)) + (port next_INT_MASTER_DATA_OUT_sn_N_17_i (direction INPUT)) (port N_656 (direction INPUT)) + (port N_655 (direction INPUT)) (port N_654 (direction INPUT)) + (port N_653 (direction INPUT)) (port N_652 (direction INPUT)) (port N_651 (direction INPUT)) + (port N_650 (direction INPUT)) (port N_649 (direction INPUT)) (port N_648 (direction INPUT)) (port N_647 (direction INPUT)) (port N_645 (direction INPUT)) - (port un21_next_int_master_dataready_out_0 (direction INPUT)) + (port un11_next_int_master_dataready_out_0 (direction INPUT)) (port sbuf_free (direction INPUT)) (port next_INT_MASTER_DATAREADY_OUT_iv_0 (direction INPUT)) ) @@ -45907,7 +45842,7 @@ (portRef (member apl_to_buf_reply_read_i_3_i 0)) (portRef (member apl_to_buf_reply_read_i_3_i 0) (instanceRef gen_version_0_sbuf)) )) - (net state_to_int_0 (joined + (net state_to_int_2 (joined (portRef (member state_to_int 0)) (portRef (member state_to_int 0) (instanceRef gen_version_0_sbuf)) )) @@ -46063,9 +45998,9 @@ (portRef sbuf_free) (portRef sbuf_free (instanceRef gen_version_0_sbuf)) )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef un21_next_int_master_dataready_out_0) - (portRef un21_next_int_master_dataready_out_0 (instanceRef gen_version_0_sbuf)) + (net un11_next_int_master_dataready_out_0 (joined + (portRef un11_next_int_master_dataready_out_0) + (portRef un11_next_int_master_dataready_out_0 (instanceRef gen_version_0_sbuf)) )) (net N_645 (joined (portRef N_645) @@ -46083,6 +46018,10 @@ (portRef N_649) (portRef N_649 (instanceRef gen_version_0_sbuf)) )) + (net N_650 (joined + (portRef N_650) + (portRef N_650 (instanceRef gen_version_0_sbuf)) + )) (net N_651 (joined (portRef N_651) (portRef N_651 (instanceRef gen_version_0_sbuf)) @@ -46091,33 +46030,29 @@ (portRef N_652) (portRef N_652 (instanceRef gen_version_0_sbuf)) )) + (net N_653 (joined + (portRef N_653) + (portRef N_653 (instanceRef gen_version_0_sbuf)) + )) (net N_654 (joined (portRef N_654) (portRef N_654 (instanceRef gen_version_0_sbuf)) )) - (net N_656 (joined - (portRef N_656) - (portRef N_656 (instanceRef gen_version_0_sbuf)) - )) - (net N_657 (joined - (portRef N_657) - (portRef N_657 (instanceRef gen_version_0_sbuf)) - )) - (net N_650 (joined - (portRef N_650) - (portRef N_650 (instanceRef gen_version_0_sbuf)) - )) (net N_655 (joined (portRef N_655) (portRef N_655 (instanceRef gen_version_0_sbuf)) )) + (net N_656 (joined + (portRef N_656) + (portRef N_656 (instanceRef gen_version_0_sbuf)) + )) (net next_INT_MASTER_DATA_OUT_sn_N_17_i (joined (portRef next_INT_MASTER_DATA_OUT_sn_N_17_i) (portRef next_INT_MASTER_DATA_OUT_sn_N_17_i (instanceRef gen_version_0_sbuf)) )) - (net N_653 (joined - (portRef N_653) - (portRef N_653 (instanceRef gen_version_0_sbuf)) + (net N_657 (joined + (portRef N_657) + (portRef N_657 (instanceRef gen_version_0_sbuf)) )) (net COMB_next_READ_OUT_f1_0 (joined (portRef COMB_next_READ_OUT_f1_0 (instanceRef gen_version_0_sbuf)) @@ -46145,23 +46080,20 @@ (cell trb_net16_fifo_1_6 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_0 (direction INPUT)) - (port buf_api_stat_fifo_to_int_9 (direction INPUT)) - (port buf_api_stat_fifo_to_int_12 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_5 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_3 (direction INPUT)) (port buf_api_stat_fifo_to_int_6 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_7 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) - (port (array (rename state "state(1:0)") 2) (direction INPUT)) + (port buf_api_stat_fifo_to_int_0 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_2 (direction OUTPUT)) (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(31:16)") 16) (direction INPUT)) (port (array (rename buf_apl_packet_num_in "buf_APL_PACKET_NUM_IN(3:3)") 1) (direction INPUT)) (port (array (rename fifo_to_int_data_out "fifo_to_int_data_out(15:3)") 13) (direction OUTPUT)) - (port waiting_word (direction INPUT)) - (port FF_23_RNICS201 (direction OUTPUT)) + (port N_5723_i (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_19 (direction INPUT)) + (port reset_i_20 (direction INPUT)) ) (contents (instance fifo (viewRef netlist (cellRef lattice_ecp3_fifo_18x1k_4)) @@ -46286,45 +46218,33 @@ (portRef (member buf_apl_data_in 0)) (portRef (member buf_apl_data_in 0) (instanceRef fifo)) )) - (net state_0 (joined - (portRef (member state 1)) - (portRef (member state 1) (instanceRef fifo)) - )) - (net state_1 (joined - (portRef (member state 0)) - (portRef (member state 0) (instanceRef fifo)) + (net buf_api_stat_fifo_to_int_2 (joined + (portRef buf_api_stat_fifo_to_int_2 (instanceRef fifo)) + (portRef buf_api_stat_fifo_to_int_2) )) - (net buf_api_stat_fifo_to_int_8 (joined - (portRef buf_api_stat_fifo_to_int_8 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_int_8) + (net buf_api_stat_fifo_to_int_1 (joined + (portRef buf_api_stat_fifo_to_int_1 (instanceRef fifo)) + (portRef buf_api_stat_fifo_to_int_1) )) - (net buf_api_stat_fifo_to_int_7 (joined - (portRef buf_api_stat_fifo_to_int_7 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_int_7) + (net buf_api_stat_fifo_to_int_0 (joined + (portRef buf_api_stat_fifo_to_int_0 (instanceRef fifo)) + (portRef buf_api_stat_fifo_to_int_0) )) (net buf_api_stat_fifo_to_int_6 (joined (portRef buf_api_stat_fifo_to_int_6 (instanceRef fifo)) (portRef buf_api_stat_fifo_to_int_6) )) - (net buf_api_stat_fifo_to_int_12 (joined - (portRef buf_api_stat_fifo_to_int_12 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_int_12) - )) - (net buf_api_stat_fifo_to_int_9 (joined - (portRef buf_api_stat_fifo_to_int_9) - (portRef buf_api_stat_fifo_to_int_9 (instanceRef fifo)) - )) - (net buf_api_stat_fifo_to_int_0 (joined - (portRef buf_api_stat_fifo_to_int_0) - (portRef buf_api_stat_fifo_to_int_0 (instanceRef fifo)) + (net buf_api_stat_fifo_to_int_3 (joined + (portRef buf_api_stat_fifo_to_int_3) + (portRef buf_api_stat_fifo_to_int_3 (instanceRef fifo)) )) - (net buf_api_stat_fifo_to_int_11 (joined - (portRef buf_api_stat_fifo_to_int_11 (instanceRef fifo)) - (portRef buf_api_stat_fifo_to_int_11) + (net buf_api_stat_fifo_to_int_5 (joined + (portRef buf_api_stat_fifo_to_int_5 (instanceRef fifo)) + (portRef buf_api_stat_fifo_to_int_5) )) - (net reset_i_19 (joined - (portRef reset_i_19) - (portRef reset_i_19 (instanceRef fifo)) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef fifo)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -46338,13 +46258,9 @@ (portRef GND) (portRef GND (instanceRef fifo)) )) - (net FF_23_RNICS201 (joined - (portRef FF_23_RNICS201 (instanceRef fifo)) - (portRef FF_23_RNICS201) - )) - (net waiting_word (joined - (portRef waiting_word) - (portRef waiting_word (instanceRef fifo)) + (net N_5723_i (joined + (portRef N_5723_i) + (portRef N_5723_i (instanceRef fifo)) )) ) ) @@ -46365,7 +46281,7 @@ (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_19 (direction INPUT)) + (port reset_i_20 (direction INPUT)) ) (contents (instance fifo (viewRef netlist (cellRef lattice_ecp3_fifo_18x1k_3)) @@ -46530,9 +46446,9 @@ (portRef buf_api_stat_fifo_to_apl_11 (instanceRef fifo)) (portRef buf_api_stat_fifo_to_apl_11) )) - (net reset_i_19 (joined - (portRef reset_i_19) - (portRef reset_i_19 (instanceRef fifo)) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef fifo)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -46558,10 +46474,12 @@ (interface (port (array (rename buf_apl_read_in "buf_APL_READ_IN(1:1)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(48:48)") 1) (direction INPUT)) - (port (array (rename current_fifo_to_apl_packet_type "current_fifo_to_apl_packet_type(2:0)") 3) (direction INPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port GND (direction INPUT)) + (port N_5041 (direction INPUT)) + (port N_5040 (direction INPUT)) + (port N_5039 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) ) @@ -46604,14 +46522,14 @@ (instance current_buffer_state_ns_1_0__N_6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (!B !A+B A))+D (C+(!B A)))")) ) - (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance current_b2_buffer_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (property lut_function (string "(!C A+C B)")) ) (instance current_b2_buffer_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (property lut_function (string "(!C A+C B)")) + ) + (instance current_b2_buffer_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) (instance move_b2_buffer_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C+(!B !A)))")) @@ -46663,9 +46581,9 @@ (net current_buffer_state_1 (joined (portRef Q (instanceRef current_buffer_state_1)) (portRef A (instanceRef move_b2_buffer_i)) - (portRef B (instanceRef current_b2_buffer_RNO_1)) - (portRef B (instanceRef current_b2_buffer_RNO_0)) - (portRef B (instanceRef current_b2_buffer_RNO_2)) + (portRef C (instanceRef current_b2_buffer_RNO_2)) + (portRef C (instanceRef current_b2_buffer_RNO_1)) + (portRef C (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_buffer_state_ns_1_0__N_6_i)) (portRef D (instanceRef combined_COMB_DATAREADY_IN_RNITLTC)) (portRef D (instanceRef current_next_READ_OUT_RNO)) @@ -46710,15 +46628,15 @@ )) (net current_b1_buffer_0 (joined (portRef Q (instanceRef current_b1_buffer_0)) - (portRef A (instanceRef current_b2_buffer_RNO_0)) + (portRef B (instanceRef current_b2_buffer_RNO_0)) )) (net current_b1_buffer_1 (joined (portRef Q (instanceRef current_b1_buffer_1)) - (portRef A (instanceRef current_b2_buffer_RNO_1)) + (portRef B (instanceRef current_b2_buffer_RNO_1)) )) (net current_b1_buffer_2 (joined (portRef Q (instanceRef current_b1_buffer_2)) - (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef B (instanceRef current_b2_buffer_RNO_2)) )) (net N_102_0_i (joined (portRef Z (instanceRef current_SYN_DATAREADY_OUT_RNO)) @@ -46756,21 +46674,21 @@ (portRef Q (instanceRef current_SYN_DATAREADY_OUT)) ) ) - (net current_fifo_to_apl_packet_type_2 (joined - (portRef (member current_fifo_to_apl_packet_type 0)) - (portRef C (instanceRef current_b2_buffer_RNO_2)) - (portRef D (instanceRef current_b1_buffer_2)) - )) - (net current_fifo_to_apl_packet_type_0 (joined - (portRef (member current_fifo_to_apl_packet_type 2)) - (portRef C (instanceRef current_b2_buffer_RNO_0)) + (net N_5039 (joined + (portRef N_5039) + (portRef A (instanceRef current_b2_buffer_RNO_0)) (portRef D (instanceRef current_b1_buffer_0)) )) - (net current_fifo_to_apl_packet_type_1 (joined - (portRef (member current_fifo_to_apl_packet_type 1)) - (portRef C (instanceRef current_b2_buffer_RNO_1)) + (net N_5040 (joined + (portRef N_5040) + (portRef A (instanceRef current_b2_buffer_RNO_1)) (portRef D (instanceRef current_b1_buffer_1)) )) + (net N_5041 (joined + (portRef N_5041) + (portRef A (instanceRef current_b2_buffer_RNO_2)) + (portRef D (instanceRef current_b1_buffer_2)) + )) (net current_next_READ_OUT_1 (joined (portRef Q (instanceRef current_next_READ_OUT)) ) @@ -46995,25 +46913,25 @@ (port buf_api_stat_fifo_to_int_18 (direction INPUT)) (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) (port (array (rename next_int_master_data_out "next_INT_MASTER_DATA_OUT(15:0)") 16) (direction INPUT)) - (port (array (rename state_to_int "state_to_int(0:0)") 1) (direction INPUT)) + (port (array (rename state_to_int "state_to_int(2:2)") 1) (direction INPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(1:1)") 1) (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port un3_current_syn_dataready_out (direction OUTPUT)) (port COMB_next_READ_OUT_f1_0 (direction OUTPUT)) - (port N_564 (direction INPUT)) - (port next_INT_MASTER_DATA_OUT_sn_N_17_0 (direction INPUT)) - (port N_565 (direction INPUT)) - (port N_566 (direction INPUT)) - (port N_567 (direction INPUT)) - (port N_569 (direction INPUT)) (port N_571 (direction INPUT)) + (port next_INT_MASTER_DATA_OUT_sn_N_17_0 (direction INPUT)) (port N_572 (direction INPUT)) + (port N_567 (direction INPUT)) (port N_570 (direction INPUT)) + (port N_569 (direction INPUT)) + (port N_566 (direction INPUT)) + (port N_565 (direction INPUT)) + (port N_564 (direction INPUT)) (port N_561 (direction INPUT)) (port N_560 (direction INPUT)) - (port un21_next_int_master_dataready_out_0 (direction INPUT)) + (port un11_next_int_master_dataready_out_0 (direction INPUT)) (port sbuf_free (direction INPUT)) (port next_INT_MASTER_DATAREADY_OUT_iv_0 (direction INPUT)) ) @@ -47024,7 +46942,7 @@ (portRef (member apl_to_buf_reply_read_i_3_i 0)) (portRef (member apl_to_buf_reply_read_i_3_i 0) (instanceRef gen_version_0_sbuf)) )) - (net state_to_int_0 (joined + (net state_to_int_2 (joined (portRef (member state_to_int 0)) (portRef (member state_to_int 0) (instanceRef gen_version_0_sbuf)) )) @@ -47180,9 +47098,9 @@ (portRef sbuf_free) (portRef sbuf_free (instanceRef gen_version_0_sbuf)) )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef un21_next_int_master_dataready_out_0) - (portRef un21_next_int_master_dataready_out_0 (instanceRef gen_version_0_sbuf)) + (net un11_next_int_master_dataready_out_0 (joined + (portRef un11_next_int_master_dataready_out_0) + (portRef un11_next_int_master_dataready_out_0 (instanceRef gen_version_0_sbuf)) )) (net N_560 (joined (portRef N_560) @@ -47192,41 +47110,41 @@ (portRef N_561) (portRef N_561 (instanceRef gen_version_0_sbuf)) )) - (net N_570 (joined - (portRef N_570) - (portRef N_570 (instanceRef gen_version_0_sbuf)) + (net N_564 (joined + (portRef N_564) + (portRef N_564 (instanceRef gen_version_0_sbuf)) )) - (net N_572 (joined - (portRef N_572) - (portRef N_572 (instanceRef gen_version_0_sbuf)) + (net N_565 (joined + (portRef N_565) + (portRef N_565 (instanceRef gen_version_0_sbuf)) )) - (net N_571 (joined - (portRef N_571) - (portRef N_571 (instanceRef gen_version_0_sbuf)) + (net N_566 (joined + (portRef N_566) + (portRef N_566 (instanceRef gen_version_0_sbuf)) )) (net N_569 (joined (portRef N_569) (portRef N_569 (instanceRef gen_version_0_sbuf)) )) + (net N_570 (joined + (portRef N_570) + (portRef N_570 (instanceRef gen_version_0_sbuf)) + )) (net N_567 (joined (portRef N_567) (portRef N_567 (instanceRef gen_version_0_sbuf)) )) - (net N_566 (joined - (portRef N_566) - (portRef N_566 (instanceRef gen_version_0_sbuf)) - )) - (net N_565 (joined - (portRef N_565) - (portRef N_565 (instanceRef gen_version_0_sbuf)) + (net N_572 (joined + (portRef N_572) + (portRef N_572 (instanceRef gen_version_0_sbuf)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_0 (joined (portRef next_INT_MASTER_DATA_OUT_sn_N_17_0) (portRef next_INT_MASTER_DATA_OUT_sn_N_17_0 (instanceRef gen_version_0_sbuf)) )) - (net N_564 (joined - (portRef N_564) - (portRef N_564 (instanceRef gen_version_0_sbuf)) + (net N_571 (joined + (portRef N_571) + (portRef N_571 (instanceRef gen_version_0_sbuf)) )) (net COMB_next_READ_OUT_f1_0 (joined (portRef COMB_next_READ_OUT_f1_0 (instanceRef gen_version_0_sbuf)) @@ -47261,7 +47179,9 @@ (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(103:102)") 2) (direction INPUT)) (port (array (rename buf_stat_reply_obuf_debug_i "buf_STAT_REPLY_OBUF_DEBUG_i(120:120)") 1) (direction INPUT)) (port (array (rename timer_ticks "timer_ticks(1:1)") 1) (direction INPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction OUTPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction OUTPUT)) + (port current_output_data_buffer_3 (direction OUTPUT)) + (port current_output_data_buffer_0 (direction OUTPUT)) (port buf_STAT_REPLY_OBUF_DEBUG_5 (direction INPUT)) (port buf_STAT_REPLY_OBUF_DEBUG_3 (direction INPUT)) (port (array (rename un1_iobuf_1 "un1_IOBUF_1(31:16)") 16) (direction INPUT)) @@ -47275,19 +47195,25 @@ (port comb_dataready_0_i (direction OUTPUT)) (port reset_no_link_1 (direction INPUT)) (port reg_ack_reply_internal_Q (direction INPUT)) + (port GND (direction INPUT)) (port int_dataready_in_i (direction OUTPUT)) (port reg_INT_READ_OUT (direction OUTPUT)) - (port GND (direction INPUT)) (port reg_eob_reply_out_Q (direction INPUT)) ) (contents + (instance gen1_un1_send_eob_RNIDTFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) (instance reg_SEND_ACK_IN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C !A)+D !A)")) ) - (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (!B !A+B A))")) + (instance sending_state_ns_i_a2_1_0_a2_RNIMOM32_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance sending_state_ns_0_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance sending_state_ns_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_2_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) (instance un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -47296,56 +47222,60 @@ (instance int_packet_num_in_i_RNIVCIV_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(B+A)))")) ) + (instance reg_INT_READ_OUT_RNIPCII1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)))")) + ) (instance send_ACK_RNIHL101 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))")) ) - (instance sending_state_RNIULTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance sbuf_free_RNIEDMK1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C (!B !A)))")) - ) - (instance transfer_counter_RNIVOH41_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) (instance reg_SEND_ACK_IN_2_RNIHTT01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance TRANSMITTED_BUFFERS_0__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C !A)+D (!C (!B A+B !A)+C !A))")) ) - (instance sbuf_free_RNIEDMK1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance reg_INT_READ_OUT_RNIEDMK1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B A))")) ) - (instance current_output_data_buffer_1_0_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_1_0_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (!B !A)))")) + (instance current_output_data_buffer_mb_1_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_output_data_buffer_mb_1_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+!A)+C (!B !A))")) ) - (instance current_output_data_buffer_1_0_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B+A)+C (!B !A)))")) + (instance current_output_data_buffer_mb_1_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C !A+C !B))")) ) - (instance current_output_data_buffer_4_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_4_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_am_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_output_data_buffer_am_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance current_output_data_buffer_4_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_am_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C B))")) ) (instance max_DATA_COUNT_minus_one_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) + (instance transfer_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance transfer_counter_fast_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance transfer_counter_0_rep1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance transfer_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance transfer_counter_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance transfer_counter_fast_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance transfer_counter_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) + (instance transfer_counter_fast_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + ) + (instance transfer_counter_2_rep1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + ) (instance sending_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance sending_state_fast_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sending_state_fast_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance sending_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance sbuf_free (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -47360,6 +47290,8 @@ ) (instance reg_SEND_ACK_IN (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance reg_INT_READ_OUT_fast (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance reg_INT_READ_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance proc_reg_setting_timer_tick (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -47394,6 +47326,8 @@ ) (instance int_packet_num_in_i_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance int_dataready_in_i_fast (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance int_dataready_in_i (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance int_data_in_i_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) @@ -47481,29 +47415,35 @@ (instance reg_INT_READ_OUT_RNIFHUG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance sending_state_ns_i_a2_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) + ) + (instance current_output_data_buffer_5_sqmuxa_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) (instance GENERATE_WORDS_un10_transfer_counter_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (property lut_function (string "(B !A)")) ) (instance transfer_counter_RNITR1M_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance sending_state_ns_i_a2_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) - ) - (instance sending_state_ns_i_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance transfer_counter_fast_RNIPO2R_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance max_DATA_COUNT_minus_onec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance reg_INT_READ_OUT_RNIAAJ91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance next_SEND_ACK_IN_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance sbuf_free_RNIVRN31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C !A)")) + (instance current_EOB_word_3_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance transfer_counter_1_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance sending_state_ns_i_a2_1_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance current_output_data_buffer_5_sqmuxa_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(!B+!A)))")) ) (instance reg_INT_READ_OUT_RNIFHUG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) @@ -47511,21 +47451,12 @@ (instance SYNC_INT_DATA_INPUTS_un4_buf_int_read_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+!A))")) ) - (instance sending_state_ns_i_a2_1_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance current_EOB_word_3_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance next_SEND_ACK_IN_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) + (instance TRANSMITTED_BUFFERS_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) (instance gen1_un3_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance TRANSMITTED_BUFFERS_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) - ) (instance send_ACK (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) @@ -47538,84 +47469,81 @@ (instance gen1_un1_send_eob_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (C (!B !A)))")) ) - (instance current_output_data_buffer_3_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B !A)))")) - ) (instance current_ACK_word_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance current_output_data_buffer_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C (B !A)))")) ) - (instance sending_state_ns_0_m6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (B+A))+D (C+(!B+!A)))")) - ) - (instance current_output_data_buffer_5_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C+(B !A)))")) - ) - (instance transfer_counter_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance sending_state_ns_i_a6_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) (instance reset_DATA_COUNT_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) + (instance current_output_data_buffer_3_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B+A)))")) + ) + (instance current_output_data_buffer_5_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + ) (instance gen1_un1_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance current_output_data_buffer_3_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C B))")) - ) - (instance current_output_data_buffer_3_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance transfer_counter_1_sqmuxa_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B A)))")) ) (instance next_INT_READ_OUT_7_sqmuxa_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) + (instance current_output_data_buffer_3_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) + ) + (instance current_output_data_buffer_3_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A))+D (!C B))")) + ) (instance current_output_data_buffer_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) ) - (instance un1_transfer_counter36 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) - ) - (instance transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C A)+D A)")) + (instance sending_state_ns_0_m6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A)+C (B+A))+D (C+(!B+!A)))")) ) (instance reset_DATA_COUNT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) + (instance current_output_data_buffer_3_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(!B A)))")) + ) + (instance transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A+B A)")) + ) (instance next_INT_READ_OUT_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) + (instance current_output_data_buffer_3_0_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + ) + (instance sending_state_ns_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + ) (instance sending_state_ns_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !C+D (!C (B+A)))")) ) - (instance current_output_data_buffer_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance current_output_data_buffer_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance next_INT_READ_OUT_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A))")) ) + (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C B)")) + ) (instance un1_next_INT_READ_OUT_6_sqmuxa_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D (!C+B))")) + (property lut_function (string "(!D (B+A)+D (C (B+A)))")) ) (instance un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C A+C (!B A)))")) ) - (instance sending_state_ns_0_a6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance sending_state_ns_i_a6_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance sending_state_ns_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B !A)))")) - ) (instance current_output_data_buffer_4_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) ) @@ -47664,6 +47592,12 @@ (instance current_output_data_buffer_4_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) + (instance current_output_data_buffer_4_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + ) + (instance current_output_data_buffer_4_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) (instance current_output_data_buffer_4_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) ) @@ -47688,77 +47622,97 @@ (instance current_output_data_buffer_4_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance transfer_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (!B A+B !A)))")) - ) - (instance current_output_data_buffer_4_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + (instance transfer_counter_1_sqmuxa_i_s_RNIFDIR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) - (instance current_output_data_buffer_4_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance sending_state_ns_0_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) ) (instance CURRENT_DATA_COUNTe_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B !A)))")) ) - (instance sending_state_ns_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (C (!B !A)))")) - ) - (instance current_output_data_buffer_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance current_output_data_buffer_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance transfer_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (!B+!A)))")) ) - (instance current_output_data_buffer_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (B+A))+D (B+A))")) + (instance sending_state_ns_i_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C !A))")) ) (instance TRANSMITTED_BUFFERS_2_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+!A)+C (B+A))")) ) (instance sending_state_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B+A))")) + (property lut_function (string "(!D (!C+!B)+D (!C+(!B+A)))")) + ) + (instance sending_state_ns_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B !A)))")) ) (instance reg_INT_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (!C (B A)))")) ) - (instance sending_state_ns_0_1_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) + (instance transfer_counter_2_rep1_RNIU6UL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance sending_state_ns_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C+(B+!A)))")) + (instance current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B+!A)+C !B))")) ) - (instance transfer_counter_RNI152D_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance current_output_data_buffer_sn_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance sending_state_ns_i_a2_0_o2_RNICC4A2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B !A)))")) + (instance current_output_data_buffer_1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C (!B !A))+D (!B !A))")) ) - (instance current_output_data_buffer_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A))+D (!C (B A)))")) ) - (instance current_ACK_word_2_sqmuxa_RNIOJ311 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B A)+C !B))")) + (instance current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B+!A)+C !B))")) ) - (instance transfer_counter_0_rep1_RNI5VS03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !B+C (!B !A)))")) + (instance current_ACK_word_2_sqmuxa_RNI5AP52 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B !A)))")) + ) + (instance current_output_data_buffer_mb_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D !C)")) + ) + (instance sending_state_ns_0_i_fast_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!B)+D (!C+(!B+A)))")) + ) + (instance sending_state_ns_i_fast_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B !A)))")) ) (instance transfer_counter_fast_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C A)+D A)")) + (property lut_function (string "(!B !A+B A)")) ) (instance transfer_counter_0_rep1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C A)+D A)")) + (property lut_function (string "(!B !A+B A)")) ) - (instance current_output_data_buffer_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_INT_READ_OUT_fast_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)))")) + ) + (instance transfer_counter_fast_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (!B+!A)))")) + ) + (instance transfer_counter_2_rep1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (!B+!A)))")) + ) + (instance transfer_counter_fast_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+!A))")) + ) + (instance current_output_data_buffer_mb_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A))")) + ) + (instance current_output_data_buffer_mb_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (!C (!B A)+C (B+A)))")) + ) + (instance current_output_data_buffer_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+!A)+D (!C !A+C !B))")) ) - (instance current_output_data_buffer_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!C (B A)))")) ) - (instance current_output_data_buffer_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+!A)+D (!C !A+C !B))")) ) - (instance current_output_data_buffer_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!C (B A)))")) ) (instance current_output_data_buffer_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -47767,10 +47721,10 @@ (instance current_output_data_buffer_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!C (B A)))")) ) - (instance current_output_data_buffer_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+!A)+D (!C !A+C !B))")) ) - (instance current_output_data_buffer_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!C (B A)))")) ) (instance current_output_data_buffer_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -47800,8 +47754,14 @@ (instance current_output_data_buffer_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B))")) ) - (instance sending_state_ns_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance reg_INT_READ_OUT_RNIEDMK1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B A))")) + ) + (instance sbuf_free_RNIVRN31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C !A)")) + ) + (instance un1_transfer_counter36 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) (instance CURRENT_DATA_COUNT_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -47883,103 +47843,138 @@ ) (instance GEN_CRC_CRC_gen (viewRef netlist (cellRef trb_net_CRC_GEN_IBUF_THE_IBUF_gen_crc_THE_CRC_0_3)) ) - (net next_SEND_ACK_IN_0_sqmuxa_1 (joined - (portRef Z (instanceRef sending_state_ns_i_a6_1_0)) - (portRef C (instanceRef sending_state_ns_i_0_0)) - (portRef A (instanceRef reg_SEND_ACK_IN_RNO)) + (net un1_send_eob (joined + (portRef Z (instanceRef gen1_un1_send_eob)) + (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef B (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef A (instanceRef gen1_un1_send_eob_RNIDTFL)) )) (net reg_SEND_ACK_IN_2 (joined (portRef Q (instanceRef reg_SEND_ACK_IN_2)) - (portRef C (instanceRef sending_state_ns_i_a2_0_0)) (portRef B (instanceRef send_ACK)) (portRef B (instanceRef next_SEND_ACK_IN_2)) (portRef C (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef C (instanceRef gen1_un1_send_eob_RNIDTFL)) )) (net reg_SEND_ACK_IN (joined (portRef Q (instanceRef reg_SEND_ACK_IN)) - (portRef D (instanceRef sending_state_ns_i_a2_0_0)) (portRef A (instanceRef send_ACK)) (portRef A (instanceRef next_SEND_ACK_IN_2)) (portRef D (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef D (instanceRef gen1_un1_send_eob_RNIDTFL)) + )) + (net N_160 (joined + (portRef Z (instanceRef gen1_un1_send_eob_RNIDTFL)) + (portRef A (instanceRef sending_state_ns_0_i_fast_1)) + (portRef A (instanceRef sending_state_ns_0_i_1)) + (portRef A (instanceRef sending_state_ns_i_2_0)) + (portRef B (instanceRef sending_state_ns_0_2_1)) + )) + (net next_SEND_ACK_IN_0_sqmuxa_1 (joined + (portRef Z (instanceRef sending_state_ns_i_a6_1_0)) + (portRef C (instanceRef sending_state_ns_i_0_0)) + (portRef A (instanceRef reg_SEND_ACK_IN_RNO)) + )) + (net reg_eob_reply_out_Q (joined + (portRef reg_eob_reply_out_Q) + (portRef C (instanceRef send_ACK)) + (portRef C (instanceRef next_SEND_ACK_IN_2)) + (portRef B (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) + (portRef B (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef B (instanceRef gen1_un1_send_eob_RNIDTFL)) )) (net reg_SEND_ACK_IN_2_0 (joined (portRef Z (instanceRef reg_SEND_ACK_IN_RNO)) (portRef D (instanceRef reg_SEND_ACK_IN)) )) - (net comb_dataready_0 (joined - (portRef Z (instanceRef sbuf_free_RNIEDMK1)) - (portRef C (instanceRef transfer_counter_0_rep1_RNO)) - (portRef C (instanceRef transfer_counter_fast_RNO_0)) - (portRef C (instanceRef transfer_counter_RNO_0)) - (portRef B (instanceRef un1_transfer_counter36)) - (portRef B (instanceRef transfer_counter_RNO_0_2)) - (portRef B (instanceRef transfer_counter_RNO_1)) - )) - (net un2_transfer_counter_3_m_2 (joined - (portRef Z (instanceRef transfer_counter_RNO_1)) - (portRef D (instanceRef transfer_counter_1)) + (net N_352 (joined + (portRef Z (instanceRef sbuf_free_RNIVRN31)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIEDMK1_0)) + (portRef C (instanceRef transfer_counter_2_rep1_RNO)) + (portRef C (instanceRef transfer_counter_fast_RNO_2)) + (portRef C (instanceRef transfer_counter_RNO_2)) + (portRef C (instanceRef reg_INT_READ_OUT_RNIEDMK1)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) )) (net un14_transfer_counter (joined (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef A (instanceRef sending_state_ns_0_a6_1)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) (portRef A (instanceRef reset_DATA_COUNT)) (portRef A (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) (portRef A (instanceRef sending_state_ns_i_a6_1_0)) (portRef A (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) - (portRef A (instanceRef sending_state_ns_i_RNO_0)) + (portRef A (instanceRef sending_state_ns_i_2_RNO_0)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) )) - (net GND (joined - (portRef GND) - (portRef GND (instanceRef GEN_CRC_CRC_gen)) - (portRef C1 (instanceRef buffer_number_s_0_15)) - (portRef B1 (instanceRef buffer_number_s_0_15)) - (portRef A1 (instanceRef buffer_number_s_0_15)) - (portRef B0 (instanceRef buffer_number_s_0_15)) - (portRef B1 (instanceRef buffer_number_cry_0_13)) - (portRef B0 (instanceRef buffer_number_cry_0_13)) - (portRef B1 (instanceRef buffer_number_cry_0_11)) - (portRef B0 (instanceRef buffer_number_cry_0_11)) - (portRef B1 (instanceRef buffer_number_cry_0_9)) - (portRef B0 (instanceRef buffer_number_cry_0_9)) - (portRef B1 (instanceRef buffer_number_cry_0_7)) - (portRef B0 (instanceRef buffer_number_cry_0_7)) - (portRef B1 (instanceRef buffer_number_cry_0_5)) - (portRef B0 (instanceRef buffer_number_cry_0_5)) - (portRef B1 (instanceRef buffer_number_cry_0_3)) - (portRef B0 (instanceRef buffer_number_cry_0_3)) - (portRef B1 (instanceRef buffer_number_cry_0_1)) - (portRef B0 (instanceRef buffer_number_cry_0_1)) - (portRef CIN (instanceRef buffer_number_cry_0_0)) - (portRef B1 (instanceRef buffer_number_cry_0_0)) - (portRef C0 (instanceRef buffer_number_cry_0_0)) - (portRef A0 (instanceRef buffer_number_cry_0_0)) - (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) - (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) - (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) - (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) - (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef CIN (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef A0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_8)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_7)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_6)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_5)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_4)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_3)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_2)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_1)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_0)) - (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) + (net sending_state_ns_0_a6_1_1 (joined + (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) + (portRef D (instanceRef sending_state_ns_0_i_fast_1)) + (portRef D (instanceRef sending_state_ns_0_i_1)) + )) + (net sbuf_free (joined + (portRef Q (instanceRef sbuf_free)) + (portRef A (instanceRef sbuf_free_RNIVRN31)) + (portRef D (instanceRef sending_state_ns_i_0_0)) + (portRef B (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) + (portRef B (instanceRef sending_state_ns_i_a6_1_0)) + (portRef A (instanceRef sending_state_ns_0_0_RNO_1)) + )) + (net N_140_i (joined + (portRef Z (instanceRef send_ACK_RNIHL101)) + (portRef B (instanceRef sending_state_ns_i_fast_0)) + (portRef B (instanceRef sending_state_ns_i_0)) + (portRef B (instanceRef sending_state_ns_0_0_RNO_1)) + )) + (net sending_state_fast_1 (joined + (portRef Q (instanceRef sending_state_fast_1)) + (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + (portRef B (instanceRef sending_state_ns_i_a2_0_o2_0)) + (portRef C (instanceRef sending_state_ns_0_0_RNO_1)) + )) + (net sending_state_fast_0 (joined + (portRef Q (instanceRef sending_state_fast_0)) + (portRef C (instanceRef sending_state_ns_i_fast_0)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + (portRef A (instanceRef sending_state_ns_i_a2_0_o2_0)) + (portRef D (instanceRef sending_state_ns_0_0_RNO_1)) + )) + (net N_152 (joined + (portRef Z (instanceRef sending_state_ns_0_0_RNO_1)) + (portRef B (instanceRef sending_state_ns_0_0_1)) + )) + (net reg_INT_READ_OUT (joined + (portRef Q (instanceRef reg_INT_READ_OUT)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIEDMK1_0)) + (portRef B (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIFHUG)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIEDMK1)) + (portRef C (instanceRef send_ACK_RNIHL101)) + (portRef C (instanceRef reg_INT_READ_OUT_RNIPCII1)) + (portRef C (instanceRef int_packet_num_in_i_RNIVCIV_2)) + (portRef B (instanceRef sending_state_ns_i_2_RNO_0)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) + (portRef reg_INT_READ_OUT) + )) + (net int_dataready_in_i (joined + (portRef Q (instanceRef int_dataready_in_i)) + (portRef C (instanceRef reg_INT_READ_OUT_RNIEDMK1_0)) + (portRef A (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIFHUG)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIEDMK1)) + (portRef D (instanceRef send_ACK_RNIHL101)) + (portRef D (instanceRef reg_INT_READ_OUT_RNIPCII1)) + (portRef D (instanceRef int_packet_num_in_i_RNIVCIV_2)) + (portRef C (instanceRef sending_state_ns_i_2_RNO_0)) + (portRef D (instanceRef sending_state_ns_i_a2_1_0_a2_RNIMOM32_0)) + (portRef int_dataready_in_i) )) (net sending_state_ns_i_a6_3_0_0 (joined - (portRef Z (instanceRef sending_state_ns_i_RNO_0)) - (portRef D (instanceRef sending_state_ns_i_0)) + (portRef Z (instanceRef sending_state_ns_i_2_RNO_0)) + (portRef D (instanceRef sending_state_ns_i_2_0)) )) (net buf_STAT_REPLY_OBUF_DEBUG_117 (joined (portRef Q (instanceRef TRANSMITTED_BUFFERS_0)) @@ -48018,180 +48013,50 @@ (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) (portRef B (instanceRef int_packet_num_in_i_RNIVCIV_2)) )) - (net reg_INT_READ_OUT (joined - (portRef Q (instanceRef reg_INT_READ_OUT)) - (portRef B (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIFHUG)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) - (portRef C (instanceRef send_ACK_RNIHL101)) - (portRef C (instanceRef int_packet_num_in_i_RNIVCIV_2)) - (portRef B (instanceRef sending_state_ns_i_RNO_0)) - (portRef reg_INT_READ_OUT) - )) - (net int_dataready_in_i (joined - (portRef Q (instanceRef int_dataready_in_i)) - (portRef A (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIFHUG)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) - (portRef D (instanceRef send_ACK_RNIHL101)) - (portRef D (instanceRef int_packet_num_in_i_RNIVCIV_2)) - (portRef C (instanceRef sending_state_ns_i_RNO_0)) - (portRef int_dataready_in_i) - )) (net N_55 (joined (portRef Z (instanceRef int_packet_num_in_i_RNIVCIV_2)) (portRef N_55 (instanceRef GEN_CRC_CRC_gen)) )) + (net N_112 (joined + (portRef Z (instanceRef transfer_counter_fast_RNIPO2R_0)) + (portRef C (instanceRef un1_transfer_counter36)) + (portRef A (instanceRef transfer_counter_2_rep1_RNO)) + (portRef A (instanceRef transfer_counter_fast_RNO_2)) + (portRef A (instanceRef transfer_counter_RNO_2)) + (portRef B (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef B (instanceRef transfer_counter_1_sqmuxa_i_s)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIPCII1)) + )) + (net current_NOP_word_4_sqmuxa (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIPCII1)) + (portRef B (instanceRef sending_state_ns_i_2_0)) + (portRef D (instanceRef sending_state_ns_0_2_1)) + (portRef A (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) + )) (net send_ACK_0 (joined (portRef Z (instanceRef send_ACK)) - (portRef C (instanceRef sending_state_ns_0_1_1)) + (portRef D (instanceRef sending_state_ns_0_0_1)) (portRef D (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) (portRef A (instanceRef send_ACK_RNIHL101)) )) - (net N_140_i (joined - (portRef Z (instanceRef send_ACK_RNIHL101)) - (portRef B (instanceRef sending_state_ns_0_1_1_1)) - (portRef B (instanceRef sending_state_ns_i_1_0)) - )) - (net MED_IO_PACKET_NUM_OUT_21 (joined - (portRef Q (instanceRef transfer_counter_0)) - (portRef A (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef A (instanceRef current_output_data_buffer_4_am_8)) - (portRef A (instanceRef current_output_data_buffer_4_am_12)) - (portRef A (instanceRef current_output_data_buffer_4_am_11)) - (portRef A (instanceRef current_output_data_buffer_4_am_10)) - (portRef A (instanceRef current_output_data_buffer_4_am_9)) - (portRef A (instanceRef current_output_data_buffer_4_am_7)) - (portRef A (instanceRef current_output_data_buffer_4_am_6)) - (portRef A (instanceRef current_output_data_buffer_4_am_5)) - (portRef A (instanceRef current_output_data_buffer_4_am_4)) - (portRef A (instanceRef current_output_data_buffer_4_am_3)) - (portRef A (instanceRef current_output_data_buffer_4_am_15)) - (portRef A (instanceRef current_output_data_buffer_4_am_14)) - (portRef A (instanceRef current_output_data_buffer_4_am_13)) - (portRef A (instanceRef transfer_counter_RNO_0)) - (portRef A (instanceRef current_output_data_buffer_1_0)) - (portRef A (instanceRef transfer_counter_RNO_0_2)) - (portRef A (instanceRef sending_state_ns_0_m6_1)) - (portRef A (instanceRef transfer_counter_1_sqmuxa_i_a2)) - (portRef A (instanceRef transfer_counter_RNITR1M_0)) - (portRef D (instanceRef current_output_data_buffer_4_am_1)) - (portRef D (instanceRef current_output_data_buffer_1_0_am_2)) - (portRef D (instanceRef transfer_counter_RNIVOH41_1)) - (portRef A (instanceRef sending_state_RNIULTV_1)) - (portRef C (instanceRef transfer_counter_RNO_1)) - (portRef (member med_io_packet_num_out 2)) - )) - (net sending_state_1 (joined - (portRef Q (instanceRef sending_state_1)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef D (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef D (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef C (instanceRef sbuf_free_RNIVRN31)) - (portRef D (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef B (instanceRef sending_state_ns_i_a2_0_o2_0)) - (portRef B (instanceRef sbuf_free_RNIEDMK1)) - (portRef B (instanceRef sending_state_RNIULTV_1)) - )) (net MED_IO_PACKET_NUM_OUT_23 (joined (portRef Q (instanceRef transfer_counter_2)) - (portRef B (instanceRef transfer_counter_RNI152D_1)) - (portRef A (instanceRef sending_state_ns_0_1_1)) - (portRef B (instanceRef transfer_counter_RNO_2)) - (portRef A (instanceRef sending_state_ns_i_a6_2_0)) + (portRef D (instanceRef un1_transfer_counter36)) + (portRef C (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) (portRef A (instanceRef sending_state_ns_i_0_0)) + (portRef A (instanceRef sending_state_ns_0_0_1)) + (portRef B (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef C (instanceRef sending_state_ns_0_m6_1)) (portRef A (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef A (instanceRef transfer_counter_1_sqmuxa_i_s)) (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef C (instanceRef sending_state_ns_0_m6_1)) (portRef B (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef B (instanceRef current_ACK_word_2_sqmuxa)) - (portRef A (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef C (instanceRef transfer_counter_1_sqmuxa_i_a2)) - (portRef B (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - (portRef B (instanceRef transfer_counter_RNIVOH41_1)) - (portRef C (instanceRef sending_state_RNIULTV_1)) (portRef B (instanceRef send_ACK_RNIHL101)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIPCII1)) (portRef (member med_io_packet_num_out 0)) )) - (net MED_IO_PACKET_NUM_OUT_22 (joined - (portRef Q (instanceRef transfer_counter_1)) - (portRef A (instanceRef transfer_counter_RNI152D_1)) - (portRef A (instanceRef transfer_counter_RNO_2)) - (portRef B (instanceRef sending_state_ns_0_m6_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef A (instanceRef current_ACK_word_2_sqmuxa)) - (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef B (instanceRef transfer_counter_1_sqmuxa_i_a2)) - (portRef A (instanceRef sending_state_ns_i_o2_0_0)) - (portRef A (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - (portRef C (instanceRef transfer_counter_RNIVOH41_1)) - (portRef D (instanceRef sending_state_RNIULTV_1)) - (portRef A (instanceRef transfer_counter_RNO_1)) - (portRef (member med_io_packet_num_out 1)) - )) - (net current_EOB_word_2_sqmuxa (joined - (portRef Z (instanceRef sending_state_RNIULTV_1)) - (portRef D (instanceRef current_output_data_buffer_1_6)) - (portRef D (instanceRef current_output_data_buffer_1_5)) - (portRef D (instanceRef current_output_data_buffer_1_3)) - (portRef D (instanceRef current_output_data_buffer_1_4)) - )) - (net N_115_1 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) - (portRef C (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef C (instanceRef sending_state_ns_i_a6_2_0)) - (portRef B (instanceRef sending_state_ns_0_a6_1)) - (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef B (instanceRef reset_DATA_COUNT)) - (portRef A (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef D (instanceRef sending_state_ns_0_m6_1)) - (portRef B (instanceRef sbuf_free_RNIEDMK1_0)) - (portRef A (instanceRef transfer_counter_RNIVOH41_1)) - (portRef A (instanceRef sbuf_free_RNIEDMK1)) - )) - (net sending_state_0 (joined - (portRef Q (instanceRef sending_state_0)) - (portRef C (instanceRef sending_state_ns_i_1_0)) - (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef C (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef sending_state_ns_i_a6_1_0)) - (portRef D (instanceRef current_ACK_word_2_sqmuxa)) - (portRef D (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef B (instanceRef sbuf_free_RNIVRN31)) - (portRef C (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef A (instanceRef sending_state_ns_i_a2_0_o2_0)) - (portRef C (instanceRef sbuf_free_RNIEDMK1)) - )) - (net sbuf_free (joined - (portRef Q (instanceRef sbuf_free)) - (portRef C (instanceRef sending_state_ns_0_1_1_1)) - (portRef D (instanceRef sending_state_ns_i_0_0)) - (portRef B (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef B (instanceRef sending_state_ns_i_a6_1_0)) - (portRef A (instanceRef sbuf_free_RNIVRN31)) - (portRef D (instanceRef sbuf_free_RNIEDMK1)) - )) - (net current_NOP_word_4_sqmuxa (joined - (portRef Z (instanceRef transfer_counter_RNIVOH41_1)) - (portRef A (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) - )) - (net reg_eob_reply_out_Q (joined - (portRef reg_eob_reply_out_Q) - (portRef B (instanceRef sending_state_ns_i_a2_0_0)) - (portRef C (instanceRef send_ACK)) - (portRef C (instanceRef next_SEND_ACK_IN_2)) - (portRef B (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) - (portRef B (instanceRef reg_SEND_ACK_IN_RNO)) - )) (net next_INT_READ_OUT_1_sqmuxa (joined (portRef Z (instanceRef reg_SEND_ACK_IN_2_RNIHTT01)) (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) @@ -48207,109 +48072,66 @@ (portRef Z (instanceRef TRANSMITTED_BUFFERS_0__fb)) (portRef D (instanceRef TRANSMITTED_BUFFERS_0)) )) - (net N_352 (joined - (portRef Z (instanceRef sbuf_free_RNIVRN31)) - (portRef D (instanceRef sending_state_ns_0_a6_1)) - (portRef A (instanceRef sbuf_free_RNIEDMK1_0)) + (net GND (joined + (portRef GND) + (portRef GND (instanceRef GEN_CRC_CRC_gen)) + (portRef C1 (instanceRef buffer_number_s_0_15)) + (portRef B1 (instanceRef buffer_number_s_0_15)) + (portRef A1 (instanceRef buffer_number_s_0_15)) + (portRef B0 (instanceRef buffer_number_s_0_15)) + (portRef B1 (instanceRef buffer_number_cry_0_13)) + (portRef B0 (instanceRef buffer_number_cry_0_13)) + (portRef B1 (instanceRef buffer_number_cry_0_11)) + (portRef B0 (instanceRef buffer_number_cry_0_11)) + (portRef B1 (instanceRef buffer_number_cry_0_9)) + (portRef B0 (instanceRef buffer_number_cry_0_9)) + (portRef B1 (instanceRef buffer_number_cry_0_7)) + (portRef B0 (instanceRef buffer_number_cry_0_7)) + (portRef B1 (instanceRef buffer_number_cry_0_5)) + (portRef B0 (instanceRef buffer_number_cry_0_5)) + (portRef B1 (instanceRef buffer_number_cry_0_3)) + (portRef B0 (instanceRef buffer_number_cry_0_3)) + (portRef B1 (instanceRef buffer_number_cry_0_1)) + (portRef B0 (instanceRef buffer_number_cry_0_1)) + (portRef CIN (instanceRef buffer_number_cry_0_0)) + (portRef B1 (instanceRef buffer_number_cry_0_0)) + (portRef C0 (instanceRef buffer_number_cry_0_0)) + (portRef A0 (instanceRef buffer_number_cry_0_0)) + (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) + (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) + (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) + (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) + (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) + (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) + (portRef CIN (instanceRef CURRENT_DATA_COUNT_cry_0_0)) + (portRef B1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) + (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) + (portRef A0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_8)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_7)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_6)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_5)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_4)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_3)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_2)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_1)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_0)) + (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) )) (net comb_dataready_0_i (joined - (portRef Z (instanceRef sbuf_free_RNIEDMK1_0)) + (portRef Z (instanceRef reg_INT_READ_OUT_RNIEDMK1)) (portRef comb_dataready_0_i) )) - (net current_output_data_buffer_1_0_bm_2 (joined - (portRef Z (instanceRef current_output_data_buffer_1_0_bm_2)) - (portRef ALUT (instanceRef current_output_data_buffer_1_0_2)) + (net current_output_data_buffer_mb_1_bm_2 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1_bm_2)) + (portRef ALUT (instanceRef current_output_data_buffer_mb_1_2)) )) - (net current_output_data_buffer_1_0_am_2 (joined - (portRef Z (instanceRef current_output_data_buffer_1_0_am_2)) - (portRef BLUT (instanceRef current_output_data_buffer_1_0_2)) - )) - (net N_237 (joined - (portRef Z (instanceRef transfer_counter_0_rep1_RNI5VS03)) - (portRef D (instanceRef current_output_data_buffer_13)) - (portRef D (instanceRef current_output_data_buffer_14)) - (portRef D (instanceRef current_output_data_buffer_15)) - (portRef D (instanceRef current_output_data_buffer_7)) - (portRef D (instanceRef current_output_data_buffer_8)) - (portRef D (instanceRef current_output_data_buffer_9)) - (portRef D (instanceRef current_output_data_buffer_10)) - (portRef D (instanceRef current_output_data_buffer_11)) - (portRef D (instanceRef current_output_data_buffer_12)) - (portRef C (instanceRef current_output_data_buffer_6)) - (portRef C (instanceRef current_output_data_buffer_1_6)) - (portRef C (instanceRef current_output_data_buffer_5)) - (portRef C (instanceRef current_output_data_buffer_1_5)) - (portRef C (instanceRef current_output_data_buffer_3)) - (portRef C (instanceRef current_output_data_buffer_1_3)) - (portRef C (instanceRef current_output_data_buffer_4)) - (portRef C (instanceRef current_output_data_buffer_1_4)) - (portRef C (instanceRef current_output_data_buffer_2)) - (portRef B (instanceRef current_output_data_buffer_1)) - (portRef C0 (instanceRef current_output_data_buffer_0)) - (portRef C0 (instanceRef current_output_data_buffer_1_0_2)) - )) - (net current_output_data_buffer_1_2 (joined - (portRef Z (instanceRef current_output_data_buffer_1_0_2)) - (portRef D (instanceRef current_output_data_buffer_2)) - )) - (net N_363 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef B (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef A (instanceRef current_output_data_buffer_1_0_bm_2)) - )) - (net N_373 (joined - (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef C (instanceRef transfer_counter_0_rep1_RNI5VS03)) - (portRef D (instanceRef current_output_data_buffer_bm_0)) - (portRef B (instanceRef current_output_data_buffer_4_am_8)) - (portRef B (instanceRef current_output_data_buffer_4_am_12)) - (portRef B (instanceRef current_output_data_buffer_4_am_11)) - (portRef B (instanceRef current_output_data_buffer_4_am_10)) - (portRef B (instanceRef current_output_data_buffer_4_am_9)) - (portRef B (instanceRef current_output_data_buffer_4_am_7)) - (portRef B (instanceRef current_output_data_buffer_4_am_6)) - (portRef B (instanceRef current_output_data_buffer_4_am_5)) - (portRef B (instanceRef current_output_data_buffer_4_am_4)) - (portRef B (instanceRef current_output_data_buffer_4_am_3)) - (portRef B (instanceRef current_output_data_buffer_4_am_15)) - (portRef B (instanceRef current_output_data_buffer_4_am_14)) - (portRef B (instanceRef current_output_data_buffer_4_am_13)) - (portRef B (instanceRef current_output_data_buffer_1_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef C (instanceRef current_output_data_buffer_4_am_1)) - (portRef A (instanceRef current_output_data_buffer_1_0_am_2)) - (portRef B (instanceRef current_output_data_buffer_1_0_bm_2)) - )) - (net N_88 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef B (instanceRef current_output_data_buffer_bm_0)) - (portRef C (instanceRef current_output_data_buffer_1_0_bm_2)) - )) - (net REPLYOBUF_stat_buffer_18 (joined - (portRef Q (instanceRef CURRENT_DATA_COUNT_2)) - (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef A (instanceRef gen1_un1_send_eob_2)) - (portRef D (instanceRef current_output_data_buffer_1_0_bm_2)) - )) - (net un1_IOBUF_1_18 (joined - (portRef (member un1_iobuf_1 13)) - (portRef B (instanceRef current_output_data_buffer_1_0_am_2)) - )) - (net buffer_number_2 (joined - (portRef Q (instanceRef buffer_number_2)) - (portRef C1 (instanceRef buffer_number_cry_0_1)) - (portRef C (instanceRef current_output_data_buffer_1_0_am_2)) - )) - (net current_output_data_buffer_4_bm_1 (joined - (portRef Z (instanceRef current_output_data_buffer_4_bm_1)) - (portRef ALUT (instanceRef current_output_data_buffer_4_1)) - )) - (net current_output_data_buffer_4_am_1 (joined - (portRef Z (instanceRef current_output_data_buffer_4_am_1)) - (portRef BLUT (instanceRef current_output_data_buffer_4_1)) + (net current_output_data_buffer_mb_1_am_2 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1_am_2)) + (portRef BLUT (instanceRef current_output_data_buffer_mb_1_2)) )) (net N_35 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) (portRef C (instanceRef current_output_data_buffer_13)) (portRef C (instanceRef current_output_data_buffer_14)) (portRef C (instanceRef current_output_data_buffer_15)) @@ -48319,30 +48141,31 @@ (portRef C (instanceRef current_output_data_buffer_10)) (portRef C (instanceRef current_output_data_buffer_11)) (portRef C (instanceRef current_output_data_buffer_12)) - (portRef B (instanceRef current_output_data_buffer_6)) - (portRef B (instanceRef current_output_data_buffer_5)) (portRef B (instanceRef current_output_data_buffer_3)) + (portRef B (instanceRef current_output_data_buffer_5)) (portRef B (instanceRef current_output_data_buffer_4)) - (portRef A (instanceRef current_output_data_buffer_2)) - (portRef A (instanceRef current_output_data_buffer_am_0)) - (portRef C0 (instanceRef current_output_data_buffer_4_1)) + (portRef B (instanceRef current_output_data_buffer_6)) + (portRef A (instanceRef current_output_data_buffer_0)) + (portRef B (instanceRef current_output_data_buffer_sn_2)) + (portRef C0 (instanceRef current_output_data_buffer_am_1)) + (portRef C0 (instanceRef current_output_data_buffer_mb_1_2)) )) - (net N_222 (joined - (portRef Z (instanceRef current_output_data_buffer_4_1)) - (portRef A (instanceRef current_output_data_buffer_1)) + (net current_output_data_buffer_mb_1_2 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1_2)) + (portRef C (instanceRef current_output_data_buffer_mb_2)) )) - (net int_data_in_i_1 (joined - (portRef Q (instanceRef int_data_in_i_1)) - (portRef (member int_data_in_i 14) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_4_bm_1)) + (net int_data_in_i_2 (joined + (portRef Q (instanceRef int_data_in_i_2)) + (portRef (member int_data_in_i 13) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_mb_1_bm_2)) )) (net N_33 (joined (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef B (instanceRef current_output_data_buffer_4_bm_8)) (portRef B (instanceRef current_output_data_buffer_4_bm_12)) (portRef B (instanceRef current_output_data_buffer_4_bm_11)) (portRef B (instanceRef current_output_data_buffer_4_bm_10)) (portRef B (instanceRef current_output_data_buffer_4_bm_9)) + (portRef B (instanceRef current_output_data_buffer_4_bm_8)) (portRef B (instanceRef current_output_data_buffer_4_bm_7)) (portRef B (instanceRef current_output_data_buffer_4_bm_6)) (portRef B (instanceRef current_output_data_buffer_4_bm_5)) @@ -48352,39 +48175,121 @@ (portRef B (instanceRef current_output_data_buffer_4_bm_14)) (portRef B (instanceRef current_output_data_buffer_4_bm_13)) (portRef B (instanceRef current_output_data_buffer_2_0)) - (portRef B (instanceRef current_output_data_buffer_2_2)) - (portRef B (instanceRef current_output_data_buffer_4_bm_1)) + (portRef B (instanceRef current_output_data_buffer_am_bm_1)) + (portRef B (instanceRef current_output_data_buffer_mb_1_bm_2)) + )) + (net CRC_2 (joined + (portRef (member crc 13) (instanceRef GEN_CRC_CRC_gen)) + (portRef C (instanceRef current_output_data_buffer_mb_1_bm_2)) + )) + (net un1_IOBUF_1_18 (joined + (portRef (member un1_iobuf_1 13)) + (portRef A (instanceRef current_output_data_buffer_mb_1_am_2)) + )) + (net buffer_number_2 (joined + (portRef Q (instanceRef buffer_number_2)) + (portRef C1 (instanceRef buffer_number_cry_0_1)) + (portRef B (instanceRef current_output_data_buffer_mb_1_am_2)) + )) + (net N_373 (joined + (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef D (instanceRef current_output_data_buffer_1_3)) + (portRef D (instanceRef current_output_data_buffer_1_5)) + (portRef D (instanceRef current_output_data_buffer_1_4)) + (portRef D (instanceRef current_output_data_buffer_1_6)) + (portRef B (instanceRef current_output_data_buffer_mb_1_1)) + (portRef C (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) + (portRef D (instanceRef current_output_data_buffer_sn_2)) + (portRef B (instanceRef current_output_data_buffer_4_am_12)) + (portRef B (instanceRef current_output_data_buffer_4_am_11)) + (portRef B (instanceRef current_output_data_buffer_4_am_10)) + (portRef B (instanceRef current_output_data_buffer_4_am_9)) + (portRef B (instanceRef current_output_data_buffer_4_am_8)) + (portRef B (instanceRef current_output_data_buffer_4_am_7)) + (portRef B (instanceRef current_output_data_buffer_4_am_6)) + (portRef B (instanceRef current_output_data_buffer_4_am_5)) + (portRef B (instanceRef current_output_data_buffer_4_am_4)) + (portRef B (instanceRef current_output_data_buffer_4_am_3)) + (portRef B (instanceRef current_output_data_buffer_4_am_15)) + (portRef B (instanceRef current_output_data_buffer_4_am_14)) + (portRef B (instanceRef current_output_data_buffer_4_am_13)) + (portRef D (instanceRef current_output_data_buffer_3_0_1_0)) + (portRef B (instanceRef current_output_data_buffer_1_0)) + (portRef C (instanceRef current_output_data_buffer_3_0_0_2)) + (portRef C (instanceRef current_output_data_buffer_am_am_1)) + (portRef C (instanceRef current_output_data_buffer_mb_1_am_2)) + )) + (net current_output_data_buffer_am_bm_1 (joined + (portRef Z (instanceRef current_output_data_buffer_am_bm_1)) + (portRef ALUT (instanceRef current_output_data_buffer_am_1)) + )) + (net current_output_data_buffer_am_am_1 (joined + (portRef Z (instanceRef current_output_data_buffer_am_am_1)) + (portRef BLUT (instanceRef current_output_data_buffer_am_1)) + )) + (net current_output_data_buffer_am_0_1 (joined + (portRef Z (instanceRef current_output_data_buffer_am_1)) + (portRef A (instanceRef current_output_data_buffer_mb_1)) + )) + (net int_data_in_i_1 (joined + (portRef Q (instanceRef int_data_in_i_1)) + (portRef (member int_data_in_i 14) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_am_bm_1)) )) (net CRC_1 (joined (portRef (member crc 14) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_4_bm_1)) + (portRef C (instanceRef current_output_data_buffer_am_bm_1)) )) (net un1_IOBUF_1_17 (joined (portRef (member un1_iobuf_1 14)) - (portRef A (instanceRef current_output_data_buffer_4_am_1)) + (portRef A (instanceRef current_output_data_buffer_am_am_1)) )) (net buffer_number_1 (joined (portRef Q (instanceRef buffer_number_1)) (portRef C0 (instanceRef buffer_number_cry_0_1)) - (portRef B (instanceRef current_output_data_buffer_4_am_1)) + (portRef B (instanceRef current_output_data_buffer_am_am_1)) )) - (net N_263467_0 (joined + (net MED_IO_PACKET_NUM_OUT_21 (joined + (portRef Q (instanceRef transfer_counter_0)) + (portRef A (instanceRef transfer_counter_fast_RNO_1)) + (portRef A (instanceRef current_output_data_buffer_sn_2)) + (portRef A (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef A (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) + (portRef A (instanceRef current_output_data_buffer_4_am_12)) + (portRef A (instanceRef current_output_data_buffer_4_am_11)) + (portRef A (instanceRef current_output_data_buffer_4_am_10)) + (portRef A (instanceRef current_output_data_buffer_4_am_9)) + (portRef A (instanceRef current_output_data_buffer_4_am_8)) + (portRef A (instanceRef current_output_data_buffer_4_am_7)) + (portRef A (instanceRef current_output_data_buffer_4_am_6)) + (portRef A (instanceRef current_output_data_buffer_4_am_5)) + (portRef A (instanceRef current_output_data_buffer_4_am_4)) + (portRef A (instanceRef current_output_data_buffer_4_am_3)) + (portRef A (instanceRef current_output_data_buffer_4_am_15)) + (portRef A (instanceRef current_output_data_buffer_4_am_14)) + (portRef A (instanceRef current_output_data_buffer_4_am_13)) + (portRef A (instanceRef transfer_counter_RNO_1)) + (portRef A (instanceRef transfer_counter_RNO_0)) + (portRef A (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef A (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef current_output_data_buffer_1_0)) + (portRef A (instanceRef transfer_counter_RNITR1M_0)) + (portRef D (instanceRef current_output_data_buffer_am_am_1)) + (portRef D (instanceRef current_output_data_buffer_mb_1_am_2)) + (portRef (member med_io_packet_num_out 2)) + )) + (net N_263741_0 (joined (portRef Z (instanceRef max_DATA_COUNT_minus_one_0_2)) (portRef D (instanceRef max_DATA_COUNT_minus_one_2)) )) + (net un2_transfer_counter_3_m_1 (joined + (portRef Z (instanceRef transfer_counter_RNO_0)) + (portRef D (instanceRef transfer_counter_0)) + )) (net un2_transfer_counter_3_m_fast_1 (joined (portRef Z (instanceRef transfer_counter_fast_RNO_0)) (portRef D (instanceRef transfer_counter_fast_0)) )) - (net MED_IO_PACKET_NUM_OUT_fast_21 (joined - (portRef Q (instanceRef transfer_counter_fast_0)) - (portRef A (instanceRef transfer_counter_fast_RNO_0)) - (portRef B (instanceRef sending_state_ns_i_o2_0_0)) - )) - (net un2_transfer_counter_3_m_rep1_1 (joined - (portRef Z (instanceRef transfer_counter_0_rep1_RNO)) - (portRef D (instanceRef transfer_counter_0_rep1)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef GEN_CRC_CRC_gen)) @@ -48430,6 +48335,7 @@ (portRef CK (instanceRef int_data_in_i_1)) (portRef CK (instanceRef int_data_in_i_0)) (portRef CK (instanceRef int_dataready_in_i)) + (portRef CK (instanceRef int_dataready_in_i_fast)) (portRef CK (instanceRef int_packet_num_in_i_2)) (portRef CK (instanceRef max_DATA_COUNT_minus_one_5)) (portRef CK (instanceRef max_DATA_COUNT_minus_one_2)) @@ -48447,6 +48353,7 @@ (portRef CK (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) (portRef CK (instanceRef proc_reg_setting_timer_tick)) (portRef CK (instanceRef reg_INT_READ_OUT)) + (portRef CK (instanceRef reg_INT_READ_OUT_fast)) (portRef CK (instanceRef reg_SEND_ACK_IN)) (portRef CK (instanceRef reg_SEND_ACK_IN_2)) (portRef CK (instanceRef saved_packet_type_2)) @@ -48454,19 +48361,26 @@ (portRef CK (instanceRef saved_packet_type_0)) (portRef CK (instanceRef sbuf_free)) (portRef CK (instanceRef sending_state_1)) + (portRef CK (instanceRef sending_state_fast_1)) + (portRef CK (instanceRef sending_state_fast_0)) (portRef CK (instanceRef sending_state_0)) + (portRef CK (instanceRef transfer_counter_2_rep1)) + (portRef CK (instanceRef transfer_counter_fast_2)) (portRef CK (instanceRef transfer_counter_2)) + (portRef CK (instanceRef transfer_counter_fast_1)) (portRef CK (instanceRef transfer_counter_1)) - (portRef CK (instanceRef transfer_counter_0)) (portRef CK (instanceRef transfer_counter_0_rep1)) (portRef CK (instanceRef transfer_counter_fast_0)) + (portRef CK (instanceRef transfer_counter_0)) )) (net reset_no_link (joined (portRef reset_no_link) (portRef CD (instanceRef TRANSMITTED_BUFFERS_1)) (portRef CD (instanceRef TRANSMITTED_BUFFERS_0)) (portRef CD (instanceRef int_dataready_in_i)) + (portRef CD (instanceRef int_dataready_in_i_fast)) (portRef CD (instanceRef reg_INT_READ_OUT)) + (portRef CD (instanceRef reg_INT_READ_OUT_fast)) (portRef CD (instanceRef reg_SEND_ACK_IN)) (portRef CD (instanceRef reg_SEND_ACK_IN_2)) (portRef PD (instanceRef saved_packet_type_2)) @@ -48474,23 +48388,22 @@ (portRef PD (instanceRef saved_packet_type_0)) (portRef CD (instanceRef sbuf_free)) (portRef CD (instanceRef sending_state_1)) + (portRef CD (instanceRef sending_state_fast_1)) + (portRef CD (instanceRef sending_state_fast_0)) (portRef CD (instanceRef sending_state_0)) + (portRef PD (instanceRef transfer_counter_2_rep1)) + (portRef PD (instanceRef transfer_counter_fast_2)) (portRef PD (instanceRef transfer_counter_2)) + (portRef CD (instanceRef transfer_counter_fast_1)) (portRef CD (instanceRef transfer_counter_1)) - (portRef CD (instanceRef transfer_counter_0)) (portRef CD (instanceRef transfer_counter_0_rep1)) (portRef CD (instanceRef transfer_counter_fast_0)) + (portRef CD (instanceRef transfer_counter_0)) )) - (net MED_IO_PACKET_NUM_OUT_21_rep1 (joined - (portRef Q (instanceRef transfer_counter_0_rep1)) - (portRef A (instanceRef transfer_counter_0_rep1_RNO)) - (portRef A (instanceRef transfer_counter_0_rep1_RNI5VS03)) - (portRef A (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) - (portRef A (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef C (instanceRef current_ACK_word_2_sqmuxa)) - (portRef B (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (net MED_IO_PACKET_NUM_OUT_fast_21 (joined + (portRef Q (instanceRef transfer_counter_fast_0)) + (portRef A (instanceRef transfer_counter_fast_RNO_0)) + (portRef A (instanceRef transfer_counter_fast_RNIPO2R_0)) )) (net VCC (joined (portRef VCC) @@ -48522,29 +48435,103 @@ (portRef D1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef D0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) )) - (net un2_transfer_counter_3_m_1 (joined - (portRef Z (instanceRef transfer_counter_RNO_0)) - (portRef D (instanceRef transfer_counter_0)) + (net un2_transfer_counter_3_m_rep1_1 (joined + (portRef Z (instanceRef transfer_counter_0_rep1_RNO)) + (portRef D (instanceRef transfer_counter_0_rep1)) + )) + (net MED_IO_PACKET_NUM_OUT_21_rep1 (joined + (portRef Q (instanceRef transfer_counter_0_rep1)) + (portRef A (instanceRef transfer_counter_0_rep1_RNO)) + (portRef A (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) + (portRef A (instanceRef current_output_data_buffer_3_0_o2_0)) + (portRef C (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef B (instanceRef current_ACK_word_2_sqmuxa)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + )) + (net un2_transfer_counter_3_m_2 (joined + (portRef Z (instanceRef transfer_counter_RNO_1)) + (portRef D (instanceRef transfer_counter_1)) + )) + (net un2_transfer_counter_3_m_fast_2 (joined + (portRef Z (instanceRef transfer_counter_fast_RNO_1)) + (portRef D (instanceRef transfer_counter_fast_1)) + )) + (net transfer_counter_fast_1 (joined + (portRef Q (instanceRef transfer_counter_fast_1)) + (portRef C (instanceRef transfer_counter_fast_RNO_1)) + (portRef B (instanceRef transfer_counter_2_rep1_RNIU6UL)) + (portRef B (instanceRef transfer_counter_fast_RNIPO2R_0)) + (portRef B (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) )) (net un2_transfer_counter_3_m_3 (joined (portRef Z (instanceRef transfer_counter_RNO_2)) (portRef D (instanceRef transfer_counter_2)) )) + (net un2_transfer_counter_3_m_fast_3 (joined + (portRef Z (instanceRef transfer_counter_fast_RNO_2)) + (portRef D (instanceRef transfer_counter_fast_2)) + )) + (net MED_IO_PACKET_NUM_OUT_fast_23 (joined + (portRef Q (instanceRef transfer_counter_fast_2)) + (portRef A (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) + )) + (net un2_transfer_counter_3_m_rep1_3 (joined + (portRef Z (instanceRef transfer_counter_2_rep1_RNO)) + (portRef D (instanceRef transfer_counter_2_rep1)) + )) + (net MED_IO_PACKET_NUM_OUT_23_rep1 (joined + (portRef Q (instanceRef transfer_counter_2_rep1)) + (portRef A (instanceRef transfer_counter_2_rep1_RNIU6UL)) + (portRef C (instanceRef current_ACK_word_2_sqmuxa)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + )) (net N_134_0 (joined (portRef Z (instanceRef sending_state_ns_i_0)) (portRef D (instanceRef sending_state_0)) )) + (net sending_state_0 (joined + (portRef Q (instanceRef sending_state_0)) + (portRef C (instanceRef sbuf_free_RNIVRN31)) + (portRef C (instanceRef sending_state_ns_i_0)) + (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef D (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef C (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef C (instanceRef sending_state_ns_i_a6_1_0)) + (portRef D (instanceRef current_ACK_word_2_sqmuxa)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + )) + (net N_134_0_fast (joined + (portRef Z (instanceRef sending_state_ns_i_fast_0)) + (portRef D (instanceRef sending_state_fast_0)) + )) + (net sending_state_ns_0_i_fast_1 (joined + (portRef Z (instanceRef sending_state_ns_0_i_fast_1)) + (portRef D (instanceRef sending_state_fast_1)) + )) (net sending_state_ns_0_i_1 (joined (portRef Z (instanceRef sending_state_ns_0_i_1)) (portRef D (instanceRef sending_state_1)) )) + (net sending_state_1 (joined + (portRef Q (instanceRef sending_state_1)) + (portRef B (instanceRef sbuf_free_RNIVRN31)) + (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) + (portRef D (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef D (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) + (portRef D (instanceRef current_output_data_buffer_3_0_o2_0)) + (portRef C (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) + (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + )) (net fifo_almostfull_i (joined (portRef fifo_almostfull_i) (portRef D (instanceRef sbuf_free)) )) (net un1_transfer_counter36_1 (joined (portRef Z (instanceRef un1_transfer_counter36)) - (portRef D (instanceRef transfer_counter_RNO_2)) (portRef SP (instanceRef saved_packet_type_2)) (portRef SP (instanceRef saved_packet_type_1)) (portRef SP (instanceRef saved_packet_type_0)) @@ -48553,6 +48540,14 @@ (portRef Z (instanceRef next_SEND_ACK_IN_2)) (portRef D (instanceRef reg_SEND_ACK_IN_2)) )) + (net un1_next_INT_READ_OUT_6_sqmuxa_0_i_fast (joined + (portRef Z (instanceRef reg_INT_READ_OUT_fast_RNO)) + (portRef D (instanceRef reg_INT_READ_OUT_fast)) + )) + (net reg_INT_READ_OUT_fast (joined + (portRef Q (instanceRef reg_INT_READ_OUT_fast)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + )) (net un1_next_INT_READ_OUT_6_sqmuxa_0_i (joined (portRef Z (instanceRef reg_INT_READ_OUT_RNO)) (portRef D (instanceRef reg_INT_READ_OUT)) @@ -48619,9 +48614,20 @@ (portRef SP (instanceRef int_data_in_i_0)) (portRef SP (instanceRef int_packet_num_in_i_2)) )) + (net int_dataready_in_i_fast (joined + (portRef Q (instanceRef int_dataready_in_i_fast)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + )) (net buf_api_stat_fifo_to_int_103 (joined (portRef (member buf_api_stat_fifo_to_int 0)) (portRef D (instanceRef int_dataready_in_i)) + (portRef D (instanceRef int_dataready_in_i_fast)) + )) + (net apl_to_buf_REPLY_READ_i_3_i_3 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIFHUG)) + (portRef SP (instanceRef int_dataready_in_i)) + (portRef SP (instanceRef int_dataready_in_i_fast)) + (portRef (member apl_to_buf_reply_read_i_3_i 0)) )) (net current_b2_buffer_0 (joined (portRef (member current_b2_buffer 3)) @@ -48640,11 +48646,6 @@ (portRef (member current_b2_buffer 1)) (portRef D (instanceRef int_data_in_i_2)) )) - (net int_data_in_i_2 (joined - (portRef Q (instanceRef int_data_in_i_2)) - (portRef (member int_data_in_i 13) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_2_2)) - )) (net current_b2_buffer_3 (joined (portRef (member current_b2_buffer 0)) (portRef D (instanceRef int_data_in_i_3)) @@ -48946,7 +48947,7 @@ (net REPLYOBUF_stat_buffer_16 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_0)) (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) - (portRef A (instanceRef current_output_data_buffer_bm_0)) + (portRef A (instanceRef current_output_data_buffer_3_0_1_0)) (portRef A (instanceRef gen1_un1_send_eob_1)) )) (net CURRENT_DATA_COUNT_s_1 (joined @@ -48956,13 +48957,19 @@ (net REPLYOBUF_stat_buffer_17 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_1)) (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_1_1)) + (portRef A (instanceRef current_output_data_buffer_mb_1_1)) (portRef B (instanceRef gen1_un1_send_eob_1)) )) (net CURRENT_DATA_COUNT_s_2 (joined (portRef S1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) (portRef D (instanceRef CURRENT_DATA_COUNT_2)) )) + (net REPLYOBUF_stat_buffer_18 (joined + (portRef Q (instanceRef CURRENT_DATA_COUNT_2)) + (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) + (portRef A (instanceRef current_output_data_buffer_3_0_0_2)) + (portRef A (instanceRef gen1_un1_send_eob_2)) + )) (net CURRENT_DATA_COUNT_s_3 (joined (portRef S0 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) (portRef D (instanceRef CURRENT_DATA_COUNT_3)) @@ -49003,18 +49010,41 @@ (portRef B (instanceRef current_output_data_buffer_1_6)) (portRef C (instanceRef gen1_un1_send_eob_3)) )) + (net N_115_1 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIFHUG_0)) + (portRef B (instanceRef transfer_counter_2_rep1_RNO)) + (portRef B (instanceRef transfer_counter_fast_RNO_2)) + (portRef B (instanceRef transfer_counter_RNO_2)) + (portRef C (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) + (portRef B (instanceRef reset_DATA_COUNT)) + (portRef D (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) + )) + (net N_351 (joined + (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_0)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) + (portRef C (instanceRef sending_state_ns_0_2_1)) + (portRef B (instanceRef sending_state_ns_i_0_0)) + (portRef C (instanceRef sending_state_ns_0_0_1)) + (portRef D (instanceRef current_output_data_buffer_3_0_a2_0)) + )) + (net N_364 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + )) (net N_111 (joined (portRef Z (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) (portRef B (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef C (instanceRef current_output_data_buffer_3_0_o2_0)) )) (net reset_no_link_1 (joined (portRef reset_no_link_1) (portRef reset_no_link_1 (instanceRef GEN_CRC_CRC_gen)) - (portRef D (instanceRef transfer_counter_0_rep1_RNO)) - (portRef D (instanceRef transfer_counter_fast_RNO_0)) + (portRef A (instanceRef un1_transfer_counter36)) (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) - (portRef D (instanceRef transfer_counter_RNO_0)) - (portRef C (instanceRef un1_transfer_counter36)) + (portRef D (instanceRef transfer_counter_1_sqmuxa_i_s)) (portRef C (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) (portRef B (instanceRef transfer_counter_RNITR1M_0)) (portRef C (instanceRef TRANSMITTED_BUFFERS_0__fb)) @@ -49030,44 +49060,28 @@ (portRef A1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) )) - (net N_351 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_0)) - (portRef C (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) - (portRef B (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) - (portRef B (instanceRef sending_state_ns_0_1_1)) - (portRef B (instanceRef sending_state_ns_i_0_0)) - (portRef D (instanceRef current_output_data_buffer_3_0_a2_0)) - )) - (net N_112 (joined - (portRef Z (instanceRef sending_state_ns_i_o2_0_0)) - (portRef B (instanceRef sending_state_ns_i_a6_2_0)) - (portRef B (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - )) (net buf_STAT_REPLY_OBUF_DEBUG_3 (joined (portRef buf_STAT_REPLY_OBUF_DEBUG_3) (portRef A (instanceRef max_DATA_COUNT_minus_onec)) (portRef A (instanceRef max_DATA_COUNT_minus_one_0_2)) )) - (net N_371 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIAAJ91)) - (portRef B (instanceRef transfer_counter_0_rep1_RNI5VS03)) - (portRef C (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) - (portRef C (instanceRef current_output_data_buffer_bm_0)) - (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_o2_1)) - )) - (net N_131 (joined - (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_a2)) - (portRef B (instanceRef transfer_counter_0_rep1_RNO)) - (portRef B (instanceRef transfer_counter_fast_RNO_0)) - (portRef B (instanceRef transfer_counter_RNO_0)) - (portRef A (instanceRef un1_transfer_counter36)) + (net MED_IO_PACKET_NUM_OUT_22 (joined + (portRef Q (instanceRef transfer_counter_1)) + (portRef B (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) + (portRef B (instanceRef transfer_counter_RNO_1)) + (portRef B (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef A (instanceRef current_ACK_word_2_sqmuxa)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef (member med_io_packet_num_out 1)) )) - (net apl_to_buf_REPLY_READ_i_3_i_3 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIFHUG)) - (portRef SP (instanceRef int_dataready_in_i)) - (portRef (member apl_to_buf_reply_read_i_3_i 0)) + (net N_33_1 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef B (instanceRef current_output_data_buffer_3_0_o2_0)) )) (net reg_ack_reply_internal_Q (joined (portRef reg_ack_reply_internal_Q) @@ -49089,38 +49103,49 @@ )) (net N_90 (joined (portRef Z (instanceRef current_ACK_word_2_sqmuxa)) - (portRef B (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) + (portRef B (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) + (portRef C (instanceRef current_output_data_buffer_sn_2)) + (portRef C (instanceRef current_output_data_buffer_3_0_1_0)) )) - (net N_139 (joined - (portRef Z (instanceRef sending_state_ns_0_m6_1)) - (portRef A (instanceRef sending_state_ns_0_1_1_1)) - (portRef A (instanceRef sending_state_ns_i_1_0)) - )) - (net CO0 (joined - (portRef Z (instanceRef transfer_counter_RNO_0_2)) - (portRef C (instanceRef transfer_counter_RNO_2)) + (net N_88 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef B (instanceRef current_output_data_buffer_3_0_1_0)) + (portRef B (instanceRef current_output_data_buffer_3_0_0_2)) )) (net reset_DATA_COUNT_0_sqmuxa_1 (joined (portRef Z (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) (portRef D (instanceRef reset_DATA_COUNT)) )) - (net un1_send_eob (joined - (portRef Z (instanceRef gen1_un1_send_eob)) - (portRef A (instanceRef sending_state_ns_i_a2_0_0)) - (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef B (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (net N_350 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_o2_0)) + (portRef C (instanceRef current_output_data_buffer_1_0_0)) + (portRef C (instanceRef current_output_data_buffer_3_0_o2_1)) )) - (net current_output_data_buffer_3_0_0_1 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef current_output_data_buffer_1)) + (net comb_dataready_0 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIEDMK1_0)) + (portRef B (instanceRef un1_transfer_counter36)) + (portRef C (instanceRef transfer_counter_1_sqmuxa_i_s)) )) - (net current_output_data_buffer_3_0_1_1 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef D (instanceRef current_output_data_buffer_1)) + (net N_40 (joined + (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_s)) + (portRef B (instanceRef transfer_counter_fast_RNO_1)) + (portRef B (instanceRef transfer_counter_0_rep1_RNO)) + (portRef B (instanceRef transfer_counter_fast_RNO_0)) + (portRef D (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) + (portRef C (instanceRef transfer_counter_RNO_1)) + (portRef B (instanceRef transfer_counter_RNO_0)) )) (net next_INT_READ_OUT_7_sqmuxa_1_1 (joined (portRef Z (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + )) + (net current_output_data_buffer_3_0_0_2 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_0_2)) + (portRef B (instanceRef current_output_data_buffer_mb_2)) + )) + (net current_output_data_buffer_3_0_0_1 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef C (instanceRef current_output_data_buffer_mb_1_1)) )) (net un1_IOBUF_1_16 (joined (portRef (member un1_iobuf_1 15)) @@ -49128,7 +49153,18 @@ )) (net N_176 (joined (portRef Z (instanceRef current_output_data_buffer_1_0)) - (portRef B (instanceRef current_output_data_buffer_am_0)) + (portRef A (instanceRef current_output_data_buffer_1_0_0)) + )) + (net N_139 (joined + (portRef Z (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef sending_state_ns_i_fast_0)) + (portRef A (instanceRef sending_state_ns_i_0)) + (portRef A (instanceRef sending_state_ns_0_2_1)) + )) + (net N_363 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef C (instanceRef current_output_data_buffer_mb_1)) + (portRef A (instanceRef current_output_data_buffer_mb_2)) )) (net fifo_almostfull (joined (portRef fifo_almostfull) @@ -49136,19 +49172,21 @@ )) (net next_INT_READ_OUT_1_sqmuxa_1 (joined (portRef Z (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) + (portRef A (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef A (instanceRef reg_INT_READ_OUT_RNO)) )) - (net sending_state_ns_i_0_0 (joined - (portRef Z (instanceRef sending_state_ns_i_0_0)) - (portRef D (instanceRef sending_state_ns_i_1_0)) + (net current_output_data_buffer_3_0_1_0 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_1_0)) + (portRef D (instanceRef current_output_data_buffer_1_0_0)) )) - (net CRC_2 (joined - (portRef (member crc 13) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_2_2)) + (net sending_state_ns_0_0_1 (joined + (portRef Z (instanceRef sending_state_ns_0_0_1)) + (portRef B (instanceRef sending_state_ns_0_i_fast_1)) + (portRef B (instanceRef sending_state_ns_0_i_1)) )) - (net N_196 (joined - (portRef Z (instanceRef current_output_data_buffer_2_2)) - (portRef B (instanceRef current_output_data_buffer_2)) + (net sending_state_ns_i_0_0 (joined + (portRef Z (instanceRef sending_state_ns_i_0_0)) + (portRef C (instanceRef sending_state_ns_i_2_0)) )) (net CRC_0 (joined (portRef (member crc 15) (instanceRef GEN_CRC_CRC_gen)) @@ -49156,44 +49194,28 @@ )) (net N_194 (joined (portRef Z (instanceRef current_output_data_buffer_2_0)) - (portRef C (instanceRef current_output_data_buffer_am_0)) + (portRef B (instanceRef current_output_data_buffer_0)) )) (net next_INT_READ_OUT_1_sqmuxa_2 (joined (portRef Z (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef B (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef B (instanceRef reg_INT_READ_OUT_RNO)) )) (net un1_next_INT_READ_OUT_6_sqmuxa_1_tz (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef D (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef D (instanceRef reg_INT_READ_OUT_RNO)) )) (net un1_next_INT_READ_OUT_6_sqmuxa_0_0_tz_tz (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) + (portRef C (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef C (instanceRef reg_INT_READ_OUT_RNO)) )) - (net N_160 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_0)) - (portRef B (instanceRef sending_state_ns_i_0)) - (portRef D (instanceRef sending_state_ns_i_a6_2_0)) - (portRef C (instanceRef sending_state_ns_0_a6_1)) - )) - (net N_151 (joined - (portRef Z (instanceRef sending_state_ns_0_a6_1)) - (portRef B (instanceRef sending_state_ns_0_i_1)) - )) - (net N_149 (joined - (portRef Z (instanceRef sending_state_ns_i_a6_2_0)) - (portRef A (instanceRef sending_state_ns_0_i_1)) - (portRef A (instanceRef sending_state_ns_i_0)) - )) - (net sending_state_ns_i_1_0 (joined - (portRef Z (instanceRef sending_state_ns_i_1_0)) - (portRef C (instanceRef sending_state_ns_i_0)) - )) (net un1_IOBUF_1_29 (joined (portRef (member un1_iobuf_1 2)) (portRef D (instanceRef current_output_data_buffer_4_am_13)) )) - (net current_output_data_buffer_4_am_13 (joined + (net current_output_data_buffer_4_am_0_13 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_13)) (portRef A (instanceRef current_output_data_buffer_13)) )) @@ -49201,7 +49223,7 @@ (portRef (member crc 2) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_13)) )) - (net current_output_data_buffer_4_bm_13 (joined + (net current_output_data_buffer_4_bm_0_13 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_13)) (portRef B (instanceRef current_output_data_buffer_13)) )) @@ -49209,7 +49231,7 @@ (portRef (member un1_iobuf_1 1)) (portRef D (instanceRef current_output_data_buffer_4_am_14)) )) - (net current_output_data_buffer_4_am_14 (joined + (net current_output_data_buffer_4_am_0_14 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_14)) (portRef A (instanceRef current_output_data_buffer_14)) )) @@ -49217,7 +49239,7 @@ (portRef (member crc 1) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_14)) )) - (net current_output_data_buffer_4_bm_14 (joined + (net current_output_data_buffer_4_bm_0_14 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_14)) (portRef B (instanceRef current_output_data_buffer_14)) )) @@ -49225,7 +49247,7 @@ (portRef (member un1_iobuf_1 0)) (portRef D (instanceRef current_output_data_buffer_4_am_15)) )) - (net current_output_data_buffer_4_am_15 (joined + (net current_output_data_buffer_4_am_0_15 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_15)) (portRef A (instanceRef current_output_data_buffer_15)) )) @@ -49233,7 +49255,7 @@ (portRef (member crc 0) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_15)) )) - (net current_output_data_buffer_4_bm_15 (joined + (net current_output_data_buffer_4_bm_0_15 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_15)) (portRef B (instanceRef current_output_data_buffer_15)) )) @@ -49241,7 +49263,7 @@ (portRef (member un1_iobuf_1 12)) (portRef D (instanceRef current_output_data_buffer_4_am_3)) )) - (net current_output_data_buffer_4_am_3 (joined + (net current_output_data_buffer_4_am_0_3 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_3)) (portRef A (instanceRef current_output_data_buffer_1_3)) )) @@ -49249,7 +49271,7 @@ (portRef (member crc 12) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_3)) )) - (net current_output_data_buffer_4_bm_3 (joined + (net current_output_data_buffer_4_bm_0_3 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_3)) (portRef A (instanceRef current_output_data_buffer_3)) )) @@ -49257,7 +49279,7 @@ (portRef (member un1_iobuf_1 11)) (portRef D (instanceRef current_output_data_buffer_4_am_4)) )) - (net current_output_data_buffer_4_am_4 (joined + (net current_output_data_buffer_4_am_0_4 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_4)) (portRef A (instanceRef current_output_data_buffer_1_4)) )) @@ -49265,7 +49287,7 @@ (portRef (member crc 11) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_4)) )) - (net current_output_data_buffer_4_bm_4 (joined + (net current_output_data_buffer_4_bm_0_4 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_4)) (portRef A (instanceRef current_output_data_buffer_4)) )) @@ -49273,7 +49295,7 @@ (portRef (member un1_iobuf_1 10)) (portRef D (instanceRef current_output_data_buffer_4_am_5)) )) - (net current_output_data_buffer_4_am_5 (joined + (net current_output_data_buffer_4_am_0_5 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_5)) (portRef A (instanceRef current_output_data_buffer_1_5)) )) @@ -49281,7 +49303,7 @@ (portRef (member crc 10) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_5)) )) - (net current_output_data_buffer_4_bm_5 (joined + (net current_output_data_buffer_4_bm_0_5 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_5)) (portRef A (instanceRef current_output_data_buffer_5)) )) @@ -49289,7 +49311,7 @@ (portRef (member un1_iobuf_1 9)) (portRef D (instanceRef current_output_data_buffer_4_am_6)) )) - (net current_output_data_buffer_4_am_6 (joined + (net current_output_data_buffer_4_am_0_6 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_6)) (portRef A (instanceRef current_output_data_buffer_1_6)) )) @@ -49297,7 +49319,7 @@ (portRef (member crc 9) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_6)) )) - (net current_output_data_buffer_4_bm_6 (joined + (net current_output_data_buffer_4_bm_0_6 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_6)) (portRef A (instanceRef current_output_data_buffer_6)) )) @@ -49305,7 +49327,7 @@ (portRef (member un1_iobuf_1 8)) (portRef D (instanceRef current_output_data_buffer_4_am_7)) )) - (net current_output_data_buffer_4_am_7 (joined + (net current_output_data_buffer_4_am_0_7 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_7)) (portRef A (instanceRef current_output_data_buffer_7)) )) @@ -49313,15 +49335,31 @@ (portRef (member crc 8) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_7)) )) - (net current_output_data_buffer_4_bm_7 (joined + (net current_output_data_buffer_4_bm_0_7 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_7)) (portRef B (instanceRef current_output_data_buffer_7)) )) + (net un1_IOBUF_1_24 (joined + (portRef (member un1_iobuf_1 7)) + (portRef D (instanceRef current_output_data_buffer_4_am_8)) + )) + (net current_output_data_buffer_4_am_0_8 (joined + (portRef Z (instanceRef current_output_data_buffer_4_am_8)) + (portRef A (instanceRef current_output_data_buffer_8)) + )) + (net CRC_8 (joined + (portRef (member crc 7) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_4_bm_8)) + )) + (net current_output_data_buffer_4_bm_0_8 (joined + (portRef Z (instanceRef current_output_data_buffer_4_bm_8)) + (portRef B (instanceRef current_output_data_buffer_8)) + )) (net un1_IOBUF_1_25 (joined (portRef (member un1_iobuf_1 6)) (portRef D (instanceRef current_output_data_buffer_4_am_9)) )) - (net current_output_data_buffer_4_am_9 (joined + (net current_output_data_buffer_4_am_0_9 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_9)) (portRef A (instanceRef current_output_data_buffer_9)) )) @@ -49329,7 +49367,7 @@ (portRef (member crc 6) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_9)) )) - (net current_output_data_buffer_4_bm_9 (joined + (net current_output_data_buffer_4_bm_0_9 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_9)) (portRef B (instanceRef current_output_data_buffer_9)) )) @@ -49337,7 +49375,7 @@ (portRef (member un1_iobuf_1 5)) (portRef D (instanceRef current_output_data_buffer_4_am_10)) )) - (net current_output_data_buffer_4_am_10 (joined + (net current_output_data_buffer_4_am_0_10 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_10)) (portRef A (instanceRef current_output_data_buffer_10)) )) @@ -49345,7 +49383,7 @@ (portRef (member crc 5) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_10)) )) - (net current_output_data_buffer_4_bm_10 (joined + (net current_output_data_buffer_4_bm_0_10 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_10)) (portRef B (instanceRef current_output_data_buffer_10)) )) @@ -49353,7 +49391,7 @@ (portRef (member un1_iobuf_1 4)) (portRef D (instanceRef current_output_data_buffer_4_am_11)) )) - (net current_output_data_buffer_4_am_11 (joined + (net current_output_data_buffer_4_am_0_11 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_11)) (portRef A (instanceRef current_output_data_buffer_11)) )) @@ -49361,7 +49399,7 @@ (portRef (member crc 4) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_11)) )) - (net current_output_data_buffer_4_bm_11 (joined + (net current_output_data_buffer_4_bm_0_11 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_11)) (portRef B (instanceRef current_output_data_buffer_11)) )) @@ -49369,7 +49407,7 @@ (portRef (member un1_iobuf_1 3)) (portRef D (instanceRef current_output_data_buffer_4_am_12)) )) - (net current_output_data_buffer_4_am_12 (joined + (net current_output_data_buffer_4_am_0_12 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_12)) (portRef A (instanceRef current_output_data_buffer_12)) )) @@ -49377,65 +49415,92 @@ (portRef (member crc 3) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_12)) )) - (net current_output_data_buffer_4_bm_12 (joined + (net current_output_data_buffer_4_bm_0_12 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_12)) (portRef B (instanceRef current_output_data_buffer_12)) )) - (net un1_IOBUF_1_24 (joined - (portRef (member un1_iobuf_1 7)) - (portRef D (instanceRef current_output_data_buffer_4_am_8)) + (net un2_transfer_counter_3_3 (joined + (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_s_RNIFDIR)) + (portRef D (instanceRef transfer_counter_2_rep1_RNO)) + (portRef D (instanceRef transfer_counter_fast_RNO_2)) + (portRef D (instanceRef transfer_counter_RNO_2)) )) - (net current_output_data_buffer_4_am_8 (joined - (portRef Z (instanceRef current_output_data_buffer_4_am_8)) - (portRef A (instanceRef current_output_data_buffer_8)) + (net sending_state_ns_0_2_1 (joined + (portRef Z (instanceRef sending_state_ns_0_2_1)) + (portRef C (instanceRef sending_state_ns_0_i_fast_1)) + (portRef C (instanceRef sending_state_ns_0_i_1)) )) - (net CRC_8 (joined - (portRef (member crc 7) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_4_bm_8)) + (net sending_state_ns_i_2_0 (joined + (portRef Z (instanceRef sending_state_ns_i_2_0)) + (portRef D (instanceRef sending_state_ns_i_fast_0)) + (portRef D (instanceRef sending_state_ns_i_0)) )) - (net current_output_data_buffer_4_bm_8 (joined - (portRef Z (instanceRef current_output_data_buffer_4_bm_8)) - (portRef B (instanceRef current_output_data_buffer_8)) + (net current_output_data_buffer_sn_m4_i_1 (joined + (portRef Z (instanceRef transfer_counter_2_rep1_RNIU6UL)) + (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1)) )) - (net current_output_data_buffer_am_0 (joined - (portRef Z (instanceRef current_output_data_buffer_am_0)) - (portRef BLUT (instanceRef current_output_data_buffer_0)) + (net current_output_data_buffer_sn_2 (joined + (portRef Z (instanceRef current_output_data_buffer_sn_2)) + (portRef D (instanceRef current_output_data_buffer_mb_2)) )) - (net current_output_data_buffer_bm_0 (joined - (portRef Z (instanceRef current_output_data_buffer_bm_0)) - (portRef ALUT (instanceRef current_output_data_buffer_0)) + (net N_237 (joined + (portRef Z (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) + (portRef D (instanceRef current_output_data_buffer_13)) + (portRef D (instanceRef current_output_data_buffer_14)) + (portRef D (instanceRef current_output_data_buffer_15)) + (portRef D (instanceRef current_output_data_buffer_7)) + (portRef D (instanceRef current_output_data_buffer_8)) + (portRef D (instanceRef current_output_data_buffer_9)) + (portRef D (instanceRef current_output_data_buffer_10)) + (portRef D (instanceRef current_output_data_buffer_11)) + (portRef D (instanceRef current_output_data_buffer_12)) + (portRef C (instanceRef current_output_data_buffer_3)) + (portRef C (instanceRef current_output_data_buffer_1_3)) + (portRef C (instanceRef current_output_data_buffer_5)) + (portRef C (instanceRef current_output_data_buffer_1_5)) + (portRef C (instanceRef current_output_data_buffer_4)) + (portRef C (instanceRef current_output_data_buffer_1_4)) + (portRef C (instanceRef current_output_data_buffer_6)) + (portRef C (instanceRef current_output_data_buffer_1_6)) + (portRef B (instanceRef current_output_data_buffer_mb_1)) + (portRef C (instanceRef current_output_data_buffer_0)) + (portRef B (instanceRef current_output_data_buffer_1_0_0)) + )) + (net current_output_data_buffer_1_0 (joined + (portRef Z (instanceRef current_output_data_buffer_1_0_0)) + (portRef D (instanceRef current_output_data_buffer_0)) )) (net current_output_data_buffer_0 (joined (portRef Z (instanceRef current_output_data_buffer_0)) (portRef D (instanceRef saved_packet_type_0)) - (portRef (member current_output_data_buffer 3)) + (portRef current_output_data_buffer_0) )) - (net current_output_data_buffer_1 (joined - (portRef Z (instanceRef current_output_data_buffer_1)) - (portRef D (instanceRef saved_packet_type_1)) - (portRef (member current_output_data_buffer 2)) + (net current_output_data_buffer_sn_m6_mb_1 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_1_RNICN0F1_0)) + (portRef D (instanceRef current_ACK_word_2_sqmuxa_RNI5AP52)) )) - (net sending_state_ns_0_1_1 (joined - (portRef Z (instanceRef sending_state_ns_0_1_1)) - (portRef C (instanceRef sending_state_ns_0_i_1)) + (net current_output_data_buffer_mb_2 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_2)) + (portRef D (instanceRef saved_packet_type_2)) + (portRef (member current_output_data_buffer_mb 0)) )) - (net sending_state_ns_0_1_1_1 (joined - (portRef Z (instanceRef sending_state_ns_0_1_1_1)) - (portRef D (instanceRef sending_state_ns_0_1_1)) + (net current_output_data_buffer_mb_1_1 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1_1)) + (portRef D (instanceRef current_output_data_buffer_mb_1)) )) - (net current_output_data_buffer_sn_m4_i_1 (joined - (portRef Z (instanceRef transfer_counter_RNI152D_1)) - (portRef D (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) - (portRef D (instanceRef sending_state_ns_i_a2_0_o2_RNICC4A2_0)) + (net current_output_data_buffer_mb_1 (joined + (portRef Z (instanceRef current_output_data_buffer_mb_1)) + (portRef D (instanceRef saved_packet_type_1)) + (portRef (member current_output_data_buffer_mb 1)) )) - (net current_output_data_buffer_2 (joined - (portRef Z (instanceRef current_output_data_buffer_2)) - (portRef D (instanceRef saved_packet_type_2)) - (portRef (member current_output_data_buffer 1)) + (net current_output_data_buffer_1_6 (joined + (portRef Z (instanceRef current_output_data_buffer_1_6)) + (portRef D (instanceRef current_output_data_buffer_6)) )) - (net current_output_data_buffer_sn_m6_mb_1 (joined - (portRef Z (instanceRef current_ACK_word_2_sqmuxa_RNIOJ311)) - (portRef D (instanceRef transfer_counter_0_rep1_RNI5VS03)) + (net MED_IO_DATA_OUT_118 (joined + (portRef Z (instanceRef current_output_data_buffer_6)) + (portRef (member med_io_data_out 9)) )) (net current_output_data_buffer_1_4 (joined (portRef Z (instanceRef current_output_data_buffer_1_4)) @@ -49445,14 +49510,6 @@ (portRef Z (instanceRef current_output_data_buffer_4)) (portRef (member med_io_data_out 11)) )) - (net current_output_data_buffer_1_3 (joined - (portRef Z (instanceRef current_output_data_buffer_1_3)) - (portRef D (instanceRef current_output_data_buffer_3)) - )) - (net current_output_data_buffer_3 (joined - (portRef Z (instanceRef current_output_data_buffer_3)) - (portRef (member current_output_data_buffer 0)) - )) (net current_output_data_buffer_1_5 (joined (portRef Z (instanceRef current_output_data_buffer_1_5)) (portRef D (instanceRef current_output_data_buffer_5)) @@ -49461,13 +49518,13 @@ (portRef Z (instanceRef current_output_data_buffer_5)) (portRef (member med_io_data_out 10)) )) - (net current_output_data_buffer_1_6 (joined - (portRef Z (instanceRef current_output_data_buffer_1_6)) - (portRef D (instanceRef current_output_data_buffer_6)) + (net current_output_data_buffer_1_3 (joined + (portRef Z (instanceRef current_output_data_buffer_1_3)) + (portRef D (instanceRef current_output_data_buffer_3)) )) - (net MED_IO_DATA_OUT_118 (joined - (portRef Z (instanceRef current_output_data_buffer_6)) - (portRef (member med_io_data_out 9)) + (net current_output_data_buffer_3 (joined + (portRef Z (instanceRef current_output_data_buffer_3)) + (portRef current_output_data_buffer_3) )) (net MED_IO_DATA_OUT_124 (joined (portRef Z (instanceRef current_output_data_buffer_12)) @@ -50485,7 +50542,7 @@ (portRef Z (instanceRef crc_active_fb)) (portRef D (instanceRef crc_active)) )) - (net N_5133_i (joined + (net N_5086_i (joined (portRef Z (instanceRef reg_med_dataready_in_RNITEFR)) (portRef A (instanceRef current_error_state_0__fb)) )) @@ -51146,12 +51203,12 @@ (portRef Z (instanceRef un20_fifo_long_packet_num_out_1)) (portRef D (instanceRef CRC_enable)) )) - (net crc_out_4 (joined - (portRef (member crc_out 11) (instanceRef gen_crc_THE_CRC)) + (net crc_out_6 (joined + (portRef (member crc_out 9) (instanceRef gen_crc_THE_CRC)) (portRef A (instanceRef tmp_INT_DATA_OUT_2_0_3)) )) - (net crc_out_5 (joined - (portRef (member crc_out 10) (instanceRef gen_crc_THE_CRC)) + (net crc_out_7 (joined + (portRef (member crc_out 8) (instanceRef gen_crc_THE_CRC)) (portRef B (instanceRef tmp_INT_DATA_OUT_2_0_3)) )) (net tmp_INT_DATA_OUT_2_0_0_3 (joined @@ -51308,12 +51365,12 @@ (portRef (member current_buffer_state 0) (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef D (instanceRef fifo_valid_readc)) )) - (net crc_out_6 (joined - (portRef (member crc_out 9) (instanceRef gen_crc_THE_CRC)) + (net crc_out_4 (joined + (portRef (member crc_out 11) (instanceRef gen_crc_THE_CRC)) (portRef A (instanceRef tmp_INT_DATA_OUT_2_12_3)) )) - (net crc_out_7 (joined - (portRef (member crc_out 8) (instanceRef gen_crc_THE_CRC)) + (net crc_out_5 (joined + (portRef (member crc_out 10) (instanceRef gen_crc_THE_CRC)) (portRef B (instanceRef tmp_INT_DATA_OUT_2_12_3)) )) (net tmp_INT_DATA_OUT_2_12_3 (joined @@ -51699,18 +51756,18 @@ (cell trb_net16_obuf_1_1_7_genREPLYOBUF1_REPLYOBUF_0 (cellType GENERIC) (view netlist (viewType NETLIST) (interface + (port (array (rename un1_iobuf_1 "un1_IOBUF_1(31:16)") 16) (direction INPUT)) (port (array (rename apl_to_buf_reply_data "apl_to_buf_REPLY_DATA(31:16)") 16) (direction INPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(1:1)") 1) (direction OUTPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(39:38)") 2) (direction INPUT)) (port (array (rename buf_stat_init_buffer_i "buf_STAT_INIT_BUFFER_i(37:37)") 1) (direction INPUT)) (port (array (rename timer_ticks "timer_ticks(1:1)") 1) (direction INPUT)) (port (array (rename med_io_data_out "MED_IO_DATA_OUT(63:48)") 16) (direction OUTPUT)) - (port (array (rename un1_iobuf_1 "un1_IOBUF_1(31:16)") 16) (direction INPUT)) - (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(11:9)") 3) (direction OUTPUT)) (port buf_STAT_INIT_BUFFER_2 (direction INPUT)) (port buf_STAT_INIT_BUFFER_0 (direction INPUT)) (port buf_STAT_INIT_BUFFER_7 (direction INPUT)) (port buf_STAT_INIT_BUFFER_6 (direction INPUT)) + (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(11:9)") 3) (direction OUTPUT)) (port reset_no_link_5 (direction INPUT)) (port reset_no_link_4 (direction INPUT)) (port fifo_almostfull (direction INPUT)) @@ -51719,87 +51776,58 @@ (port reset_no_link (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port comb_dataready_0_i (direction OUTPUT)) - (port GND (direction INPUT)) + (port reset_no_link_1 (direction INPUT)) (port int_dataready_in_i (direction OUTPUT)) (port reg_INT_READ_OUT (direction OUTPUT)) - (port reset_no_link_1 (direction INPUT)) + (port GND (direction INPUT)) ) (contents - (instance gen1_un1_send_eob_RNI5TFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance transfer_counter_fast_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B !A+B A))")) ) - (instance transfer_counter_RNIM1R53_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) + (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B !A+B A))")) ) (instance reg_SEND_ACK_IN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C !A)+D !A)")) ) - (instance reg_INT_READ_OUT_RNIAOM32 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance gen1_un1_send_eob_RNI5TFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) - (instance reg_INT_READ_OUT_RNIHSUV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_a2_1_0_a2_RNIHSUV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) (instance int_packet_num_in_i_RNINCIV_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(B+A)))")) ) - (instance reg_SEND_ACK_IN_2_RNI9TT01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) (instance send_ACK_RNI9L101 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))")) ) - (instance reg_INT_READ_OUT_RNIFCII1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (!C (B A)))")) - ) - (instance transfer_counter_RNIMLTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance transfer_counter_RNILOH41_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) (instance sbuf_free_RNI4DMK1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C (!B !A)))")) + (property lut_function (string "(!D (!C !B+C (!B !A))+D (!B !A))")) + ) + (instance reg_INT_READ_OUT_RNINV8T (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) (instance TRANSMITTED_BUFFERS_0__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A+C (!B A+B !A))+D (!C (!B A+B !A)+C !A))")) ) - (instance sbuf_free_RNI4DMK1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) - ) - (instance current_output_data_buffer_4_mb_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_4_mb_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance current_output_data_buffer_4_mb_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance current_output_data_buffer_4_mb_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_4_mb_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance current_output_data_buffer_4_mb_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C B))")) - ) - (instance current_output_data_buffer_am_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_output_data_buffer_am_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance current_output_data_buffer_am_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C B))")) + (instance current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B A))")) ) (instance max_DATA_COUNT_minus_one_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance transfer_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance transfer_counter_fast_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance transfer_counter_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance transfer_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance transfer_counter_fast_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance transfer_counter_fast_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + (instance transfer_counter_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance transfer_counter_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) @@ -51807,10 +51835,10 @@ ) (instance sending_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance sending_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance sending_state_fast_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance sending_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance sbuf_free (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance saved_packet_type_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -51823,6 +51851,8 @@ ) (instance reg_SEND_ACK_IN (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance reg_INT_READ_OUT_fast (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance reg_INT_READ_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance proc_reg_setting_timer_tick (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -51857,6 +51887,8 @@ ) (instance int_packet_num_in_i_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance int_dataready_in_i_fast (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance int_dataready_in_i (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance int_data_in_i_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) @@ -51941,29 +51973,32 @@ ) (instance CURRENT_DATA_COUNT_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance GENERATE_WORDS_un10_transfer_counter_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance reg_INT_READ_OUT_RNIBHUG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance transfer_counter_RNIRR1M_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance GENERATE_WORDS_un10_transfer_counter_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) (instance sending_state_ns_i_a2_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance transfer_counter_RNIRR1M_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance current_output_data_buffer_5_sqmuxa_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance transfer_counter_fast_RNILO2R_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance max_DATA_COUNT_minus_onec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance sending_state_fast_RNI84SQ_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_INT_READ_OUT_fast_RNIAVL21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance sbuf_free_RNIPRN31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C !A)")) + (instance transfer_counter_1_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) (instance reg_INT_READ_OUT_RNIBHUG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) @@ -51980,12 +52015,12 @@ (instance next_SEND_ACK_IN_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C B)")) ) - (instance gen1_un3_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) (instance TRANSMITTED_BUFFERS_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C (!B !A+B A))")) ) + (instance gen1_un3_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) (instance send_ACK (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) @@ -51998,68 +52033,77 @@ (instance gen1_un1_send_eob_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (C (!B !A)))")) ) - (instance current_output_data_buffer_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (C (!B !A)))")) + (instance current_output_data_buffer_3_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(!B A)))")) ) (instance current_EOB_word_3_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) + (instance current_output_data_buffer_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (C (!B !A)))")) + ) (instance sending_state_ns_0_m6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (B+A))+D (C+(!B+!A)))")) ) - (instance current_output_data_buffer_3_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B A)))")) - ) - (instance sending_state_ns_i_a2_0_o2_RNIS3I72_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B !A)))")) - ) - (instance sending_state_ns_i_a6_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance transfer_counter_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) (instance current_output_data_buffer_5_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !C+D (!C+(B !A)))")) ) - (instance reset_DATA_COUNT_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_a6_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance transfer_counter_1_sqmuxa_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) - ) (instance gen1_un1_send_eob (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance next_INT_READ_OUT_7_sqmuxa_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) (instance current_output_data_buffer_3_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+A)+C A))")) + (property lut_function (string "(!D (!C (B A))+D (!C B))")) ) - (instance current_output_data_buffer_3_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance next_INT_READ_OUT_7_sqmuxa_2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance sending_state_ns_0_a6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)))")) + ) + (instance next_INT_READ_OUT_6_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) + ) + (instance current_output_data_buffer_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + ) + (instance current_output_data_buffer_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + ) + (instance current_output_data_buffer_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C+(!B+!A)))")) + ) + (instance un1_transfer_counter36 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B A))")) ) (instance reset_DATA_COUNT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A)))")) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)+C !A))")) ) (instance transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A+B A)")) - ) - (instance current_output_data_buffer_3_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B A)))")) + (property lut_function (string "(!D (!C (!B !A+B A)+C A)+D A)")) ) - (instance sending_state_RNI8E273_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) ) (instance next_INT_READ_OUT_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) - (instance current_output_data_buffer_3_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D C)")) - ) (instance sending_state_ns_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !C+D (!C (B+A)))")) ) - (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C B)")) + (instance current_output_data_buffer_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_output_data_buffer_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance current_output_data_buffer_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance next_INT_READ_OUT_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A))")) @@ -52067,8 +52111,8 @@ (instance un1_next_INT_READ_OUT_6_sqmuxa_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (!C+B))")) ) - (instance un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (!B A)))")) + (instance next_INT_READ_OUT_6_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) (instance sending_state_ns_i_a6_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) @@ -52167,8 +52211,8 @@ (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance current_output_data_buffer_4_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance transfer_counter_1_sqmuxa_i_s_RNI7DIR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) + (instance transfer_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (!B A+B !A)))")) ) (instance CURRENT_DATA_COUNTe_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B !A)))")) @@ -52203,12 +52247,27 @@ (instance sending_state_ns_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D (C (!B !A)))")) ) - (instance transfer_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !A+C (!B+!A)))")) + (instance current_output_data_buffer_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance current_output_data_buffer_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) + (instance current_output_data_buffer_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_output_data_buffer_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance current_output_data_buffer_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) + ) + (instance current_output_data_buffer_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_output_data_buffer_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance current_output_data_buffer_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + ) + (instance current_output_data_buffer_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance current_output_data_buffer_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C B+C A))")) ) @@ -52227,8 +52286,11 @@ (instance sending_state_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+A)+D (!C+(B+A)))")) ) + (instance un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B !A))")) + ) (instance reg_INT_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (!C (B A)))")) + (property lut_function (string "(!D C+D (C+(B A)))")) ) (instance sending_state_ns_0_1_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C !A)")) @@ -52236,20 +52298,8 @@ (instance sending_state_ns_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C+(B+!A)))")) ) - (instance current_output_data_buffer_sn_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance current_output_data_buffer_1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B !A))")) - ) - (instance current_output_data_buffer_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C B+C A))")) - ) (instance transfer_counter_fast_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A+B A)")) - ) - (instance transfer_counter_fast_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+!A))")) + (property lut_function (string "(!D (!C (!B !A)+C (B+A))+D C)")) ) (instance sending_state_ns_0_i_fast_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+A)+D (!C+(B+A)))")) @@ -52257,14 +52307,17 @@ (instance sending_state_ns_i_fast_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D (C (!B !A)))")) ) - (instance transfer_counter_fast_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !A+C (!B+!A)))")) + (instance reg_INT_READ_OUT_fast_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(B A)))")) ) - (instance current_output_data_buffer_mb_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D A)")) + (instance transfer_counter_fast_RNIPC2K_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance current_output_data_buffer_mb_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D A)")) + (instance transfer_counter_fast_RNIUUBF2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) + ) + (instance reset_DATA_COUNT_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) (instance CURRENT_DATA_COUNT_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -52346,290 +52399,44 @@ ) (instance GEN_CRC_CRC_gen (viewRef netlist (cellRef trb_net_CRC_GEN_IBUF_THE_IBUF_gen_crc_THE_CRC_0_1)) ) - (net un1_send_eob (joined - (portRef Z (instanceRef gen1_un1_send_eob)) - (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef B (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef A (instanceRef gen1_un1_send_eob_RNI5TFL)) - )) - (net reg_SEND_ACK_IN_2 (joined - (portRef Q (instanceRef reg_SEND_ACK_IN_2)) - (portRef C (instanceRef send_ACK)) - (portRef C (instanceRef next_SEND_ACK_IN_2)) - (portRef B (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef B (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef B (instanceRef gen1_un1_send_eob_RNI5TFL)) - )) - (net reg_SEND_ACK_IN (joined - (portRef Q (instanceRef reg_SEND_ACK_IN)) - (portRef B (instanceRef send_ACK)) - (portRef B (instanceRef next_SEND_ACK_IN_2)) - (portRef C (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef C (instanceRef gen1_un1_send_eob_RNI5TFL)) - )) - (net N_160 (joined - (portRef Z (instanceRef gen1_un1_send_eob_RNI5TFL)) - (portRef B (instanceRef sending_state_ns_i_fast_0)) - (portRef B (instanceRef sending_state_ns_0_i_fast_1)) - (portRef B (instanceRef sending_state_ns_0_i_1)) - (portRef B (instanceRef sending_state_ns_i_0)) - (portRef D (instanceRef sending_state_ns_i_a6_2_0)) + (net transfer_counter_fast_1 (joined + (portRef Q (instanceRef transfer_counter_fast_1)) + (portRef D (instanceRef transfer_counter_fast_RNIUUBF2_1)) + (portRef B (instanceRef sending_state_ns_i_o2_0_0)) + (portRef A (instanceRef transfer_counter_fast_RNO_1)) )) (net comb_dataready_0 (joined (portRef Z (instanceRef sbuf_free_RNI4DMK1)) - (portRef C (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef B (instanceRef transfer_counter_RNIM1R53_2)) - )) - (net N_112 (joined - (portRef Z (instanceRef transfer_counter_fast_RNILO2R_0)) - (portRef A (instanceRef transfer_counter_fast_RNO_2)) - (portRef A (instanceRef transfer_counter_RNO_2)) - (portRef B (instanceRef sending_state_ns_i_a6_2_0)) - (portRef B (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef B (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef B (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef B (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef C (instanceRef transfer_counter_RNIM1R53_2)) - )) - (net un1_transfer_counter36_1 (joined - (portRef Z (instanceRef transfer_counter_RNIM1R53_2)) - (portRef SP (instanceRef saved_packet_type_2)) - (portRef SP (instanceRef saved_packet_type_1)) - (portRef SP (instanceRef saved_packet_type_0)) - )) - (net next_SEND_ACK_IN_0_sqmuxa_1 (joined - (portRef Z (instanceRef sending_state_ns_i_a6_1_0)) - (portRef C (instanceRef sending_state_ns_i_0_0)) - (portRef A (instanceRef reg_SEND_ACK_IN_RNO)) - )) - (net buf_STAT_INIT_BUFFER_6 (joined - (portRef buf_STAT_INIT_BUFFER_6) - (portRef A (instanceRef send_ACK)) - (portRef A (instanceRef next_SEND_ACK_IN_2)) - (portRef D (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef D (instanceRef gen1_un1_send_eob_RNI5TFL)) - )) - (net reg_SEND_ACK_IN_2_0 (joined - (portRef Z (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef D (instanceRef reg_SEND_ACK_IN)) - )) - (net N_352 (joined - (portRef Z (instanceRef sbuf_free_RNIPRN31)) - (portRef C (instanceRef transfer_counter_fast_RNO_2)) - (portRef C (instanceRef transfer_counter_RNO_2)) - (portRef A (instanceRef sbuf_free_RNI4DMK1_0)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIAOM32)) - )) - (net un14_transfer_counter (joined - (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef A (instanceRef current_output_data_buffer_1_0_0)) - (portRef A (instanceRef current_output_data_buffer_sn_1)) - (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef A (instanceRef sending_state_RNI8E273_0)) - (portRef A (instanceRef reset_DATA_COUNT)) - (portRef A (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef A (instanceRef sending_state_ns_i_a6_1_0)) - (portRef A (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIHSUV)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIAOM32)) - )) - (net sending_state_ns_0_a6_1_1 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIAOM32)) - (portRef D (instanceRef sending_state_ns_0_i_fast_1)) - (portRef D (instanceRef sending_state_ns_0_i_1)) - )) - (net reg_INT_READ_OUT (joined - (portRef Q (instanceRef reg_INT_READ_OUT)) - (portRef B (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIBHUG)) - (portRef B (instanceRef sending_state_fast_RNI84SQ_0)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) - (portRef C (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef C (instanceRef send_ACK_RNI9L101)) - (portRef C (instanceRef int_packet_num_in_i_RNINCIV_2)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIHSUV)) - (portRef C (instanceRef reg_INT_READ_OUT_RNIAOM32)) - (portRef reg_INT_READ_OUT) - )) - (net int_dataready_in_i (joined - (portRef Q (instanceRef int_dataready_in_i)) - (portRef A (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIBHUG)) - (portRef A (instanceRef sending_state_fast_RNI84SQ_0)) - (portRef A (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) - (portRef D (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef D (instanceRef send_ACK_RNI9L101)) - (portRef D (instanceRef int_packet_num_in_i_RNINCIV_2)) - (portRef C (instanceRef reg_INT_READ_OUT_RNIHSUV)) - (portRef D (instanceRef reg_INT_READ_OUT_RNIAOM32)) - (portRef int_dataready_in_i) - )) - (net sending_state_ns_i_a6_3_0_0 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIHSUV)) - (portRef D (instanceRef sending_state_ns_i_fast_0)) - (portRef D (instanceRef sending_state_ns_i_0)) - )) - (net buf_STAT_REPLY_OBUF_DEBUG_53 (joined - (portRef Q (instanceRef TRANSMITTED_BUFFERS_0)) - (portRef B (instanceRef TRANSMITTED_BUFFERS_RNO_1)) - (portRef A (instanceRef TRANSMITTED_BUFFERS_0__fb)) - (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - )) - (net saved_packet_type_2 (joined - (portRef Q (instanceRef saved_packet_type_2)) - (portRef C (instanceRef gen1_un3_send_eob)) - (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - )) - (net saved_packet_type_1 (joined - (portRef Q (instanceRef saved_packet_type_1)) - (portRef B (instanceRef gen1_un3_send_eob)) - (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - )) - (net saved_packet_type_0 (joined - (portRef Q (instanceRef saved_packet_type_0)) - (portRef A (instanceRef gen1_un3_send_eob)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - )) - (net next_INT_READ_OUT_6_sqmuxa_2_0 (joined - (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz_RNO)) - (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - )) - (net int_packet_num_in_i_2 (joined - (portRef Q (instanceRef int_packet_num_in_i_2)) - (portRef A (instanceRef int_packet_num_in_i_RNINCIV_2)) - )) - (net un3_send_eob (joined - (portRef Z (instanceRef gen1_un3_send_eob)) - (portRef C (instanceRef reset_DATA_COUNT)) - (portRef B (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef D (instanceRef gen1_un1_send_eob)) - (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef B (instanceRef int_packet_num_in_i_RNINCIV_2)) - )) - (net N_55 (joined - (portRef Z (instanceRef int_packet_num_in_i_RNINCIV_2)) - (portRef N_55 (instanceRef GEN_CRC_CRC_gen)) - )) - (net next_INT_READ_OUT_1_sqmuxa (joined - (portRef Z (instanceRef reg_SEND_ACK_IN_2_RNI9TT01)) - (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef C (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - )) - (net send_ACK_0 (joined - (portRef Z (instanceRef send_ACK)) - (portRef C (instanceRef sending_state_ns_0_1_1)) - (portRef D (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) - (portRef A (instanceRef send_ACK_RNI9L101)) - )) - (net MED_IO_PACKET_NUM_OUT_11 (joined - (portRef Q (instanceRef transfer_counter_2)) - (portRef A (instanceRef sending_state_ns_0_1_1)) - (portRef C (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) - (portRef A (instanceRef sending_state_ns_i_a6_2_0)) - (portRef A (instanceRef sending_state_ns_i_0_0)) - (portRef A (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef B (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef A (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef A (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef C (instanceRef sending_state_ns_0_m6_1)) - (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef C (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef C (instanceRef transfer_counter_RNIMLTV_1)) - (portRef B (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef B (instanceRef send_ACK_RNI9L101)) - (portRef D (instanceRef transfer_counter_RNIM1R53_2)) - (portRef (member med_io_packet_num_out 0)) - )) - (net N_140_i (joined - (portRef Z (instanceRef send_ACK_RNI9L101)) - (portRef B (instanceRef sending_state_ns_0_1_1_1)) - (portRef B (instanceRef sending_state_ns_i_1_0)) - )) - (net current_NOP_word_4_sqmuxa (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIFCII1)) - (portRef A (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) - )) - (net sending_state_1 (joined - (portRef Q (instanceRef sending_state_1)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef D (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef C (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef D (instanceRef current_output_data_buffer_3_0_o2_0)) - (portRef D (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef C (instanceRef sbuf_free_RNIPRN31)) - (portRef B (instanceRef sbuf_free_RNI4DMK1)) - (portRef B (instanceRef transfer_counter_RNIMLTV_1)) - )) - (net current_EOB_word_2_sqmuxa (joined - (portRef Z (instanceRef transfer_counter_RNIMLTV_1)) - (portRef D (instanceRef current_output_data_buffer_6)) - (portRef D (instanceRef current_output_data_buffer_5)) - (portRef D (instanceRef current_output_data_buffer_4)) - (portRef D (instanceRef current_output_data_buffer_3)) - )) - (net N_115_1 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) - (portRef B (instanceRef transfer_counter_fast_RNO_2)) - (portRef B (instanceRef transfer_counter_RNO_2)) - (portRef C (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef C (instanceRef sending_state_ns_i_a6_2_0)) - (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) - (portRef B (instanceRef reset_DATA_COUNT)) - (portRef A (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) - (portRef D (instanceRef sending_state_ns_0_m6_1)) - (portRef B (instanceRef sbuf_free_RNI4DMK1_0)) - (portRef A (instanceRef sbuf_free_RNI4DMK1)) - )) - (net sending_state_0 (joined - (portRef Q (instanceRef sending_state_0)) - (portRef C (instanceRef current_output_data_buffer_1_0_0)) - (portRef D (instanceRef current_output_data_buffer_sn_1)) - (portRef C (instanceRef sending_state_ns_i_1_0)) - (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) - (portRef D (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef D (instanceRef sending_state_RNI8E273_0)) - (portRef D (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef C (instanceRef sending_state_ns_i_a6_1_0)) - (portRef B (instanceRef sbuf_free_RNIPRN31)) - (portRef C (instanceRef sbuf_free_RNI4DMK1)) - )) - (net sbuf_free (joined - (portRef Q (instanceRef sbuf_free)) - (portRef C (instanceRef sending_state_ns_0_1_1_1)) - (portRef D (instanceRef sending_state_ns_i_0_0)) - (portRef B (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef B (instanceRef sending_state_ns_i_a6_1_0)) - (portRef A (instanceRef sbuf_free_RNIPRN31)) - (portRef D (instanceRef sbuf_free_RNI4DMK1)) - )) - (net reset_no_link_1 (joined - (portRef reset_no_link_1) - (portRef reset_no_link_1 (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) - (portRef D (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef C (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) - (portRef B (instanceRef transfer_counter_RNIRR1M_0)) - (portRef B (instanceRef TRANSMITTED_BUFFERS_0__fb)) - (portRef A (instanceRef transfer_counter_RNIM1R53_2)) + (portRef B (instanceRef transfer_counter_fast_RNO_0)) + (portRef C (instanceRef transfer_counter_RNO_0)) + (portRef B (instanceRef un1_transfer_counter36)) + (portRef B (instanceRef transfer_counter_RNO_0_2)) + (portRef B (instanceRef transfer_counter_RNO_1)) + (portRef B (instanceRef transfer_counter_fast_RNO_1)) )) - (net CRC_RESET_1_sqmuxa (joined - (portRef Z (instanceRef reset_DATA_COUNT)) - (portRef CRC_RESET_1_sqmuxa (instanceRef GEN_CRC_CRC_gen)) - (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) - (portRef C (instanceRef TRANSMITTED_BUFFERS_0__fb)) + (net un2_transfer_counter_3_m_fast_2 (joined + (portRef Z (instanceRef transfer_counter_fast_RNO_1)) + (portRef D (instanceRef transfer_counter_fast_1)) )) - (net fb (joined - (portRef Z (instanceRef TRANSMITTED_BUFFERS_0__fb)) - (portRef D (instanceRef TRANSMITTED_BUFFERS_0)) + (net MED_IO_PACKET_NUM_OUT_9 (joined + (portRef Q (instanceRef transfer_counter_0)) + (portRef A (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef A (instanceRef transfer_counter_RNO_0)) + (portRef A (instanceRef current_output_data_buffer_1_2)) + (portRef A (instanceRef current_output_data_buffer_1_1)) + (portRef A (instanceRef current_output_data_buffer_1_0)) + (portRef A (instanceRef transfer_counter_RNO_0_2)) + (portRef A (instanceRef sending_state_ns_0_m6_1)) + (portRef A (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef A (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef A (instanceRef transfer_counter_1_sqmuxa_i_a2)) + (portRef A (instanceRef transfer_counter_RNIRR1M_0)) + (portRef D (instanceRef transfer_counter_RNILOH41_1)) + (portRef C (instanceRef transfer_counter_RNO_1)) + (portRef C (instanceRef transfer_counter_fast_RNO_1)) + (portRef (member med_io_packet_num_out 2)) )) (net GND (joined (portRef GND) @@ -52677,199 +52484,257 @@ (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_0)) (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) )) - (net comb_dataready_0_i (joined - (portRef Z (instanceRef sbuf_free_RNI4DMK1_0)) - (portRef comb_dataready_0_i) + (net un2_transfer_counter_3_m_2 (joined + (portRef Z (instanceRef transfer_counter_RNO_1)) + (portRef D (instanceRef transfer_counter_1)) )) - (net current_output_data_buffer_4_mb_bm_1 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_bm_1)) - (portRef ALUT (instanceRef current_output_data_buffer_4_mb_1)) + (net next_SEND_ACK_IN_0_sqmuxa_1 (joined + (portRef Z (instanceRef sending_state_ns_i_a6_1_0)) + (portRef C (instanceRef sending_state_ns_i_0_0)) + (portRef A (instanceRef reg_SEND_ACK_IN_RNO)) )) - (net current_output_data_buffer_4_mb_am_1 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_am_1)) - (portRef BLUT (instanceRef current_output_data_buffer_4_mb_1)) + (net reg_SEND_ACK_IN_2 (joined + (portRef Q (instanceRef reg_SEND_ACK_IN_2)) + (portRef C (instanceRef send_ACK)) + (portRef C (instanceRef next_SEND_ACK_IN_2)) + (portRef B (instanceRef gen1_un1_send_eob_RNI5TFL)) + (portRef B (instanceRef reg_SEND_ACK_IN_RNO)) )) - (net N_35 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef B (instanceRef current_output_data_buffer_1_0_0)) - (portRef B (instanceRef current_output_data_buffer_sn_1)) - (portRef C0 (instanceRef current_output_data_buffer_4_12)) - (portRef C0 (instanceRef current_output_data_buffer_4_11)) - (portRef C0 (instanceRef current_output_data_buffer_4_10)) - (portRef C0 (instanceRef current_output_data_buffer_4_9)) - (portRef C0 (instanceRef current_output_data_buffer_4_8)) - (portRef C0 (instanceRef current_output_data_buffer_4_7)) - (portRef C0 (instanceRef current_output_data_buffer_4_6)) - (portRef C0 (instanceRef current_output_data_buffer_4_5)) - (portRef C0 (instanceRef current_output_data_buffer_4_4)) - (portRef C0 (instanceRef current_output_data_buffer_4_3)) - (portRef C0 (instanceRef current_output_data_buffer_4_15)) - (portRef C0 (instanceRef current_output_data_buffer_4_14)) - (portRef C0 (instanceRef current_output_data_buffer_4_13)) - (portRef B (instanceRef sending_state_RNI8E273_0)) - (portRef C0 (instanceRef current_output_data_buffer_am_0)) - (portRef C0 (instanceRef current_output_data_buffer_4_mb_2)) - (portRef C0 (instanceRef current_output_data_buffer_4_mb_1)) + (net reg_SEND_ACK_IN (joined + (portRef Q (instanceRef reg_SEND_ACK_IN)) + (portRef B (instanceRef send_ACK)) + (portRef B (instanceRef next_SEND_ACK_IN_2)) + (portRef C (instanceRef gen1_un1_send_eob_RNI5TFL)) + (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) )) - (net N_222 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_1)) - (portRef A (instanceRef current_output_data_buffer_mb_1)) + (net reg_SEND_ACK_IN_2_0 (joined + (portRef Z (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef D (instanceRef reg_SEND_ACK_IN)) )) - (net int_data_in_i_1 (joined - (portRef Q (instanceRef int_data_in_i_1)) - (portRef (member int_data_in_i 14) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_4_mb_bm_1)) + (net un1_send_eob (joined + (portRef Z (instanceRef gen1_un1_send_eob)) + (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef B (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef A (instanceRef gen1_un1_send_eob_RNI5TFL)) )) - (net N_33 (joined - (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef B (instanceRef current_output_data_buffer_4_bm_12)) - (portRef B (instanceRef current_output_data_buffer_4_bm_11)) - (portRef B (instanceRef current_output_data_buffer_4_bm_10)) - (portRef B (instanceRef current_output_data_buffer_4_bm_9)) - (portRef B (instanceRef current_output_data_buffer_4_bm_8)) - (portRef B (instanceRef current_output_data_buffer_4_bm_7)) - (portRef B (instanceRef current_output_data_buffer_4_bm_6)) - (portRef B (instanceRef current_output_data_buffer_4_bm_5)) - (portRef B (instanceRef current_output_data_buffer_4_bm_4)) - (portRef B (instanceRef current_output_data_buffer_4_bm_3)) - (portRef B (instanceRef current_output_data_buffer_4_bm_15)) - (portRef B (instanceRef current_output_data_buffer_4_bm_14)) - (portRef B (instanceRef current_output_data_buffer_4_bm_13)) - (portRef B (instanceRef current_output_data_buffer_am_bm_0)) - (portRef B (instanceRef current_output_data_buffer_4_mb_bm_2)) - (portRef B (instanceRef current_output_data_buffer_4_mb_bm_1)) + (net buf_STAT_INIT_BUFFER_6 (joined + (portRef buf_STAT_INIT_BUFFER_6) + (portRef A (instanceRef send_ACK)) + (portRef A (instanceRef next_SEND_ACK_IN_2)) + (portRef D (instanceRef gen1_un1_send_eob_RNI5TFL)) + (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) )) - (net CRC_1 (joined - (portRef (member crc 14) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_4_mb_bm_1)) + (net N_160 (joined + (portRef Z (instanceRef gen1_un1_send_eob_RNI5TFL)) + (portRef B (instanceRef sending_state_ns_i_fast_0)) + (portRef B (instanceRef sending_state_ns_0_i_fast_1)) + (portRef B (instanceRef sending_state_ns_0_i_1)) + (portRef B (instanceRef sending_state_ns_i_0)) + (portRef D (instanceRef sending_state_ns_i_a6_2_0)) )) - (net un1_IOBUF_1_17 (joined - (portRef (member un1_iobuf_1 14)) - (portRef A (instanceRef current_output_data_buffer_4_mb_am_1)) + (net un14_transfer_counter (joined + (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef A (instanceRef next_INT_READ_OUT_6_sqmuxa)) + (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) + (portRef A (instanceRef reset_DATA_COUNT)) + (portRef A (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef A (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) + (portRef A (instanceRef sending_state_ns_i_a6_1_0)) + (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_RNIHSUV_0)) )) - (net current_EOB_word_3_sqmuxa (joined - (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef C (instanceRef current_output_data_buffer_0)) - (portRef C (instanceRef current_output_data_buffer_sn_1)) - (portRef B (instanceRef current_output_data_buffer_4_am_12)) - (portRef B (instanceRef current_output_data_buffer_4_am_11)) - (portRef B (instanceRef current_output_data_buffer_4_am_10)) - (portRef B (instanceRef current_output_data_buffer_4_am_9)) - (portRef B (instanceRef current_output_data_buffer_4_am_8)) - (portRef B (instanceRef current_output_data_buffer_4_am_7)) - (portRef B (instanceRef current_output_data_buffer_4_am_6)) - (portRef B (instanceRef current_output_data_buffer_4_am_5)) - (portRef B (instanceRef current_output_data_buffer_4_am_4)) - (portRef B (instanceRef current_output_data_buffer_4_am_3)) - (portRef B (instanceRef current_output_data_buffer_4_am_15)) - (portRef B (instanceRef current_output_data_buffer_4_am_14)) - (portRef B (instanceRef current_output_data_buffer_4_am_13)) - (portRef C (instanceRef sending_state_RNI8E273_0)) - (portRef B (instanceRef current_output_data_buffer_4_mb_am_1)) + (net sending_state_ns_i_a6_3_0_0 (joined + (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_RNIHSUV_0)) + (portRef D (instanceRef sending_state_ns_i_fast_0)) + (portRef D (instanceRef sending_state_ns_i_0)) )) - (net buffer_number_1 (joined - (portRef Q (instanceRef buffer_number_1)) - (portRef C0 (instanceRef buffer_number_cry_0_1)) - (portRef C (instanceRef current_output_data_buffer_4_mb_am_1)) + (net int_packet_num_in_i_2 (joined + (portRef Q (instanceRef int_packet_num_in_i_2)) + (portRef A (instanceRef int_packet_num_in_i_RNINCIV_2)) )) - (net current_output_data_buffer_4_mb_bm_2 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_bm_2)) - (portRef ALUT (instanceRef current_output_data_buffer_4_mb_2)) + (net un3_send_eob (joined + (portRef Z (instanceRef gen1_un3_send_eob)) + (portRef A (instanceRef reset_DATA_COUNT_0_sqmuxa_0)) + (portRef B (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef B (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) + (portRef D (instanceRef gen1_un1_send_eob)) + (portRef D (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef B (instanceRef int_packet_num_in_i_RNINCIV_2)) )) - (net current_output_data_buffer_4_mb_am_2 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_am_2)) - (portRef BLUT (instanceRef current_output_data_buffer_4_mb_2)) + (net reg_INT_READ_OUT (joined + (portRef Q (instanceRef reg_INT_READ_OUT)) + (portRef B (instanceRef reset_DATA_COUNT_0_sqmuxa_0)) + (portRef B (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIBHUG)) + (portRef B (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) + (portRef B (instanceRef reg_INT_READ_OUT_RNINV8T)) + (portRef C (instanceRef send_ACK_RNI9L101)) + (portRef C (instanceRef int_packet_num_in_i_RNINCIV_2)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_RNIHSUV_0)) + (portRef reg_INT_READ_OUT) )) - (net N_223 (joined - (portRef Z (instanceRef current_output_data_buffer_4_mb_2)) - (portRef A (instanceRef current_output_data_buffer_mb_2)) + (net int_dataready_in_i (joined + (portRef Q (instanceRef int_dataready_in_i)) + (portRef C (instanceRef reset_DATA_COUNT_0_sqmuxa_0)) + (portRef A (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIBHUG)) + (portRef A (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) + (portRef C (instanceRef reg_INT_READ_OUT_RNINV8T)) + (portRef D (instanceRef send_ACK_RNI9L101)) + (portRef D (instanceRef int_packet_num_in_i_RNINCIV_2)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_RNIHSUV_0)) + (portRef int_dataready_in_i) )) - (net int_data_in_i_2 (joined - (portRef Q (instanceRef int_data_in_i_2)) - (portRef (member int_data_in_i 13) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_4_mb_bm_2)) + (net N_55 (joined + (portRef Z (instanceRef int_packet_num_in_i_RNINCIV_2)) + (portRef N_55 (instanceRef GEN_CRC_CRC_gen)) )) - (net CRC_2 (joined - (portRef (member crc 13) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_4_mb_bm_2)) + (net send_ACK_0 (joined + (portRef Z (instanceRef send_ACK)) + (portRef C (instanceRef sending_state_ns_0_1_1)) + (portRef D (instanceRef next_INT_READ_OUT_6_sqmuxa)) + (portRef D (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) + (portRef D (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) + (portRef A (instanceRef send_ACK_RNI9L101)) )) - (net un1_IOBUF_1_18 (joined - (portRef (member un1_iobuf_1 13)) - (portRef A (instanceRef current_output_data_buffer_4_mb_am_2)) + (net N_140_i (joined + (portRef Z (instanceRef send_ACK_RNI9L101)) + (portRef B (instanceRef sending_state_ns_0_1_1_1)) + (portRef B (instanceRef sending_state_ns_i_1_0)) )) - (net buffer_number_2 (joined - (portRef Q (instanceRef buffer_number_2)) - (portRef C1 (instanceRef buffer_number_cry_0_1)) - (portRef B (instanceRef current_output_data_buffer_4_mb_am_2)) + (net N_115_1 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIBHUG_0)) + (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31)) + (portRef C (instanceRef CURRENT_DATA_COUNTe_0_i)) + (portRef C (instanceRef sending_state_ns_i_a6_2_0)) + (portRef B (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef D (instanceRef sending_state_ns_0_m6_1)) + (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31)) + (portRef B (instanceRef sbuf_free_RNI4DMK1)) + (portRef A (instanceRef transfer_counter_RNILOH41_1)) )) - (net N_373 (joined - (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef D (instanceRef current_output_data_buffer_bm_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_0_2)) - (portRef D (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef current_output_data_buffer_am_am_0)) - (portRef C (instanceRef current_output_data_buffer_4_mb_am_2)) + (net MED_IO_PACKET_NUM_OUT_11 (joined + (portRef Q (instanceRef transfer_counter_2)) + (portRef A (instanceRef transfer_counter_fast_RNIPC2K_0)) + (portRef A (instanceRef sending_state_ns_0_1_1)) + (portRef B (instanceRef transfer_counter_RNO_2)) + (portRef A (instanceRef sending_state_ns_i_a6_2_0)) + (portRef A (instanceRef sending_state_ns_i_0_0)) + (portRef A (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef C (instanceRef sending_state_ns_0_m6_1)) + (portRef C (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef B (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef C (instanceRef transfer_counter_1_sqmuxa_i_a2)) + (portRef B (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) + (portRef B (instanceRef transfer_counter_RNILOH41_1)) + (portRef B (instanceRef send_ACK_RNI9L101)) + (portRef (member med_io_packet_num_out 0)) )) - (net MED_IO_PACKET_NUM_OUT_9 (joined - (portRef Q (instanceRef transfer_counter_0)) - (portRef A (instanceRef transfer_counter_fast_RNO_1)) - (portRef A (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef A (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) + (net MED_IO_PACKET_NUM_OUT_10 (joined + (portRef Q (instanceRef transfer_counter_1)) + (portRef A (instanceRef transfer_counter_RNO_2)) + (portRef B (instanceRef sending_state_ns_0_m6_1)) + (portRef B (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_0)) + (portRef B (instanceRef transfer_counter_1_sqmuxa_i_a2)) + (portRef A (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) + (portRef C (instanceRef transfer_counter_RNILOH41_1)) (portRef A (instanceRef transfer_counter_RNO_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef A (instanceRef transfer_counter_RNO_0)) - (portRef A (instanceRef current_output_data_buffer_3_0_o2_0)) - (portRef A (instanceRef sending_state_ns_0_m6_1)) - (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef A (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef A (instanceRef transfer_counter_RNIRR1M_0)) - (portRef D (instanceRef current_output_data_buffer_am_am_0)) - (portRef D (instanceRef current_output_data_buffer_4_mb_am_2)) - (portRef A (instanceRef transfer_counter_RNIMLTV_1)) - (portRef (member med_io_packet_num_out 2)) + (portRef (member med_io_packet_num_out 1)) )) - (net current_output_data_buffer_am_bm_0 (joined - (portRef Z (instanceRef current_output_data_buffer_am_bm_0)) - (portRef ALUT (instanceRef current_output_data_buffer_am_0)) + (net current_NOP_word_4_sqmuxa (joined + (portRef Z (instanceRef transfer_counter_RNILOH41_1)) + (portRef A (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) )) - (net current_output_data_buffer_am_am_0 (joined - (portRef Z (instanceRef current_output_data_buffer_am_am_0)) - (portRef BLUT (instanceRef current_output_data_buffer_am_0)) + (net sbuf_free (joined + (portRef Q (instanceRef sbuf_free)) + (portRef C (instanceRef sending_state_ns_0_1_1_1)) + (portRef D (instanceRef sending_state_ns_i_0_0)) + (portRef C (instanceRef reset_DATA_COUNT)) + (portRef D (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef B (instanceRef sending_state_ns_i_a6_1_0)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31)) + (portRef A (instanceRef sbuf_free_RNI4DMK1)) )) - (net current_output_data_buffer_am_0_0 (joined - (portRef Z (instanceRef current_output_data_buffer_am_0)) - (portRef A (instanceRef current_output_data_buffer_0)) + (net sending_state_1 (joined + (portRef Q (instanceRef sending_state_1)) + (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31)) + (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) + (portRef D (instanceRef reset_DATA_COUNT)) + (portRef D (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef D (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef C (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + (portRef A (instanceRef reg_INT_READ_OUT_RNINV8T)) + (portRef C (instanceRef sbuf_free_RNI4DMK1)) )) - (net int_data_in_i_0 (joined - (portRef Q (instanceRef int_data_in_i_0)) - (portRef (member int_data_in_i 15) (instanceRef GEN_CRC_CRC_gen)) - (portRef A (instanceRef current_output_data_buffer_am_bm_0)) + (net sending_state_0 (joined + (portRef Q (instanceRef sending_state_0)) + (portRef C (instanceRef sending_state_ns_i_1_0)) + (portRef C (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef D (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) + (portRef D (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef C (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef C (instanceRef sending_state_ns_i_a6_1_0)) + (portRef D (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef A (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + (portRef D (instanceRef sbuf_free_RNI4DMK1)) )) - (net CRC_0 (joined - (portRef (member crc 15) (instanceRef GEN_CRC_CRC_gen)) - (portRef C (instanceRef current_output_data_buffer_am_bm_0)) + (net next_INT_READ_OUT_0_sqmuxa (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNINV8T)) + (portRef C (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef C (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) )) - (net un1_IOBUF_1_16 (joined - (portRef (member un1_iobuf_1 15)) - (portRef A (instanceRef current_output_data_buffer_am_am_0)) + (net buf_STAT_REPLY_OBUF_DEBUG_53 (joined + (portRef Q (instanceRef TRANSMITTED_BUFFERS_0)) + (portRef A (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef B (instanceRef TRANSMITTED_BUFFERS_RNO_1)) + (portRef A (instanceRef TRANSMITTED_BUFFERS_0__fb)) )) - (net buffer_number_0 (joined - (portRef Q (instanceRef buffer_number_0)) - (portRef C1 (instanceRef buffer_number_cry_0_0)) - (portRef B (instanceRef current_output_data_buffer_am_am_0)) + (net CRC_RESET_1_sqmuxa (joined + (portRef Z (instanceRef reset_DATA_COUNT)) + (portRef CRC_RESET_1_sqmuxa (instanceRef GEN_CRC_CRC_gen)) + (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) + (portRef C (instanceRef TRANSMITTED_BUFFERS_0__fb)) )) - (net N_263473_0 (joined + (net fb (joined + (portRef Z (instanceRef TRANSMITTED_BUFFERS_0__fb)) + (portRef D (instanceRef TRANSMITTED_BUFFERS_0)) + )) + (net N_364 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2)) + (portRef C (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31)) + )) + (net comb_dataready_0_i (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0_o2_RNI87S31)) + (portRef comb_dataready_0_i) + )) + (net N_263747_0 (joined (portRef Z (instanceRef max_DATA_COUNT_minus_one_0_2)) (portRef D (instanceRef max_DATA_COUNT_minus_one_2)) )) - (net un2_transfer_counter_3_m_1 (joined - (portRef Z (instanceRef transfer_counter_RNO_0)) - (portRef D (instanceRef transfer_counter_0)) - )) (net un2_transfer_counter_3_m_fast_1 (joined (portRef Z (instanceRef transfer_counter_fast_RNO_0)) (portRef D (instanceRef transfer_counter_fast_0)) )) + (net transfer_counter_fast_0 (joined + (portRef Q (instanceRef transfer_counter_fast_0)) + (portRef B (instanceRef transfer_counter_fast_RNIPC2K_0)) + (portRef C (instanceRef transfer_counter_fast_RNO_0)) + (portRef A (instanceRef sending_state_ns_i_o2_0_0)) + )) + (net un2_transfer_counter_3_m_1 (joined + (portRef Z (instanceRef transfer_counter_RNO_0)) + (portRef D (instanceRef transfer_counter_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef GEN_CRC_CRC_gen)) @@ -52915,6 +52780,7 @@ (portRef CK (instanceRef int_data_in_i_1)) (portRef CK (instanceRef int_data_in_i_0)) (portRef CK (instanceRef int_dataready_in_i)) + (portRef CK (instanceRef int_dataready_in_i_fast)) (portRef CK (instanceRef int_packet_num_in_i_2)) (portRef CK (instanceRef max_DATA_COUNT_minus_one_5)) (portRef CK (instanceRef max_DATA_COUNT_minus_one_2)) @@ -52932,51 +52798,46 @@ (portRef CK (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) (portRef CK (instanceRef proc_reg_setting_timer_tick)) (portRef CK (instanceRef reg_INT_READ_OUT)) + (portRef CK (instanceRef reg_INT_READ_OUT_fast)) (portRef CK (instanceRef reg_SEND_ACK_IN)) (portRef CK (instanceRef reg_SEND_ACK_IN_2)) (portRef CK (instanceRef saved_packet_type_2)) (portRef CK (instanceRef saved_packet_type_1)) (portRef CK (instanceRef saved_packet_type_0)) (portRef CK (instanceRef sbuf_free)) - (portRef CK (instanceRef sending_state_fast_1)) (portRef CK (instanceRef sending_state_1)) + (portRef CK (instanceRef sending_state_fast_1)) (portRef CK (instanceRef sending_state_0)) (portRef CK (instanceRef sending_state_fast_0)) (portRef CK (instanceRef transfer_counter_2)) - (portRef CK (instanceRef transfer_counter_fast_2)) - (portRef CK (instanceRef transfer_counter_fast_1)) (portRef CK (instanceRef transfer_counter_1)) - (portRef CK (instanceRef transfer_counter_fast_0)) + (portRef CK (instanceRef transfer_counter_fast_1)) (portRef CK (instanceRef transfer_counter_0)) + (portRef CK (instanceRef transfer_counter_fast_0)) )) (net reset_no_link (joined (portRef reset_no_link) (portRef CD (instanceRef TRANSMITTED_BUFFERS_1)) (portRef CD (instanceRef TRANSMITTED_BUFFERS_0)) (portRef CD (instanceRef int_dataready_in_i)) + (portRef CD (instanceRef int_dataready_in_i_fast)) (portRef CD (instanceRef reg_INT_READ_OUT)) + (portRef CD (instanceRef reg_INT_READ_OUT_fast)) (portRef CD (instanceRef reg_SEND_ACK_IN)) (portRef CD (instanceRef reg_SEND_ACK_IN_2)) (portRef PD (instanceRef saved_packet_type_2)) (portRef PD (instanceRef saved_packet_type_1)) (portRef PD (instanceRef saved_packet_type_0)) (portRef CD (instanceRef sbuf_free)) - (portRef CD (instanceRef sending_state_fast_1)) (portRef CD (instanceRef sending_state_1)) + (portRef CD (instanceRef sending_state_fast_1)) (portRef CD (instanceRef sending_state_0)) (portRef CD (instanceRef sending_state_fast_0)) (portRef PD (instanceRef transfer_counter_2)) - (portRef PD (instanceRef transfer_counter_fast_2)) - (portRef CD (instanceRef transfer_counter_fast_1)) (portRef CD (instanceRef transfer_counter_1)) - (portRef CD (instanceRef transfer_counter_fast_0)) + (portRef CD (instanceRef transfer_counter_fast_1)) (portRef CD (instanceRef transfer_counter_0)) - )) - (net transfer_counter_fast_0 (joined - (portRef Q (instanceRef transfer_counter_fast_0)) - (portRef B (instanceRef transfer_counter_fast_RNO_0)) - (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef A (instanceRef transfer_counter_fast_RNILO2R_0)) + (portRef CD (instanceRef transfer_counter_fast_0)) )) (net VCC (joined (portRef VCC) @@ -53008,41 +52869,6 @@ (portRef D1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef D0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) )) - (net un2_transfer_counter_3_m_2 (joined - (portRef Z (instanceRef transfer_counter_RNO_1)) - (portRef D (instanceRef transfer_counter_1)) - )) - (net MED_IO_PACKET_NUM_OUT_10 (joined - (portRef Q (instanceRef transfer_counter_1)) - (portRef B (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) - (portRef B (instanceRef transfer_counter_RNO_1)) - (portRef B (instanceRef sending_state_ns_0_m6_1)) - (portRef B (instanceRef current_EOB_word_3_sqmuxa_0_a2)) - (portRef B (instanceRef current_output_data_buffer_3_0_a2_0)) - (portRef A (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) - (portRef A (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef D (instanceRef transfer_counter_RNIMLTV_1)) - (portRef (member med_io_packet_num_out 1)) - )) - (net un2_transfer_counter_3_m_fast_2 (joined - (portRef Z (instanceRef transfer_counter_fast_RNO_1)) - (portRef D (instanceRef transfer_counter_fast_1)) - )) - (net transfer_counter_fast_1 (joined - (portRef Q (instanceRef transfer_counter_fast_1)) - (portRef C (instanceRef transfer_counter_fast_RNO_1)) - (portRef B (instanceRef transfer_counter_fast_RNILO2R_0)) - (portRef A (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - )) - (net un2_transfer_counter_3_m_fast_3 (joined - (portRef Z (instanceRef transfer_counter_fast_RNO_2)) - (portRef D (instanceRef transfer_counter_fast_2)) - )) - (net transfer_counter_fast_2 (joined - (portRef Q (instanceRef transfer_counter_fast_2)) - (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_0)) - (portRef B (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - )) (net un2_transfer_counter_3_m_3 (joined (portRef Z (instanceRef transfer_counter_RNO_2)) (portRef D (instanceRef transfer_counter_2)) @@ -53053,34 +52879,61 @@ )) (net sending_state_fast_0 (joined (portRef Q (instanceRef sending_state_fast_0)) - (portRef C (instanceRef sending_state_fast_RNI84SQ_0)) + (portRef C (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) (portRef A (instanceRef sending_state_ns_i_a2_0_o2_0)) )) (net N_134_0 (joined (portRef Z (instanceRef sending_state_ns_i_0)) (portRef D (instanceRef sending_state_0)) )) - (net sending_state_ns_0_i_1 (joined - (portRef Z (instanceRef sending_state_ns_0_i_1)) - (portRef D (instanceRef sending_state_1)) - )) (net sending_state_ns_0_i_fast_1 (joined (portRef Z (instanceRef sending_state_ns_0_i_fast_1)) (portRef D (instanceRef sending_state_fast_1)) )) (net sending_state_fast_1 (joined (portRef Q (instanceRef sending_state_fast_1)) - (portRef D (instanceRef sending_state_fast_RNI84SQ_0)) + (portRef D (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) (portRef B (instanceRef sending_state_ns_i_a2_0_o2_0)) )) + (net sending_state_ns_0_i_1 (joined + (portRef Z (instanceRef sending_state_ns_0_i_1)) + (portRef D (instanceRef sending_state_1)) + )) (net fifo_almostfull_i (joined (portRef fifo_almostfull_i) (portRef D (instanceRef sbuf_free)) )) + (net un1_transfer_counter36_1 (joined + (portRef Z (instanceRef un1_transfer_counter36)) + (portRef D (instanceRef transfer_counter_RNO_2)) + (portRef SP (instanceRef saved_packet_type_2)) + (portRef SP (instanceRef saved_packet_type_1)) + (portRef SP (instanceRef saved_packet_type_0)) + )) + (net saved_packet_type_0 (joined + (portRef Q (instanceRef saved_packet_type_0)) + (portRef A (instanceRef gen1_un3_send_eob)) + )) + (net saved_packet_type_1 (joined + (portRef Q (instanceRef saved_packet_type_1)) + (portRef B (instanceRef gen1_un3_send_eob)) + )) + (net saved_packet_type_2 (joined + (portRef Q (instanceRef saved_packet_type_2)) + (portRef C (instanceRef gen1_un3_send_eob)) + )) (net next_SEND_ACK_IN_2 (joined (portRef Z (instanceRef next_SEND_ACK_IN_2)) (portRef D (instanceRef reg_SEND_ACK_IN_2)) )) + (net un1_next_INT_READ_OUT_6_sqmuxa_0_i_fast (joined + (portRef Z (instanceRef reg_INT_READ_OUT_fast_RNO)) + (portRef D (instanceRef reg_INT_READ_OUT_fast)) + )) + (net reg_INT_READ_OUT_fast (joined + (portRef Q (instanceRef reg_INT_READ_OUT_fast)) + (portRef B (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) + )) (net un1_next_INT_READ_OUT_6_sqmuxa_0_i (joined (portRef Z (instanceRef reg_INT_READ_OUT_RNO)) (portRef D (instanceRef reg_INT_READ_OUT)) @@ -53147,22 +53000,48 @@ (portRef SP (instanceRef int_data_in_i_0)) (portRef SP (instanceRef int_packet_num_in_i_2)) )) + (net int_dataready_in_i_fast (joined + (portRef Q (instanceRef int_dataready_in_i_fast)) + (portRef A (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) + )) (net buf_api_stat_fifo_to_int_39 (joined (portRef (member buf_api_stat_fifo_to_int 0)) (portRef D (instanceRef int_dataready_in_i)) + (portRef D (instanceRef int_dataready_in_i_fast)) + )) + (net apl_to_buf_REPLY_READ_i_3_i_1 (joined + (portRef Z (instanceRef reg_INT_READ_OUT_RNIBHUG)) + (portRef SP (instanceRef int_dataready_in_i)) + (portRef SP (instanceRef int_dataready_in_i_fast)) + (portRef (member apl_to_buf_reply_read_i_3_i 0)) )) (net apl_to_buf_REPLY_DATA_16 (joined (portRef (member apl_to_buf_reply_data 15)) (portRef D (instanceRef int_data_in_i_0)) )) + (net int_data_in_i_0 (joined + (portRef Q (instanceRef int_data_in_i_0)) + (portRef (member int_data_in_i 15) (instanceRef GEN_CRC_CRC_gen)) + (portRef C (instanceRef current_output_data_buffer_2_0)) + )) (net apl_to_buf_REPLY_DATA_17 (joined (portRef (member apl_to_buf_reply_data 14)) (portRef D (instanceRef int_data_in_i_1)) )) + (net int_data_in_i_1 (joined + (portRef Q (instanceRef int_data_in_i_1)) + (portRef (member int_data_in_i 14) (instanceRef GEN_CRC_CRC_gen)) + (portRef C (instanceRef current_output_data_buffer_2_1)) + )) (net apl_to_buf_REPLY_DATA_18 (joined (portRef (member apl_to_buf_reply_data 13)) (portRef D (instanceRef int_data_in_i_2)) )) + (net int_data_in_i_2 (joined + (portRef Q (instanceRef int_data_in_i_2)) + (portRef (member int_data_in_i 13) (instanceRef GEN_CRC_CRC_gen)) + (portRef C (instanceRef current_output_data_buffer_2_2)) + )) (net apl_to_buf_REPLY_DATA_19 (joined (portRef (member apl_to_buf_reply_data 12)) (portRef D (instanceRef int_data_in_i_3)) @@ -53304,14 +53183,29 @@ (portRef SP (instanceRef buffer_number_1)) (portRef SP (instanceRef buffer_number_0)) )) + (net buffer_number_0 (joined + (portRef Q (instanceRef buffer_number_0)) + (portRef C1 (instanceRef buffer_number_cry_0_0)) + (portRef C (instanceRef current_output_data_buffer_1_0)) + )) (net buffer_number_s_1 (joined (portRef S0 (instanceRef buffer_number_cry_0_1)) (portRef D (instanceRef buffer_number_1)) )) + (net buffer_number_1 (joined + (portRef Q (instanceRef buffer_number_1)) + (portRef C0 (instanceRef buffer_number_cry_0_1)) + (portRef C (instanceRef current_output_data_buffer_1_1)) + )) (net buffer_number_s_2 (joined (portRef S1 (instanceRef buffer_number_cry_0_1)) (portRef D (instanceRef buffer_number_2)) )) + (net buffer_number_2 (joined + (portRef Q (instanceRef buffer_number_2)) + (portRef C1 (instanceRef buffer_number_cry_0_1)) + (portRef C (instanceRef current_output_data_buffer_1_2)) + )) (net buffer_number_s_3 (joined (portRef S0 (instanceRef buffer_number_cry_0_3)) (portRef D (instanceRef buffer_number_3)) @@ -53469,7 +53363,7 @@ (net REPLYOBUF_stat_buffer_17 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_1)) (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef A (instanceRef current_output_data_buffer_bm_1)) (portRef B (instanceRef gen1_un1_send_eob_1)) )) (net CURRENT_DATA_COUNT_s_2 (joined @@ -53479,7 +53373,7 @@ (net REPLYOBUF_stat_buffer_18 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_2)) (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_1)) - (portRef A (instanceRef current_output_data_buffer_3_0_0_2)) + (portRef A (instanceRef current_output_data_buffer_bm_2)) (portRef A (instanceRef gen1_un1_send_eob_2)) )) (net CURRENT_DATA_COUNT_s_3 (joined @@ -53522,20 +53416,18 @@ (portRef A (instanceRef current_output_data_buffer_6)) (portRef C (instanceRef gen1_un1_send_eob_3)) )) - (net N_111 (joined - (portRef Z (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) - (portRef B (instanceRef CURRENT_DATA_COUNTe_0_i)) - (portRef B (instanceRef current_output_data_buffer_3_0_o2_0)) - )) - (net N_351 (joined - (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_0)) - (portRef B (instanceRef sending_state_ns_0_1_1)) - (portRef B (instanceRef sending_state_ns_i_0_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef D (instanceRef current_output_data_buffer_3_0_a2_0)) + (net reset_no_link_1 (joined + (portRef reset_no_link_1) + (portRef reset_no_link_1 (instanceRef GEN_CRC_CRC_gen)) + (portRef D (instanceRef transfer_counter_fast_RNO_0)) + (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i)) + (portRef D (instanceRef transfer_counter_RNO_0)) + (portRef C (instanceRef un1_transfer_counter36)) + (portRef C (instanceRef SYNC_INT_DATA_INPUTS_un4_buf_int_read_out)) + (portRef B (instanceRef transfer_counter_RNIRR1M_0)) + (portRef B (instanceRef TRANSMITTED_BUFFERS_0__fb)) )) - (net CURRENT_DATA_COUNT (joined + (net N_321 (joined (portRef Z (instanceRef transfer_counter_RNIRR1M_0)) (portRef A1 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) (portRef A0 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) @@ -53546,21 +53438,53 @@ (portRef A1 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef B0 (instanceRef CURRENT_DATA_COUNT_cry_0_0)) )) + (net N_111 (joined + (portRef Z (instanceRef GENERATE_WORDS_un10_transfer_counter_0_a2_0)) + (portRef B (instanceRef CURRENT_DATA_COUNTe_0_i)) + )) + (net N_351 (joined + (portRef Z (instanceRef sending_state_ns_i_a2_0_o2_0)) + (portRef A (instanceRef transfer_counter_fast_RNIUUBF2_1)) + (portRef B (instanceRef sending_state_ns_0_1_1)) + (portRef B (instanceRef sending_state_ns_i_0_0)) + (portRef D (instanceRef current_output_data_buffer_3_0_a2_0)) + )) + (net N_112 (joined + (portRef Z (instanceRef sending_state_ns_i_o2_0_0)) + (portRef B (instanceRef sending_state_ns_i_a6_2_0)) + (portRef B (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef B (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + )) (net buf_STAT_INIT_BUFFER_0 (joined (portRef buf_STAT_INIT_BUFFER_0) (portRef A (instanceRef max_DATA_COUNT_minus_onec)) (portRef A (instanceRef max_DATA_COUNT_minus_one_0_2)) )) (net N_371 (joined - (portRef Z (instanceRef sending_state_fast_RNI84SQ_0)) + (portRef Z (instanceRef reg_INT_READ_OUT_fast_RNIAVL21)) + (portRef B (instanceRef transfer_counter_fast_RNIUUBF2_1)) + (portRef C (instanceRef current_output_data_buffer_bm_0)) (portRef C (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) - (portRef D (instanceRef sending_state_ns_i_a2_0_o2_RNIS3I72_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_o2_0)) + (portRef C (instanceRef current_output_data_buffer_3_0_o2_1)) )) - (net apl_to_buf_REPLY_READ_i_3_i_1 (joined - (portRef Z (instanceRef reg_INT_READ_OUT_RNIBHUG)) - (portRef SP (instanceRef int_dataready_in_i)) - (portRef (member apl_to_buf_reply_read_i_3_i 0)) + (net N_131 (joined + (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_a2)) + (portRef A (instanceRef transfer_counter_fast_RNO_0)) + (portRef B (instanceRef transfer_counter_RNO_0)) + (portRef A (instanceRef un1_transfer_counter36)) + )) + (net N_373 (joined + (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2_0)) + (portRef D (instanceRef current_output_data_buffer_6)) + (portRef D (instanceRef current_output_data_buffer_5)) + (portRef D (instanceRef current_output_data_buffer_4)) + (portRef D (instanceRef current_output_data_buffer_3)) + (portRef D (instanceRef current_output_data_buffer_bm_2)) + (portRef C (instanceRef current_output_data_buffer_bm_1)) + (portRef D (instanceRef current_output_data_buffer_bm_0)) + (portRef B (instanceRef current_output_data_buffer_1_2)) + (portRef B (instanceRef current_output_data_buffer_1_1)) + (portRef B (instanceRef current_output_data_buffer_1_0)) )) (net buf_STAT_INIT_BUFFER_7 (joined (portRef buf_STAT_INIT_BUFFER_7) @@ -53580,56 +53504,135 @@ (portRef Z (instanceRef gen1_un1_send_eob_3)) (portRef C (instanceRef gen1_un1_send_eob)) )) + (net N_363 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_o2_1)) + (portRef C (instanceRef current_output_data_buffer_bm_2)) + (portRef B (instanceRef current_output_data_buffer_bm_1)) + )) + (net current_EOB_word_3_sqmuxa (joined + (portRef Z (instanceRef current_EOB_word_3_sqmuxa_0_a2)) + (portRef B (instanceRef current_output_data_buffer_4_am_12)) + (portRef B (instanceRef current_output_data_buffer_4_am_11)) + (portRef B (instanceRef current_output_data_buffer_4_am_10)) + (portRef B (instanceRef current_output_data_buffer_4_am_9)) + (portRef B (instanceRef current_output_data_buffer_4_am_8)) + (portRef B (instanceRef current_output_data_buffer_4_am_7)) + (portRef B (instanceRef current_output_data_buffer_4_am_6)) + (portRef B (instanceRef current_output_data_buffer_4_am_5)) + (portRef B (instanceRef current_output_data_buffer_4_am_4)) + (portRef B (instanceRef current_output_data_buffer_4_am_3)) + (portRef B (instanceRef current_output_data_buffer_4_am_15)) + (portRef B (instanceRef current_output_data_buffer_4_am_14)) + (portRef B (instanceRef current_output_data_buffer_4_am_13)) + (portRef C (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) + )) (net N_88 (joined (portRef Z (instanceRef current_output_data_buffer_3_0_a2_0)) + (portRef B (instanceRef current_output_data_buffer_bm_2)) (portRef B (instanceRef current_output_data_buffer_bm_0)) - (portRef B (instanceRef current_output_data_buffer_3_0_0_2)) )) (net N_139 (joined (portRef Z (instanceRef sending_state_ns_0_m6_1)) (portRef A (instanceRef sending_state_ns_0_1_1_1)) (portRef A (instanceRef sending_state_ns_i_1_0)) )) - (net N_350 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_o2_0)) - (portRef C (instanceRef current_output_data_buffer_bm_0)) - (portRef C (instanceRef current_output_data_buffer_3_0_o2_1)) + (net CO0 (joined + (portRef Z (instanceRef transfer_counter_RNO_0_2)) + (portRef C (instanceRef transfer_counter_RNO_2)) )) - (net reset_DATA_COUNT_0_sqmuxa_1 (joined - (portRef Z (instanceRef reset_DATA_COUNT_0_sqmuxa_1)) - (portRef D (instanceRef reset_DATA_COUNT)) + (net N_33 (joined + (portRef Z (instanceRef current_output_data_buffer_5_sqmuxa_i_0)) + (portRef B (instanceRef current_output_data_buffer_4_bm_12)) + (portRef B (instanceRef current_output_data_buffer_4_bm_11)) + (portRef B (instanceRef current_output_data_buffer_4_bm_10)) + (portRef B (instanceRef current_output_data_buffer_4_bm_9)) + (portRef B (instanceRef current_output_data_buffer_4_bm_8)) + (portRef B (instanceRef current_output_data_buffer_4_bm_7)) + (portRef B (instanceRef current_output_data_buffer_4_bm_6)) + (portRef B (instanceRef current_output_data_buffer_4_bm_5)) + (portRef B (instanceRef current_output_data_buffer_4_bm_4)) + (portRef B (instanceRef current_output_data_buffer_4_bm_3)) + (portRef B (instanceRef current_output_data_buffer_4_bm_15)) + (portRef B (instanceRef current_output_data_buffer_4_bm_14)) + (portRef B (instanceRef current_output_data_buffer_4_bm_13)) + (portRef B (instanceRef current_output_data_buffer_2_2)) + (portRef B (instanceRef current_output_data_buffer_2_1)) + (portRef B (instanceRef current_output_data_buffer_2_0)) )) - (net N_40 (joined - (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_s)) - (portRef B (instanceRef transfer_counter_fast_RNO_1)) - (portRef A (instanceRef transfer_counter_fast_RNO_0)) - (portRef D (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) - (portRef C (instanceRef transfer_counter_RNO_1)) - (portRef B (instanceRef transfer_counter_RNO_0)) + (net current_output_data_buffer_3_0_0_1 (joined + (portRef Z (instanceRef current_output_data_buffer_3_0_0_1)) + (portRef D (instanceRef current_output_data_buffer_bm_1)) )) - (net next_INT_READ_OUT_7_sqmuxa_1_1 (joined - (portRef Z (instanceRef next_INT_READ_OUT_7_sqmuxa_1_1)) + (net next_INT_READ_OUT_7_sqmuxa_2_0_1 (joined + (portRef Z (instanceRef next_INT_READ_OUT_7_sqmuxa_2_0_1)) (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) )) - (net current_output_data_buffer_3_0_0_1 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_0_1)) - (portRef C (instanceRef current_output_data_buffer_3_0_1_1)) + (net sending_state_ns_0_a6_1_1 (joined + (portRef Z (instanceRef sending_state_ns_0_a6_1_0_1)) + (portRef D (instanceRef sending_state_ns_0_i_fast_1)) + (portRef D (instanceRef sending_state_ns_0_i_1)) )) - (net current_output_data_buffer_3_0_0_2 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_0_2)) - (portRef C (instanceRef current_output_data_buffer_mb_2)) + (net next_INT_READ_OUT_6_sqmuxa_2 (joined + (portRef Z (instanceRef next_INT_READ_OUT_6_sqmuxa_2)) + (portRef C (instanceRef next_INT_READ_OUT_6_sqmuxa)) )) - (net N_363 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_o2_1)) - (portRef B (instanceRef current_output_data_buffer_mb_1)) - (portRef B (instanceRef current_output_data_buffer_mb_2)) + (net un1_IOBUF_1_16 (joined + (portRef (member un1_iobuf_1 15)) + (portRef D (instanceRef current_output_data_buffer_1_0)) + )) + (net N_176 (joined + (portRef Z (instanceRef current_output_data_buffer_1_0)) + (portRef B (instanceRef current_output_data_buffer_am_0)) + )) + (net un1_IOBUF_1_17 (joined + (portRef (member un1_iobuf_1 14)) + (portRef D (instanceRef current_output_data_buffer_1_1)) + )) + (net N_177 (joined + (portRef Z (instanceRef current_output_data_buffer_1_1)) + (portRef B (instanceRef current_output_data_buffer_am_1)) + )) + (net un1_IOBUF_1_18 (joined + (portRef (member un1_iobuf_1 13)) + (portRef D (instanceRef current_output_data_buffer_1_2)) + )) + (net N_178 (joined + (portRef Z (instanceRef current_output_data_buffer_1_2)) + (portRef B (instanceRef current_output_data_buffer_am_2)) + )) + (net reset_DATA_COUNT_0_sqmuxa_0 (joined + (portRef Z (instanceRef reset_DATA_COUNT_0_sqmuxa_0)) + (portRef B (instanceRef reset_DATA_COUNT)) + )) + (net N_35 (joined + (portRef Z (instanceRef transfer_counter_fast_RNIUUBF2_1)) + (portRef A (instanceRef current_output_data_buffer_am_2)) + (portRef A (instanceRef current_output_data_buffer_am_1)) + (portRef A (instanceRef current_output_data_buffer_am_0)) + (portRef C0 (instanceRef current_output_data_buffer_4_12)) + (portRef C0 (instanceRef current_output_data_buffer_4_11)) + (portRef C0 (instanceRef current_output_data_buffer_4_10)) + (portRef C0 (instanceRef current_output_data_buffer_4_9)) + (portRef C0 (instanceRef current_output_data_buffer_4_8)) + (portRef C0 (instanceRef current_output_data_buffer_4_7)) + (portRef C0 (instanceRef current_output_data_buffer_4_6)) + (portRef C0 (instanceRef current_output_data_buffer_4_5)) + (portRef C0 (instanceRef current_output_data_buffer_4_4)) + (portRef C0 (instanceRef current_output_data_buffer_4_3)) + (portRef C0 (instanceRef current_output_data_buffer_4_15)) + (portRef C0 (instanceRef current_output_data_buffer_4_14)) + (portRef C0 (instanceRef current_output_data_buffer_4_13)) + (portRef B (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) )) (net N_237 (joined - (portRef Z (instanceRef sending_state_RNI8E273_0)) + (portRef Z (instanceRef sending_state_ns_i_a2_1_0_a2_RNIA9SE3_0)) (portRef C (instanceRef current_output_data_buffer_6)) (portRef C (instanceRef current_output_data_buffer_5)) (portRef C (instanceRef current_output_data_buffer_4)) (portRef C (instanceRef current_output_data_buffer_3)) + (portRef C0 (instanceRef current_output_data_buffer_2)) + (portRef C0 (instanceRef current_output_data_buffer_1)) + (portRef C0 (instanceRef current_output_data_buffer_0)) (portRef B (instanceRef current_output_data_buffer_12)) (portRef B (instanceRef current_output_data_buffer_11)) (portRef B (instanceRef current_output_data_buffer_10)) @@ -53646,26 +53649,50 @@ )) (net next_INT_READ_OUT_1_sqmuxa_1 (joined (portRef Z (instanceRef next_INT_READ_OUT_1_sqmuxa_1)) + (portRef A (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef A (instanceRef reg_INT_READ_OUT_RNO)) - )) - (net current_output_data_buffer_3_0_1_1 (joined - (portRef Z (instanceRef current_output_data_buffer_3_0_1_1)) - (portRef C (instanceRef current_output_data_buffer_mb_1)) + (portRef B (instanceRef next_INT_READ_OUT_6_sqmuxa)) )) (net sending_state_ns_i_0_0 (joined (portRef Z (instanceRef sending_state_ns_i_0_0)) (portRef D (instanceRef sending_state_ns_i_1_0)) )) + (net CRC_0 (joined + (portRef (member crc 15) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_2_0)) + )) + (net N_194 (joined + (portRef Z (instanceRef current_output_data_buffer_2_0)) + (portRef C (instanceRef current_output_data_buffer_am_0)) + )) + (net CRC_1 (joined + (portRef (member crc 14) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_2_1)) + )) + (net N_195 (joined + (portRef Z (instanceRef current_output_data_buffer_2_1)) + (portRef C (instanceRef current_output_data_buffer_am_1)) + )) + (net CRC_2 (joined + (portRef (member crc 13) (instanceRef GEN_CRC_CRC_gen)) + (portRef A (instanceRef current_output_data_buffer_2_2)) + )) + (net N_196 (joined + (portRef Z (instanceRef current_output_data_buffer_2_2)) + (portRef C (instanceRef current_output_data_buffer_am_2)) + )) (net next_INT_READ_OUT_1_sqmuxa_2 (joined (portRef Z (instanceRef next_INT_READ_OUT_1_sqmuxa_2)) + (portRef B (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef B (instanceRef reg_INT_READ_OUT_RNO)) )) (net un1_next_INT_READ_OUT_6_sqmuxa_1_tz (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz)) - (portRef D (instanceRef reg_INT_READ_OUT_RNO)) + (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31)) )) - (net un1_next_INT_READ_OUT_6_sqmuxa_0_0_tz_tz (joined - (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_tz_tz)) + (net next_INT_READ_OUT_6_sqmuxa (joined + (portRef Z (instanceRef next_INT_READ_OUT_6_sqmuxa)) + (portRef C (instanceRef reg_INT_READ_OUT_fast_RNO)) (portRef C (instanceRef reg_INT_READ_OUT_RNO)) )) (net N_149 (joined @@ -53684,7 +53711,7 @@ (portRef (member un1_iobuf_1 2)) (portRef C (instanceRef current_output_data_buffer_4_am_13)) )) - (net current_output_data_buffer_4_am_0_13 (joined + (net current_output_data_buffer_4_am_13 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_13)) (portRef BLUT (instanceRef current_output_data_buffer_4_13)) )) @@ -53692,7 +53719,7 @@ (portRef (member crc 2) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_13)) )) - (net current_output_data_buffer_4_bm_0_13 (joined + (net current_output_data_buffer_4_bm_13 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_13)) (portRef ALUT (instanceRef current_output_data_buffer_4_13)) )) @@ -53704,7 +53731,7 @@ (portRef (member un1_iobuf_1 1)) (portRef C (instanceRef current_output_data_buffer_4_am_14)) )) - (net current_output_data_buffer_4_am_0_14 (joined + (net current_output_data_buffer_4_am_14 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_14)) (portRef BLUT (instanceRef current_output_data_buffer_4_14)) )) @@ -53712,7 +53739,7 @@ (portRef (member crc 1) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_14)) )) - (net current_output_data_buffer_4_bm_0_14 (joined + (net current_output_data_buffer_4_bm_14 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_14)) (portRef ALUT (instanceRef current_output_data_buffer_4_14)) )) @@ -53724,7 +53751,7 @@ (portRef (member un1_iobuf_1 0)) (portRef C (instanceRef current_output_data_buffer_4_am_15)) )) - (net current_output_data_buffer_4_am_0_15 (joined + (net current_output_data_buffer_4_am_15 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_15)) (portRef BLUT (instanceRef current_output_data_buffer_4_15)) )) @@ -53732,7 +53759,7 @@ (portRef (member crc 0) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_15)) )) - (net current_output_data_buffer_4_bm_0_15 (joined + (net current_output_data_buffer_4_bm_15 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_15)) (portRef ALUT (instanceRef current_output_data_buffer_4_15)) )) @@ -53744,7 +53771,7 @@ (portRef (member un1_iobuf_1 12)) (portRef C (instanceRef current_output_data_buffer_4_am_3)) )) - (net current_output_data_buffer_4_am_0_3 (joined + (net current_output_data_buffer_4_am_3 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_3)) (portRef BLUT (instanceRef current_output_data_buffer_4_3)) )) @@ -53752,7 +53779,7 @@ (portRef (member crc 12) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_3)) )) - (net current_output_data_buffer_4_bm_0_3 (joined + (net current_output_data_buffer_4_bm_3 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_3)) (portRef ALUT (instanceRef current_output_data_buffer_4_3)) )) @@ -53764,7 +53791,7 @@ (portRef (member un1_iobuf_1 11)) (portRef C (instanceRef current_output_data_buffer_4_am_4)) )) - (net current_output_data_buffer_4_am_0_4 (joined + (net current_output_data_buffer_4_am_4 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_4)) (portRef BLUT (instanceRef current_output_data_buffer_4_4)) )) @@ -53772,7 +53799,7 @@ (portRef (member crc 11) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_4)) )) - (net current_output_data_buffer_4_bm_0_4 (joined + (net current_output_data_buffer_4_bm_4 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_4)) (portRef ALUT (instanceRef current_output_data_buffer_4_4)) )) @@ -53784,7 +53811,7 @@ (portRef (member un1_iobuf_1 10)) (portRef C (instanceRef current_output_data_buffer_4_am_5)) )) - (net current_output_data_buffer_4_am_0_5 (joined + (net current_output_data_buffer_4_am_5 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_5)) (portRef BLUT (instanceRef current_output_data_buffer_4_5)) )) @@ -53792,7 +53819,7 @@ (portRef (member crc 10) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_5)) )) - (net current_output_data_buffer_4_bm_0_5 (joined + (net current_output_data_buffer_4_bm_5 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_5)) (portRef ALUT (instanceRef current_output_data_buffer_4_5)) )) @@ -53804,7 +53831,7 @@ (portRef (member un1_iobuf_1 9)) (portRef C (instanceRef current_output_data_buffer_4_am_6)) )) - (net current_output_data_buffer_4_am_0_6 (joined + (net current_output_data_buffer_4_am_6 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_6)) (portRef BLUT (instanceRef current_output_data_buffer_4_6)) )) @@ -53812,7 +53839,7 @@ (portRef (member crc 9) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_6)) )) - (net current_output_data_buffer_4_bm_0_6 (joined + (net current_output_data_buffer_4_bm_6 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_6)) (portRef ALUT (instanceRef current_output_data_buffer_4_6)) )) @@ -53824,7 +53851,7 @@ (portRef (member un1_iobuf_1 8)) (portRef C (instanceRef current_output_data_buffer_4_am_7)) )) - (net current_output_data_buffer_4_am_0_7 (joined + (net current_output_data_buffer_4_am_7 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_7)) (portRef BLUT (instanceRef current_output_data_buffer_4_7)) )) @@ -53832,7 +53859,7 @@ (portRef (member crc 8) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_7)) )) - (net current_output_data_buffer_4_bm_0_7 (joined + (net current_output_data_buffer_4_bm_7 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_7)) (portRef ALUT (instanceRef current_output_data_buffer_4_7)) )) @@ -53844,7 +53871,7 @@ (portRef (member un1_iobuf_1 7)) (portRef C (instanceRef current_output_data_buffer_4_am_8)) )) - (net current_output_data_buffer_4_am_0_8 (joined + (net current_output_data_buffer_4_am_8 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_8)) (portRef BLUT (instanceRef current_output_data_buffer_4_8)) )) @@ -53852,7 +53879,7 @@ (portRef (member crc 7) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_8)) )) - (net current_output_data_buffer_4_bm_0_8 (joined + (net current_output_data_buffer_4_bm_8 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_8)) (portRef ALUT (instanceRef current_output_data_buffer_4_8)) )) @@ -53864,7 +53891,7 @@ (portRef (member un1_iobuf_1 6)) (portRef C (instanceRef current_output_data_buffer_4_am_9)) )) - (net current_output_data_buffer_4_am_0_9 (joined + (net current_output_data_buffer_4_am_9 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_9)) (portRef BLUT (instanceRef current_output_data_buffer_4_9)) )) @@ -53872,7 +53899,7 @@ (portRef (member crc 6) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_9)) )) - (net current_output_data_buffer_4_bm_0_9 (joined + (net current_output_data_buffer_4_bm_9 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_9)) (portRef ALUT (instanceRef current_output_data_buffer_4_9)) )) @@ -53884,7 +53911,7 @@ (portRef (member un1_iobuf_1 5)) (portRef C (instanceRef current_output_data_buffer_4_am_10)) )) - (net current_output_data_buffer_4_am_0_10 (joined + (net current_output_data_buffer_4_am_10 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_10)) (portRef BLUT (instanceRef current_output_data_buffer_4_10)) )) @@ -53892,7 +53919,7 @@ (portRef (member crc 5) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_10)) )) - (net current_output_data_buffer_4_bm_0_10 (joined + (net current_output_data_buffer_4_bm_10 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_10)) (portRef ALUT (instanceRef current_output_data_buffer_4_10)) )) @@ -53904,7 +53931,7 @@ (portRef (member un1_iobuf_1 4)) (portRef C (instanceRef current_output_data_buffer_4_am_11)) )) - (net current_output_data_buffer_4_am_0_11 (joined + (net current_output_data_buffer_4_am_11 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_11)) (portRef BLUT (instanceRef current_output_data_buffer_4_11)) )) @@ -53912,7 +53939,7 @@ (portRef (member crc 4) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_11)) )) - (net current_output_data_buffer_4_bm_0_11 (joined + (net current_output_data_buffer_4_bm_11 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_11)) (portRef ALUT (instanceRef current_output_data_buffer_4_11)) )) @@ -53924,7 +53951,7 @@ (portRef (member un1_iobuf_1 3)) (portRef C (instanceRef current_output_data_buffer_4_am_12)) )) - (net current_output_data_buffer_4_am_0_12 (joined + (net current_output_data_buffer_4_am_12 (joined (portRef Z (instanceRef current_output_data_buffer_4_am_12)) (portRef BLUT (instanceRef current_output_data_buffer_4_12)) )) @@ -53932,7 +53959,7 @@ (portRef (member crc 3) (instanceRef GEN_CRC_CRC_gen)) (portRef A (instanceRef current_output_data_buffer_4_bm_12)) )) - (net current_output_data_buffer_4_bm_0_12 (joined + (net current_output_data_buffer_4_bm_12 (joined (portRef Z (instanceRef current_output_data_buffer_4_bm_12)) (portRef ALUT (instanceRef current_output_data_buffer_4_12)) )) @@ -53940,11 +53967,6 @@ (portRef Z (instanceRef current_output_data_buffer_4_12)) (portRef A (instanceRef current_output_data_buffer_12)) )) - (net un2_transfer_counter_3_3 (joined - (portRef Z (instanceRef transfer_counter_1_sqmuxa_i_s_RNI7DIR)) - (portRef D (instanceRef transfer_counter_fast_RNO_2)) - (portRef D (instanceRef transfer_counter_RNO_2)) - )) (net MED_IO_DATA_OUT_61 (joined (portRef Z (instanceRef current_output_data_buffer_13)) (portRef (member med_io_data_out 2)) @@ -53981,9 +54003,44 @@ (portRef Z (instanceRef current_output_data_buffer_12)) (portRef (member med_io_data_out 3)) )) - (net current_output_data_buffer_bm_0_0 (joined + (net current_output_data_buffer_am_0 (joined + (portRef Z (instanceRef current_output_data_buffer_am_0)) + (portRef BLUT (instanceRef current_output_data_buffer_0)) + )) + (net current_output_data_buffer_bm_0 (joined (portRef Z (instanceRef current_output_data_buffer_bm_0)) - (portRef B (instanceRef current_output_data_buffer_0)) + (portRef ALUT (instanceRef current_output_data_buffer_0)) + )) + (net MED_IO_DATA_OUT_48 (joined + (portRef Z (instanceRef current_output_data_buffer_0)) + (portRef D (instanceRef saved_packet_type_0)) + (portRef (member med_io_data_out 15)) + )) + (net current_output_data_buffer_am_1 (joined + (portRef Z (instanceRef current_output_data_buffer_am_1)) + (portRef BLUT (instanceRef current_output_data_buffer_1)) + )) + (net current_output_data_buffer_bm_1 (joined + (portRef Z (instanceRef current_output_data_buffer_bm_1)) + (portRef ALUT (instanceRef current_output_data_buffer_1)) + )) + (net MED_IO_DATA_OUT_49 (joined + (portRef Z (instanceRef current_output_data_buffer_1)) + (portRef D (instanceRef saved_packet_type_1)) + (portRef (member med_io_data_out 14)) + )) + (net current_output_data_buffer_am_2 (joined + (portRef Z (instanceRef current_output_data_buffer_am_2)) + (portRef BLUT (instanceRef current_output_data_buffer_2)) + )) + (net current_output_data_buffer_bm_2 (joined + (portRef Z (instanceRef current_output_data_buffer_bm_2)) + (portRef ALUT (instanceRef current_output_data_buffer_2)) + )) + (net MED_IO_DATA_OUT_50 (joined + (portRef Z (instanceRef current_output_data_buffer_2)) + (portRef D (instanceRef saved_packet_type_2)) + (portRef (member med_io_data_out 13)) )) (net MED_IO_DATA_OUT_51 (joined (portRef Z (instanceRef current_output_data_buffer_3)) @@ -54006,33 +54063,18 @@ (portRef C (instanceRef sending_state_ns_0_i_fast_1)) (portRef C (instanceRef sending_state_ns_0_i_1)) )) + (net un1_next_INT_READ_OUT_6_sqmuxa_0_i_1 (joined + (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_1_tz_RNIE6D31)) + (portRef D (instanceRef reg_INT_READ_OUT_fast_RNO)) + (portRef D (instanceRef reg_INT_READ_OUT_RNO)) + )) (net sending_state_ns_0_1_1_1 (joined (portRef Z (instanceRef sending_state_ns_0_1_1_1)) (portRef D (instanceRef sending_state_ns_0_1_1)) )) - (net current_output_data_buffer_sn_1 (joined - (portRef Z (instanceRef current_output_data_buffer_sn_1)) - (portRef D (instanceRef current_output_data_buffer_mb_1)) - (portRef D (instanceRef current_output_data_buffer_mb_2)) - )) - (net current_output_data_buffer_1_0 (joined - (portRef Z (instanceRef current_output_data_buffer_1_0_0)) - (portRef D (instanceRef current_output_data_buffer_0)) - )) - (net MED_IO_DATA_OUT_48 (joined - (portRef Z (instanceRef current_output_data_buffer_0)) - (portRef D (instanceRef saved_packet_type_0)) - (portRef (member med_io_data_out 15)) - )) - (net MED_IO_DATA_OUT_50 (joined - (portRef Z (instanceRef current_output_data_buffer_mb_2)) - (portRef D (instanceRef saved_packet_type_2)) - (portRef (member med_io_data_out 13)) - )) - (net MED_IO_DATA_OUT_49 (joined - (portRef Z (instanceRef current_output_data_buffer_mb_1)) - (portRef D (instanceRef saved_packet_type_1)) - (portRef (member med_io_data_out 14)) + (net current_output_data_buffer_sn_m4_i_1 (joined + (portRef Z (instanceRef transfer_counter_fast_RNIPC2K_0)) + (portRef C (instanceRef transfer_counter_fast_RNIUUBF2_1)) )) (net CURRENT_DATA_COUNT_cry_0 (joined (portRef COUT (instanceRef CURRENT_DATA_COUNT_cry_0_0)) @@ -54054,6 +54096,7 @@ )) (net reset_no_link_4 (joined (portRef reset_no_link_4) + (portRef A1 (instanceRef buffer_number_cry_0_11)) (portRef A0 (instanceRef buffer_number_cry_0_11)) (portRef A1 (instanceRef buffer_number_cry_0_0)) (portRef B0 (instanceRef buffer_number_cry_0_0)) @@ -54070,7 +54113,6 @@ (portRef A0 (instanceRef buffer_number_s_0_15)) (portRef A1 (instanceRef buffer_number_cry_0_13)) (portRef A0 (instanceRef buffer_number_cry_0_13)) - (portRef A1 (instanceRef buffer_number_cry_0_11)) (portRef A1 (instanceRef buffer_number_cry_0_9)) (portRef A0 (instanceRef buffer_number_cry_0_9)) (portRef A1 (instanceRef buffer_number_cry_0_7)) @@ -54277,12 +54319,12 @@ (instance buf_MED_DATA_OUT_7_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)))")) ) - (instance buf_MED_PACKET_NUM_OUTc_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) (instance buf_MED_PACKET_NUM_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A)))")) ) + (instance buf_MED_PACKET_NUM_OUTc_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) + ) (instance buf_MED_DATA_OUT_7_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (!B A)))")) ) @@ -54296,8 +54338,8 @@ (portRef Z (instanceRef transfer_counter_4_2)) (portRef A (instanceRef buf_MED_DATA_OUT_7_0_i_2)) (portRef A (instanceRef buf_MED_DATA_OUT_7_0_i_0)) - (portRef A (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef A (instanceRef buf_MED_PACKET_NUM_OUTc_4)) + (portRef A (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef A (instanceRef buf_MED_DATA_OUT_7_i_1)) (portRef A (instanceRef transfer_counter_RNO_2)) )) @@ -54319,8 +54361,8 @@ (portRef Z (instanceRef transfer_counter_RNIJC1N_1)) (portRef C (instanceRef buf_MED_DATA_OUT_7_0_i_2)) (portRef C (instanceRef buf_MED_DATA_OUT_7_0_i_0)) - (portRef C (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef C (instanceRef buf_MED_PACKET_NUM_OUTc_4)) + (portRef C (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef C (instanceRef buf_MED_DATA_OUT_7_i_1)) (portRef C (instanceRef buf_MED_PACKET_NUM_OUT_RNO_2)) (portRef C (instanceRef buf_MED_PACKET_NUM_OUT_RNO_1)) @@ -54374,8 +54416,8 @@ (portRef Z (instanceRef un7_clk_en_RNI3QH01)) (portRef B (instanceRef buf_MED_DATA_OUT_7_0_i_2)) (portRef B (instanceRef buf_MED_DATA_OUT_7_0_i_0)) - (portRef B (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef B (instanceRef buf_MED_PACKET_NUM_OUTc_4)) + (portRef B (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef B (instanceRef buf_MED_DATA_OUT_7_i_1)) (portRef B (instanceRef buf_MED_PACKET_NUM_OUT_RNO_2)) (portRef B (instanceRef buf_MED_PACKET_NUM_OUT_RNO_1)) @@ -54548,8 +54590,8 @@ (portRef A (instanceRef reg_SEND_ACK_IN_3)) (portRef D (instanceRef buf_MED_DATA_OUT_7_0_i_2)) (portRef D (instanceRef buf_MED_DATA_OUT_7_0_i_0)) - (portRef D (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef D (instanceRef buf_MED_PACKET_NUM_OUTc_4)) + (portRef D (instanceRef buf_MED_PACKET_NUM_OUT_RNO_5)) (portRef D (instanceRef buf_MED_DATA_OUT_7_i_1)) (portRef C (instanceRef buf_MED_DATAREADY_OUT_6_f1_0_i)) (portRef D (instanceRef reg_SEND_ACK_IN_2_4)) @@ -54622,9 +54664,6 @@ ) (contents (instance current_rec_buffer_size_out_RNIKFK1_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance saved_fifo_packet_type_RNITUJS_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (!B+!A))+D (C+(B+!A)))")) - ) (instance crc_active_fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (!B+A))")) ) @@ -54843,15 +54882,6 @@ (instance current_fifo_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance current_fifo_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance current_fifo_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) (instance reg_med_dataready_in_RNILEFR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B !A)))")) ) @@ -54864,29 +54894,38 @@ (instance tmp_INT_DATA_OUT_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance gen_crc_un13_current_fifo_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance gen_crc_un17_current_fifo_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (B !A))")) ) - (instance un4_fifo_data_valid (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(!B+A)))")) + (instance gen_crc_un13_current_fifo_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (B A))")) ) (instance gen_crc_PROC_SAVE_CRC_USED_un8_reset (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A))")) ) + (instance tmp_INT_INIT_DATAREADY_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (C+(!B+!A)))")) + ) (instance tmp_INT_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C (B+A)+C (!B A)))")) ) (instance gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (property lut_function (string "(D (C (B A)))")) ) (instance gen_ack1_proc_reg_eob_out_reg_eob_init_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) + (property lut_function (string "(D (C (B !A)))")) ) (instance CRC_enable (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!B A))")) + (property lut_function (string "(!D (!C (!B A))+D (!B A))")) ) (instance PROC_word_waiting_un11_tmp_int_init_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C !A))")) + (property lut_function (string "(B !A)")) + ) + (instance gen_crc_un13_current_fifo_packet_type_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance gen_crc_un13_current_fifo_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D A)")) ) (instance crc_active_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B+A))")) @@ -54959,43 +54998,6 @@ (portRef Z (instanceRef current_rec_buffer_size_out_RNIKFK1_1)) (portRef (member buf_stat_init_buffer_i 0)) )) - (net current_fifo_packet_type_1 (joined - (portRef Z (instanceRef current_fifo_packet_type_1)) - (portRef B (instanceRef un4_fifo_data_valid)) - (portRef B (instanceRef gen_crc_un13_current_fifo_packet_type)) - (portRef A (instanceRef saved_fifo_packet_type_RNITUJS_2)) - )) - (net un20_fifo_long_packet_num_out (joined - (portRef Z (instanceRef un20_fifo_long_packet_num_out)) - (portRef C (instanceRef current_fifo_packet_type_0)) - (portRef C (instanceRef current_fifo_packet_type_1)) - (portRef C (instanceRef current_fifo_packet_type_2)) - (portRef C (instanceRef current_fifo_packet_type_3)) - (portRef SP (instanceRef saved_fifo_packet_type_3)) - (portRef SP (instanceRef saved_fifo_packet_type_2)) - (portRef SP (instanceRef saved_fifo_packet_type_1)) - (portRef SP (instanceRef saved_fifo_packet_type_0)) - (portRef B (instanceRef saved_fifo_packet_type_RNITUJS_2)) - )) - (net saved_fifo_packet_type_2 (joined - (portRef Q (instanceRef saved_fifo_packet_type_2)) - (portRef B (instanceRef current_fifo_packet_type_2)) - (portRef C (instanceRef saved_fifo_packet_type_RNITUJS_2)) - )) - (net fifo_data_out_2 (joined - (portRef Q (instanceRef fifo_data_out_2)) - (portRef fifo_data_out_2 (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef (member fifo_data_out 13) (instanceRef gen_crc_THE_CRC)) - (portRef A (instanceRef current_fifo_packet_type_2)) - (portRef D (instanceRef saved_fifo_packet_type_2)) - (portRef D (instanceRef saved_fifo_packet_type_RNITUJS_2)) - )) - (net un14_current_fifo_packet_type_0 (joined - (portRef Z (instanceRef saved_fifo_packet_type_RNITUJS_2)) - (portRef C (instanceRef CRC_enable)) - (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) - (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) - )) (net crc_active (joined (portRef Q (instanceRef crc_active)) (portRef A (instanceRef un4_use_checksum_2)) @@ -55017,7 +55019,7 @@ (portRef Z (instanceRef crc_active_fb)) (portRef D (instanceRef crc_active)) )) - (net N_5143_i (joined + (net N_5096_i (joined (portRef Z (instanceRef reg_med_dataready_in_RNILEFR)) (portRef A (instanceRef current_error_state_0__fb)) )) @@ -55054,15 +55056,27 @@ (net sbuf_init_free (joined (portRef Q (instanceRef sbuf_init_free)) (portRef sbuf_init_free (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef C (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + (portRef A (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) )) (net fifo_data_out_0 (joined (portRef Q (instanceRef fifo_data_out_0)) (portRef fifo_data_out_0 (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef (member fifo_data_out 15) (instanceRef gen_crc_THE_CRC)) - (portRef A (instanceRef current_fifo_packet_type_0)) + (portRef A (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef A (instanceRef gen_crc_un17_current_fifo_packet_type)) (portRef D (instanceRef saved_fifo_packet_type_0)) )) + (net un20_fifo_long_packet_num_out (joined + (portRef Z (instanceRef un20_fifo_long_packet_num_out)) + (portRef D (instanceRef gen_crc_un13_current_fifo_packet_type_0)) + (portRef D (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef D (instanceRef gen_crc_un17_current_fifo_packet_type)) + (portRef C (instanceRef current_fifo_packet_type_3)) + (portRef SP (instanceRef saved_fifo_packet_type_3)) + (portRef SP (instanceRef saved_fifo_packet_type_2)) + (portRef SP (instanceRef saved_fifo_packet_type_1)) + (portRef SP (instanceRef saved_fifo_packet_type_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef gen_init_sbuf_SBUF_INIT)) @@ -55147,7 +55161,8 @@ )) (net saved_fifo_packet_type_0 (joined (portRef Q (instanceRef saved_fifo_packet_type_0)) - (portRef B (instanceRef current_fifo_packet_type_0)) + (portRef C (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef C (instanceRef gen_crc_un17_current_fifo_packet_type)) )) (net VCC (joined (portRef VCC) @@ -55193,7 +55208,7 @@ (portRef Q (instanceRef fifo_data_out_1)) (portRef fifo_data_out_1 (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef (member fifo_data_out 14) (instanceRef gen_crc_THE_CRC)) - (portRef A (instanceRef current_fifo_packet_type_1)) + (portRef A (instanceRef gen_crc_un13_current_fifo_packet_type_0_1)) (portRef D (instanceRef saved_fifo_packet_type_1)) )) (net reset_no_link (joined @@ -55222,7 +55237,18 @@ )) (net saved_fifo_packet_type_1 (joined (portRef Q (instanceRef saved_fifo_packet_type_1)) - (portRef B (instanceRef current_fifo_packet_type_1)) + (portRef B (instanceRef gen_crc_un13_current_fifo_packet_type_0)) + )) + (net fifo_data_out_2 (joined + (portRef Q (instanceRef fifo_data_out_2)) + (portRef fifo_data_out_2 (instanceRef gen_init_sbuf_SBUF_INIT)) + (portRef (member fifo_data_out 13) (instanceRef gen_crc_THE_CRC)) + (portRef B (instanceRef gen_crc_un13_current_fifo_packet_type_0_1)) + (portRef D (instanceRef saved_fifo_packet_type_2)) + )) + (net saved_fifo_packet_type_2 (joined + (portRef Q (instanceRef saved_fifo_packet_type_2)) + (portRef C (instanceRef gen_crc_un13_current_fifo_packet_type_0)) )) (net fifo_data_out_3 (joined (portRef Q (instanceRef fifo_data_out_3)) @@ -55372,7 +55398,7 @@ (net init_word_waiting (joined (portRef Q (instanceRef init_word_waiting)) (portRef init_word_waiting (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef B (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + (portRef D (instanceRef tmp_INT_INIT_DATAREADY_OUT)) )) (net fifo_valid_readc (joined (portRef Z (instanceRef fifo_valid_readc)) @@ -55442,10 +55468,11 @@ )) (net fifo_data_valid (joined (portRef Q (instanceRef fifo_data_valid)) + (portRef fifo_data_valid (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef A (instanceRef CRC_enable)) (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) - (portRef D (instanceRef un4_fifo_data_valid)) + (portRef B (instanceRef tmp_INT_INIT_DATAREADY_OUT)) (portRef A (instanceRef un20_fifo_long_packet_num_out)) )) (net comb_fifo_data_out_0 (joined @@ -55855,19 +55882,9 @@ (net current_fifo_packet_type_3 (joined (portRef Z (instanceRef current_fifo_packet_type_3)) (portRef (member current_fifo_packet_type 0) (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef A (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) - )) - (net current_fifo_packet_type_2 (joined - (portRef Z (instanceRef current_fifo_packet_type_2)) - (portRef C (instanceRef un4_fifo_data_valid)) - (portRef C (instanceRef gen_crc_un13_current_fifo_packet_type)) - )) - (net current_fifo_packet_type_0 (joined - (portRef Z (instanceRef current_fifo_packet_type_0)) - (portRef A (instanceRef un4_fifo_data_valid)) - (portRef A (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef A (instanceRef tmp_INT_INIT_DATAREADY_OUT)) )) (net fifo_full (joined (portRef fifo_full (instanceRef THE_FIFO)) @@ -55877,10 +55894,18 @@ (portRef Z (instanceRef tmp_INT_DATA_OUT_2_3)) (portRef C (instanceRef tmp_INT_DATA_OUT_3)) )) - (net un4_fifo_data_valid (joined - (portRef Z (instanceRef un4_fifo_data_valid)) - (portRef un4_fifo_data_valid (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef D (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + (net un17_current_fifo_packet_type_0 (joined + (portRef Z (instanceRef gen_crc_un13_current_fifo_packet_type_0)) + (portRef C (instanceRef CRC_enable)) + (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) + (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef B (instanceRef gen_crc_un13_current_fifo_packet_type)) + (portRef B (instanceRef gen_crc_un17_current_fifo_packet_type)) + )) + (net un17_current_fifo_packet_type (joined + (portRef Z (instanceRef gen_crc_un17_current_fifo_packet_type)) + (portRef un17_current_fifo_packet_type (instanceRef gen_init_sbuf_SBUF_INIT)) + (portRef C (instanceRef tmp_INT_INIT_DATAREADY_OUT)) )) (net reset_no_link_1 (joined (portRef reset_no_link_1) @@ -55892,10 +55917,18 @@ (portRef Z (instanceRef gen_crc_PROC_SAVE_CRC_USED_un8_reset)) (portRef (member stat_ibuf_buffer_0 0) (instanceRef gen_crc_THE_CRC)) )) + (net tmp_INT_INIT_DATAREADY_OUT (joined + (portRef Z (instanceRef tmp_INT_INIT_DATAREADY_OUT)) + (portRef B (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + )) (net tmp_INT_DATA_OUT_3 (joined (portRef Z (instanceRef tmp_INT_DATA_OUT_3)) (portRef (member tmp_int_data_out 0) (instanceRef gen_init_sbuf_SBUF_INIT)) )) + (net un13_current_fifo_packet_type_0_1 (joined + (portRef Z (instanceRef gen_crc_un13_current_fifo_packet_type_0_1)) + (portRef A (instanceRef gen_crc_un13_current_fifo_packet_type_0)) + )) (net reset_no_link_4 (joined (portRef reset_no_link_4) (portRef reset_no_link_4 (instanceRef THE_FIFO)) @@ -56264,12 +56297,12 @@ (instance sending_state_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance saved_packet_type_RNIDM9K_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B+A)))")) - ) (instance sbuf_free_RNILLIE1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !A+D (C+(B+!A)))")) ) + (instance saved_packet_type_RNIDM9K_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(B+A)))")) + ) (instance max_DATA_COUNT_minus_one_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -56426,9 +56459,6 @@ (instance TRANSMITTED_BUFFERS_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_output_data_buffer_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance sending_state_ns_i_i_i_a4_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) @@ -56438,17 +56468,17 @@ (instance transfer_counter_RNI77JJ_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) - (instance current_output_data_buffer_4_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+!A))")) ) + (instance sending_state_ns_i_i_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C !A)")) + ) (instance GEN2_REG_reg_SEND_ACK_IN_2_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance sending_state_ns_i_i_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C !A)")) + (instance current_output_data_buffer_4_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) (instance int_dataready_in_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) @@ -56486,17 +56516,23 @@ (instance reg_SEND_ACK_IN_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C B)")) ) - (instance current_output_data_buffer_4_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) (instance current_output_data_buffer_4_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)))")) ) + (instance current_output_data_buffer_4_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) + ) (instance current_output_data_buffer_4_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) + (instance current_output_data_buffer_0_a4_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) (instance current_output_data_buffer_0_a4_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance current_output_data_buffer_0_a4_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A))+D !C)")) ) (instance un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))")) @@ -56504,23 +56540,23 @@ (instance TRANSMITTED_BUFFERS_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)+C (!B !A+B A)))")) ) - (instance current_output_data_buffer_0_o2_RNI2DC21_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sbuf_free_RNI2DC21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_4_a4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D (C A))")) + (instance current_output_data_buffer_0_a4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_0_a4_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance current_output_data_buffer_0_a4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_0_a4_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance current_output_data_buffer_0_a4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_0_a4_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance current_output_data_buffer_0_a4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance current_output_data_buffer_0_a4_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance current_output_data_buffer_4_a4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D (C A))")) ) (instance sending_state_ns_i_i_i_a4_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) @@ -56531,54 +56567,54 @@ (instance sending_state_ns_0_i_s_i_o3_RNIKQUD1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B !A)))")) ) - (instance current_output_data_buffer_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B+A)+C A))")) - ) (instance reg_SEND_ACK_IN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B A)))")) ) (instance un1_transfer_counter34_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) - ) - (instance current_output_data_buffer_0_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) (instance current_output_data_buffer_0_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) (instance current_output_data_buffer_0_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance current_output_data_buffer_0_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance current_output_data_buffer_0_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) + (instance current_output_data_buffer_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) (instance current_output_data_buffer_0_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) @@ -56609,37 +56645,34 @@ (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) ) - (instance current_output_data_buffer_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance sending_state_ns_0_i_s_i_o3_RNIU2NH3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) + ) + (instance current_output_data_buffer_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) (instance current_output_data_buffer_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance sending_state_ns_0_i_s_i_o3_RNIU2NH3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) - ) - (instance sending_state_ns_i_i_i_o2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C+(B+A)))")) - ) - (instance current_output_data_buffer_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) - (instance current_output_data_buffer_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_output_data_buffer_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) (instance current_output_data_buffer_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -56654,18 +56687,24 @@ (instance current_output_data_buffer_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) + (instance sending_state_ns_i_i_i_o2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(B+A)))")) + ) (instance current_output_data_buffer_0_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B A))")) ) (instance TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)+C A)+D (C+!A))")) ) - (instance current_output_data_buffer_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) (instance current_output_data_buffer_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B A)))")) ) + (instance current_output_data_buffer_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance current_output_data_buffer_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) + ) (instance sending_state_ns_0_i_s_i_m2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))")) ) @@ -56690,12 +56729,6 @@ (instance sending_state_ns_i_i_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(C+(B+A)))")) ) - (instance current_output_data_buffer_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (B !A))+D !A)")) - ) - (instance current_output_data_buffer_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+A))+D (C+A))")) - ) (instance sending_state_ns_i_i_i_o3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) @@ -56792,7 +56825,7 @@ )) (net N_248 (joined (portRef Z (instanceRef transfer_counter_RNI77JJ_2)) - (portRef A (instanceRef current_output_data_buffer_0_1_1)) + (portRef B (instanceRef current_output_data_buffer_0_1_1)) (portRef B (instanceRef un1_transfer_counter34_0_0)) (portRef A (instanceRef current_output_data_buffer_4_a4_0)) (portRef B (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o3_RNI64NF1)) @@ -56812,6 +56845,7 @@ )) (net reg_INT_READ_OUT (joined (portRef Q (instanceRef reg_INT_READ_OUT)) + (portRef B (instanceRef current_output_data_buffer_0_a4_0_1_1)) (portRef B (instanceRef reg_INT_READ_OUT_RNINAF01)) (portRef B (instanceRef int_dataready_in_i_RNO)) (portRef B (instanceRef current_output_data_buffer_4_a2_0_0)) @@ -56822,6 +56856,7 @@ )) (net int_dataready_in_i (joined (portRef Q (instanceRef int_dataready_in_i)) + (portRef A (instanceRef current_output_data_buffer_0_a4_0_1_1)) (portRef A (instanceRef reg_INT_READ_OUT_RNINAF01)) (portRef A (instanceRef int_dataready_in_i_RNO)) (portRef A (instanceRef current_output_data_buffer_4_a2_0_0)) @@ -56836,7 +56871,6 @@ )) (net N_545 (joined (portRef Z (instanceRef sending_state_ns_0_i_s_i_o3_1)) - (portRef B (instanceRef current_output_data_buffer_0_1_1)) (portRef C (instanceRef sending_state_ns_i_i_i_1_0)) (portRef C (instanceRef sending_state_ns_0_i_s_i_m2_1)) (portRef C (instanceRef sending_state_ns_i_i_i_o2_1_0)) @@ -56844,8 +56878,65 @@ (portRef A (instanceRef sending_state_ns_i_i_i_a4_1_0)) (portRef B (instanceRef current_output_data_buffer_4_a4_0)) (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) - (portRef A (instanceRef sbuf_free_RNILLIE1)) (portRef A (instanceRef saved_packet_type_RNIDM9K_0)) + (portRef A (instanceRef sbuf_free_RNILLIE1)) + )) + (net sending_state_1 (joined + (portRef Q (instanceRef sending_state_1)) + (portRef D (instanceRef sending_state_ns_0_i_s_i_a4_1)) + (portRef D (instanceRef current_output_data_buffer_4_a4_0)) + (portRef D (instanceRef current_output_data_buffer_0_a4_3)) + (portRef D (instanceRef current_output_data_buffer_0_a4_4)) + (portRef D (instanceRef current_output_data_buffer_0_a4_5)) + (portRef D (instanceRef current_output_data_buffer_0_a4_6)) + (portRef D (instanceRef sbuf_free_RNI2DC21)) + (portRef D (instanceRef current_output_data_buffer_0_a4_0_1_1)) + (portRef C (instanceRef current_output_data_buffer_0_a4_1_2)) + (portRef C (instanceRef current_output_data_buffer_0_a4_3_1)) + (portRef D (instanceRef current_output_data_buffer_4_a2_0)) + (portRef D (instanceRef current_output_data_buffer_4_a2_1_0)) + (portRef D (instanceRef current_output_data_buffer_4_a2_2_0)) + (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) + (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) + (portRef D (instanceRef current_output_data_buffer_4_a2_0_0)) + (portRef C (instanceRef sending_state_ns_i_i_i_o3_0)) + (portRef B (instanceRef sbuf_free_RNILLIE1)) + )) + (net sending_state_0 (joined + (portRef Q (instanceRef sending_state_0)) + (portRef C (instanceRef sending_state_ns_0_i_s_i_a4_1)) + (portRef D (instanceRef sending_state_ns_i_i_i_a4_0)) + (portRef D (instanceRef sending_state_ns_0_i_s_i_0_1)) + (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef C (instanceRef current_output_data_buffer_4_a4_0)) + (portRef C (instanceRef current_output_data_buffer_0_a4_0_1_1)) + (portRef B (instanceRef current_output_data_buffer_0_a4_1_2)) + (portRef B (instanceRef current_output_data_buffer_0_a4_3_1)) + (portRef C (instanceRef current_output_data_buffer_4_a2_1_0)) + (portRef D (instanceRef current_output_data_buffer_0_a4_0_1)) + (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) + (portRef C (instanceRef current_output_data_buffer_4_a2_0_0)) + (portRef B (instanceRef sending_state_ns_i_i_i_o3_0)) + (portRef B (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) + (portRef C (instanceRef sbuf_free_RNILLIE1)) + )) + (net sbuf_free (joined + (portRef Q (instanceRef sbuf_free)) + (portRef C (instanceRef sending_state_ns_0_i_s_i_0_1)) + (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) + (portRef C (instanceRef sbuf_free_RNI2DC21)) + (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) + (portRef A (instanceRef sending_state_ns_i_i_i_o3_0)) + (portRef A (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) + (portRef D (instanceRef sbuf_free_RNILLIE1)) + )) + (net MED_IO_DATAREADY_OUT_1 (joined + (portRef Z (instanceRef sbuf_free_RNILLIE1)) + (portRef A (instanceRef transfer_counter_RNO_1)) + (portRef A (instanceRef transfer_counter_RNO_2)) + (portRef A (instanceRef un1_transfer_counter34_0_0)) + (portRef B (instanceRef transfer_counter_RNO_0)) + (portRef (member med_io_dataready_out 0)) )) (net saved_packet_type_2 (joined (portRef Q (instanceRef saved_packet_type_2)) @@ -56872,59 +56963,6 @@ (portRef B (instanceRef sending_state_ns_0_i_s_i_o3_RNIU2NH3_1)) (portRef A (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2_RNII8CH2)) )) - (net sending_state_1 (joined - (portRef Q (instanceRef sending_state_1)) - (portRef D (instanceRef current_output_data_buffer_0_1_1)) - (portRef D (instanceRef sending_state_ns_0_i_s_i_a4_1)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_3)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_4)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_5)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_6)) - (portRef D (instanceRef current_output_data_buffer_4_a4_0)) - (portRef D (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) - (portRef D (instanceRef current_output_data_buffer_4_a2_0)) - (portRef D (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) - (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) - (portRef C (instanceRef sending_state_ns_i_i_i_o3_0)) - (portRef D (instanceRef current_output_data_buffer_4_a2_0_0)) - (portRef B (instanceRef current_output_data_buffer_0_a2_1)) - (portRef B (instanceRef sbuf_free_RNILLIE1)) - )) - (net sending_state_0 (joined - (portRef Q (instanceRef sending_state_0)) - (portRef D (instanceRef current_output_data_buffer_0_1)) - (portRef C (instanceRef sending_state_ns_0_i_s_i_a4_1)) - (portRef D (instanceRef sending_state_ns_i_i_i_a4_0)) - (portRef D (instanceRef sending_state_ns_0_i_s_i_0_1)) - (portRef D (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef C (instanceRef current_output_data_buffer_4_a4_0)) - (portRef D (instanceRef current_output_data_buffer_0_a4_0_1)) - (portRef C (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) - (portRef B (instanceRef sending_state_ns_i_i_i_o3_0)) - (portRef C (instanceRef current_output_data_buffer_4_a2_0_0)) - (portRef B (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) - (portRef A (instanceRef current_output_data_buffer_0_a2_1)) - (portRef C (instanceRef sbuf_free_RNILLIE1)) - )) - (net sbuf_free (joined - (portRef Q (instanceRef sbuf_free)) - (portRef C (instanceRef sending_state_ns_0_i_s_i_0_1)) - (portRef C (instanceRef reg_SEND_ACK_IN_RNO)) - (portRef C (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) - (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) - (portRef A (instanceRef sending_state_ns_i_i_i_o3_0)) - (portRef A (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) - (portRef D (instanceRef sbuf_free_RNILLIE1)) - )) - (net MED_IO_DATAREADY_OUT_1 (joined - (portRef Z (instanceRef sbuf_free_RNILLIE1)) - (portRef A (instanceRef transfer_counter_RNO_1)) - (portRef A (instanceRef transfer_counter_RNO_2)) - (portRef A (instanceRef un1_transfer_counter34_0_0)) - (portRef B (instanceRef transfer_counter_RNO_0)) - (portRef (member med_io_dataready_out 0)) - )) (net GND (joined (portRef GND) (portRef C1 (instanceRef buffer_number_s_0_15)) @@ -56970,7 +57008,7 @@ (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_counter_0)) (portRef D (instanceRef proc_ack_timeout_counters_wait_for_ack_timeout)) )) - (net N_263477_0 (joined + (net N_263751_0 (joined (portRef Z (instanceRef max_DATA_COUNT_minus_one_0_2)) (portRef D (instanceRef max_DATA_COUNT_minus_one_2)) )) @@ -56979,14 +57017,8 @@ (portRef B (instanceRef transfer_counter_RNO_1)) (portRef B (instanceRef transfer_counter_RNO_2)) (portRef A (instanceRef sending_state_ns_0_i_s_i_o3_RNIKQUD1_1)) - (portRef A (instanceRef current_output_data_buffer_0_a4_0_3)) - (portRef A (instanceRef current_output_data_buffer_0_a4_0_4)) - (portRef A (instanceRef current_output_data_buffer_0_a4_0_5)) - (portRef A (instanceRef current_output_data_buffer_0_a4_0_6)) - (portRef A (instanceRef current_output_data_buffer_0_a4_1_2)) (portRef A (instanceRef current_output_data_buffer_4_a2_0)) (portRef A (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef A (instanceRef current_output_data_buffer_4_a2_1_0)) (portRef A (instanceRef current_output_data_buffer_0_a4_0_1)) (portRef A (instanceRef transfer_counter_RNIQR1M_0)) (portRef A (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2)) @@ -57097,11 +57129,15 @@ (portRef C (instanceRef transfer_counter_RNO_2)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3)) (portRef A (instanceRef sending_state_ns_0_i_s_i_0_1)) - (portRef A (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) + (portRef A (instanceRef current_output_data_buffer_0_a4_3)) + (portRef A (instanceRef current_output_data_buffer_0_a4_4)) + (portRef A (instanceRef current_output_data_buffer_0_a4_5)) + (portRef A (instanceRef current_output_data_buffer_0_a4_6)) + (portRef A (instanceRef sbuf_free_RNI2DC21)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) (portRef B (instanceRef current_output_data_buffer_4_a2_0)) + (portRef A (instanceRef current_output_data_buffer_4_a2_1_0)) (portRef B (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef B (instanceRef current_output_data_buffer_4_a2_1_0)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_1_0)) (portRef B (instanceRef current_output_data_buffer_0_a4_0_1)) (portRef B (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2)) @@ -57146,10 +57182,8 @@ (net MED_IO_PACKET_NUM_OUT_5 (joined (portRef Q (instanceRef transfer_counter_2)) (portRef D (instanceRef transfer_counter_RNO_2)) - (portRef B (instanceRef current_output_data_buffer_0_a4_1_2)) (portRef C (instanceRef current_output_data_buffer_4_a2_0)) (portRef C (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef C (instanceRef current_output_data_buffer_4_a2_1_0)) (portRef C (instanceRef current_output_data_buffer_0_a4_0_1)) (portRef C (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2)) (portRef C (instanceRef transfer_counter_RNI77JJ_2)) @@ -57240,7 +57274,7 @@ (portRef (member apl_to_buf_reply_dataready 0)) (portRef D (instanceRef int_dataready_in_i)) )) - (net apl_to_buf_REPLY_READ_i_1_i_0 (joined + (net apl_to_buf_REPLY_READ_i_0_i_0 (joined (portRef Z (instanceRef int_dataready_in_i_RNO)) (portRef SP (instanceRef int_dataready_in_i)) )) @@ -57277,7 +57311,7 @@ )) (net int_data_in_i_1 (joined (portRef Q (instanceRef int_data_in_i_1)) - (portRef C (instanceRef current_output_data_buffer_0_1_1)) + (portRef D (instanceRef current_output_data_buffer_0_1_1)) )) (net apl_to_buf_REPLY_DATA_2 (joined (portRef (member apl_to_buf_reply_data 13)) @@ -57293,7 +57327,7 @@ )) (net int_data_in_i_3 (joined (portRef Q (instanceRef int_data_in_i_3)) - (portRef D (instanceRef current_output_data_buffer_0_3)) + (portRef D (instanceRef current_output_data_buffer_0_0_3)) )) (net apl_to_buf_REPLY_DATA_4 (joined (portRef (member apl_to_buf_reply_data 11)) @@ -57301,7 +57335,7 @@ )) (net int_data_in_i_4 (joined (portRef Q (instanceRef int_data_in_i_4)) - (portRef D (instanceRef current_output_data_buffer_0_4)) + (portRef D (instanceRef current_output_data_buffer_0_0_4)) )) (net apl_to_buf_REPLY_DATA_5 (joined (portRef (member apl_to_buf_reply_data 10)) @@ -57309,7 +57343,7 @@ )) (net int_data_in_i_5 (joined (portRef Q (instanceRef int_data_in_i_5)) - (portRef D (instanceRef current_output_data_buffer_0_5)) + (portRef D (instanceRef current_output_data_buffer_0_0_5)) )) (net apl_to_buf_REPLY_DATA_6 (joined (portRef (member apl_to_buf_reply_data 9)) @@ -57317,7 +57351,7 @@ )) (net int_data_in_i_6 (joined (portRef Q (instanceRef int_data_in_i_6)) - (portRef D (instanceRef current_output_data_buffer_0_6)) + (portRef D (instanceRef current_output_data_buffer_0_0_6)) )) (net apl_to_buf_REPLY_DATA_7 (joined (portRef (member apl_to_buf_reply_data 8)) @@ -57444,7 +57478,7 @@ (net buffer_number_3 (joined (portRef Q (instanceRef buffer_number_3)) (portRef C0 (instanceRef buffer_number_cry_0_3)) - (portRef C (instanceRef current_output_data_buffer_0_1_3)) + (portRef C (instanceRef current_output_data_buffer_0_a4_3)) )) (net buffer_number_s_4 (joined (portRef S1 (instanceRef buffer_number_cry_0_3)) @@ -57453,7 +57487,7 @@ (net buffer_number_4 (joined (portRef Q (instanceRef buffer_number_4)) (portRef C1 (instanceRef buffer_number_cry_0_3)) - (portRef C (instanceRef current_output_data_buffer_0_1_4)) + (portRef C (instanceRef current_output_data_buffer_0_a4_4)) )) (net buffer_number_s_5 (joined (portRef S0 (instanceRef buffer_number_cry_0_5)) @@ -57462,7 +57496,7 @@ (net buffer_number_5 (joined (portRef Q (instanceRef buffer_number_5)) (portRef C0 (instanceRef buffer_number_cry_0_5)) - (portRef C (instanceRef current_output_data_buffer_0_1_5)) + (portRef C (instanceRef current_output_data_buffer_0_a4_5)) )) (net buffer_number_s_6 (joined (portRef S1 (instanceRef buffer_number_cry_0_5)) @@ -57471,7 +57505,7 @@ (net buffer_number_6 (joined (portRef Q (instanceRef buffer_number_6)) (portRef C1 (instanceRef buffer_number_cry_0_5)) - (portRef C (instanceRef current_output_data_buffer_0_1_6)) + (portRef C (instanceRef current_output_data_buffer_0_a4_6)) )) (net buffer_number_s_7 (joined (portRef S0 (instanceRef buffer_number_cry_0_7)) @@ -57626,7 +57660,7 @@ (net REPLYOBUF_stat_buffer_19 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_3)) (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) - (portRef B (instanceRef current_output_data_buffer_0_a4_0_3)) + (portRef A (instanceRef current_output_data_buffer_0_0_3)) (portRef B (instanceRef sending_state_ns_i_i_i_o2_3_0)) )) (net CURRENT_DATA_COUNT_s_4 (joined @@ -57636,7 +57670,7 @@ (net REPLYOBUF_stat_buffer_20 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_4)) (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_3)) - (portRef B (instanceRef current_output_data_buffer_0_a4_0_4)) + (portRef A (instanceRef current_output_data_buffer_0_0_4)) (portRef C (instanceRef sending_state_ns_i_i_i_o2_3_0)) )) (net CURRENT_DATA_COUNT_s_5 (joined @@ -57646,7 +57680,7 @@ (net CURRENT_DATA_COUNT_5 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_5)) (portRef C0 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) - (portRef B (instanceRef current_output_data_buffer_0_a4_0_5)) + (portRef A (instanceRef current_output_data_buffer_0_0_5)) (portRef A (instanceRef sending_state_ns_i_i_i_o2_1_0_0)) )) (net CURRENT_DATA_COUNT_s_6 (joined @@ -57656,37 +57690,33 @@ (net CURRENT_DATA_COUNT_6 (joined (portRef Q (instanceRef CURRENT_DATA_COUNT_6)) (portRef C1 (instanceRef CURRENT_DATA_COUNT_cry_0_5)) - (portRef B (instanceRef current_output_data_buffer_0_a4_0_6)) + (portRef A (instanceRef current_output_data_buffer_0_0_6)) (portRef B (instanceRef sending_state_ns_i_i_i_o2_1_0_0)) )) (net N_246 (joined (portRef Z (instanceRef transfer_counter_RNIR42D_2)) (portRef D (instanceRef sending_state_ns_0_i_s_i_o3_RNIU2NH3_1)) (portRef B (instanceRef sending_state_ns_0_i_s_i_o3_RNIKQUD1_1)) - (portRef C (instanceRef current_output_data_buffer_0_a4_0_3)) - (portRef C (instanceRef current_output_data_buffer_0_a4_0_4)) - (portRef C (instanceRef current_output_data_buffer_0_a4_0_5)) - (portRef C (instanceRef current_output_data_buffer_0_a4_0_6)) )) (net N_546 (joined (portRef Z (instanceRef current_output_data_buffer_0_o2_1)) (portRef C (instanceRef sending_state_ns_i_i_i_a4_0)) (portRef D (instanceRef sending_state_ns_0_i_s_i_m2_1)) - (portRef C (instanceRef current_output_data_buffer_0_0_1)) - (portRef B (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) + (portRef B (instanceRef current_output_data_buffer_0_a4_3)) + (portRef B (instanceRef current_output_data_buffer_0_a4_4)) + (portRef B (instanceRef current_output_data_buffer_0_a4_5)) + (portRef B (instanceRef current_output_data_buffer_0_a4_6)) + (portRef B (instanceRef sbuf_free_RNI2DC21)) (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) + (portRef A (instanceRef current_output_data_buffer_0_a4_1_2)) + (portRef A (instanceRef current_output_data_buffer_0_a4_3_1)) + (portRef B (instanceRef current_output_data_buffer_4_a2_1_0)) )) (net N_112 (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_o2_0)) (portRef A (instanceRef sending_state_ns_i_i_i_o2_1_0)) (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) )) - (net N_241 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a2_1)) - (portRef B (instanceRef current_output_data_buffer_0_0_1)) - (portRef C (instanceRef current_output_data_buffer_0_a4_1_2)) - (portRef D (instanceRef current_output_data_buffer_4_a2_1_0)) - )) (net sending_state_ns_i_i_i_a4_2_0_0 (joined (portRef Z (instanceRef sending_state_ns_i_i_i_a4_0_0_0)) (portRef D (instanceRef sending_state_ns_i_i_i_1_0)) @@ -57696,28 +57726,29 @@ (portRef A (instanceRef max_DATA_COUNT_minus_onec)) (portRef A (instanceRef max_DATA_COUNT_minus_one_0_2)) )) + (net N_556 (joined + (portRef Z (instanceRef sending_state_ns_i_i_i_o3_0)) + (portRef D (instanceRef sending_state_ns_i_i_i_a4_1_0)) + )) (net N_242 (joined (portRef Z (instanceRef current_output_data_buffer_4_a2_0_0)) - (portRef B (instanceRef current_output_data_buffer_0_6)) - (portRef B (instanceRef current_output_data_buffer_0_5)) - (portRef B (instanceRef current_output_data_buffer_0_4)) - (portRef B (instanceRef current_output_data_buffer_0_3)) (portRef B (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_s_0)) (portRef B (instanceRef current_output_data_buffer_4_0_0)) (portRef C (instanceRef current_output_data_buffer_0_1_2)) - (portRef A (instanceRef current_output_data_buffer_0_0_10)) + (portRef A (instanceRef current_output_data_buffer_0_1_1)) + (portRef B (instanceRef current_output_data_buffer_0_0_3)) + (portRef B (instanceRef current_output_data_buffer_0_0_4)) + (portRef B (instanceRef current_output_data_buffer_0_0_5)) + (portRef B (instanceRef current_output_data_buffer_0_0_6)) + (portRef A (instanceRef current_output_data_buffer_0_0_14)) + (portRef A (instanceRef current_output_data_buffer_0_0_9)) (portRef A (instanceRef current_output_data_buffer_0_0_11)) - (portRef A (instanceRef current_output_data_buffer_0_0_7)) + (portRef A (instanceRef current_output_data_buffer_0_0_10)) + (portRef A (instanceRef current_output_data_buffer_0_0_15)) (portRef A (instanceRef current_output_data_buffer_0_0_13)) - (portRef A (instanceRef current_output_data_buffer_0_0_8)) (portRef A (instanceRef current_output_data_buffer_0_0_12)) - (portRef A (instanceRef current_output_data_buffer_0_0_9)) - (portRef A (instanceRef current_output_data_buffer_0_0_14)) - (portRef A (instanceRef current_output_data_buffer_0_0_15)) - )) - (net N_556 (joined - (portRef Z (instanceRef sending_state_ns_i_i_i_o3_0)) - (portRef D (instanceRef sending_state_ns_i_i_i_a4_1_0)) + (portRef A (instanceRef current_output_data_buffer_0_0_7)) + (portRef A (instanceRef current_output_data_buffer_0_0_8)) )) (net un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0 (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_a4_0_0)) @@ -57748,8 +57779,8 @@ )) (net N_155 (joined (portRef Z (instanceRef current_output_data_buffer_0_a4_0_1)) + (portRef A (instanceRef current_output_data_buffer_0_1)) (portRef A (instanceRef current_output_data_buffer_0_1_2)) - (portRef A (instanceRef current_output_data_buffer_0_0_1)) )) (net un3_send_eob (joined (portRef Z (instanceRef gen1_un3_send_eob)) @@ -57785,47 +57816,55 @@ (portRef A (instanceRef reg_SEND_ACK_IN_2_RNO)) (portRef A (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o3)) )) + (net N_249 (joined + (portRef Z (instanceRef current_output_data_buffer_4_a2_2_0)) + (portRef C (instanceRef current_output_data_buffer_0_2)) + (portRef B (instanceRef current_output_data_buffer_4_0)) + (portRef C (instanceRef current_output_data_buffer_0_3_1)) + (portRef C (instanceRef current_output_data_buffer_0_0_3)) + (portRef C (instanceRef current_output_data_buffer_0_0_4)) + (portRef C (instanceRef current_output_data_buffer_0_0_5)) + (portRef C (instanceRef current_output_data_buffer_0_0_6)) + )) (net N_243 (joined (portRef Z (instanceRef current_output_data_buffer_4_a2_1_0)) + (portRef B (instanceRef current_output_data_buffer_0_6)) + (portRef B (instanceRef current_output_data_buffer_0_5)) + (portRef B (instanceRef current_output_data_buffer_0_4)) + (portRef B (instanceRef current_output_data_buffer_0_3)) (portRef B (instanceRef current_output_data_buffer_4_2_0)) - (portRef B (instanceRef current_output_data_buffer_0_1_5)) - (portRef B (instanceRef current_output_data_buffer_0_1_4)) - (portRef B (instanceRef current_output_data_buffer_0_1_3)) - (portRef B (instanceRef current_output_data_buffer_0_1_6)) - (portRef B (instanceRef current_output_data_buffer_0_0_10)) + (portRef B (instanceRef current_output_data_buffer_0_0_14)) + (portRef B (instanceRef current_output_data_buffer_0_0_9)) (portRef B (instanceRef current_output_data_buffer_0_0_11)) - (portRef B (instanceRef current_output_data_buffer_0_0_7)) + (portRef B (instanceRef current_output_data_buffer_0_0_10)) + (portRef B (instanceRef current_output_data_buffer_0_0_15)) (portRef B (instanceRef current_output_data_buffer_0_0_13)) - (portRef B (instanceRef current_output_data_buffer_0_0_8)) (portRef B (instanceRef current_output_data_buffer_0_0_12)) - (portRef B (instanceRef current_output_data_buffer_0_0_9)) - (portRef B (instanceRef current_output_data_buffer_0_0_14)) - (portRef B (instanceRef current_output_data_buffer_0_0_15)) - )) - (net N_249 (joined - (portRef Z (instanceRef current_output_data_buffer_4_a2_2_0)) - (portRef B (instanceRef current_output_data_buffer_4_0)) - (portRef C (instanceRef current_output_data_buffer_0_2)) - (portRef C (instanceRef current_output_data_buffer_0_3_1)) + (portRef B (instanceRef current_output_data_buffer_0_0_7)) + (portRef B (instanceRef current_output_data_buffer_0_0_8)) )) (net N_240 (joined (portRef Z (instanceRef current_output_data_buffer_4_a2_0)) (portRef A (instanceRef current_output_data_buffer_0_3_2)) + (portRef A (instanceRef current_output_data_buffer_0_15)) + (portRef A (instanceRef current_output_data_buffer_0_14)) (portRef A (instanceRef current_output_data_buffer_0_13)) + (portRef A (instanceRef current_output_data_buffer_0_12)) (portRef A (instanceRef current_output_data_buffer_0_11)) + (portRef A (instanceRef current_output_data_buffer_0_10)) (portRef A (instanceRef current_output_data_buffer_0_9)) (portRef A (instanceRef current_output_data_buffer_0_8)) (portRef A (instanceRef current_output_data_buffer_0_7)) - (portRef A (instanceRef current_output_data_buffer_0_10)) - (portRef A (instanceRef current_output_data_buffer_0_12)) - (portRef A (instanceRef current_output_data_buffer_0_14)) - (portRef A (instanceRef current_output_data_buffer_0_15)) (portRef A (instanceRef current_output_data_buffer_4_2_0)) (portRef B (instanceRef current_output_data_buffer_0_3_1)) - (portRef A (instanceRef current_output_data_buffer_0_1_5)) - (portRef A (instanceRef current_output_data_buffer_0_1_4)) - (portRef A (instanceRef current_output_data_buffer_0_1_3)) - (portRef A (instanceRef current_output_data_buffer_0_1_6)) + )) + (net un1_IOBUF_17 (joined + (portRef (member un1_iobuf 14)) + (portRef D (instanceRef current_output_data_buffer_0_a4_3_1)) + )) + (net N_158 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_3_1)) + (portRef B (instanceRef current_output_data_buffer_0_1)) )) (net un1_IOBUF_18 (joined (portRef (member un1_iobuf 13)) @@ -57835,6 +57874,10 @@ (portRef Z (instanceRef current_output_data_buffer_0_a4_1_2)) (portRef B (instanceRef current_output_data_buffer_0_1_2)) )) + (net current_output_data_buffer_0_a4_0_1 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_0_1_1)) + (portRef C (instanceRef current_output_data_buffer_0_1_1)) + )) (net N_135 (joined (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_m3_0)) (portRef A (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_s_0)) @@ -57846,33 +57889,33 @@ (portRef A (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0)) )) (net N_214 (joined - (portRef Z (instanceRef current_output_data_buffer_0_o2_RNI2DC21_1)) + (portRef Z (instanceRef sbuf_free_RNI2DC21)) (portRef A (instanceRef sending_state_RNO_1)) (portRef C (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_m3)) (portRef C (instanceRef sending_state_ns_0_i_s_i_o3_RNIU2NH3_1)) (portRef B (instanceRef GEN2_REG_reg_SEND_ACK_IN_2_i_0_o2_RNII8CH2)) )) - (net N_149 (joined - (portRef Z (instanceRef current_output_data_buffer_4_a4_0)) - (portRef B (instanceRef current_output_data_buffer_0_2)) - (portRef A (instanceRef current_output_data_buffer_4_0_0)) - )) - (net N_177 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a4_0_6)) + (net N_176 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_6)) (portRef A (instanceRef current_output_data_buffer_0_6)) )) - (net N_173 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a4_0_5)) + (net N_172 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_5)) (portRef A (instanceRef current_output_data_buffer_0_5)) )) - (net N_169 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a4_0_4)) + (net N_168 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_4)) (portRef A (instanceRef current_output_data_buffer_0_4)) )) - (net N_165 (joined - (portRef Z (instanceRef current_output_data_buffer_0_a4_0_3)) + (net N_164 (joined + (portRef Z (instanceRef current_output_data_buffer_0_a4_3)) (portRef A (instanceRef current_output_data_buffer_0_3)) )) + (net N_149 (joined + (portRef Z (instanceRef current_output_data_buffer_4_a4_0)) + (portRef B (instanceRef current_output_data_buffer_0_2)) + (portRef A (instanceRef current_output_data_buffer_4_0_0)) + )) (net N_223 (joined (portRef Z (instanceRef sending_state_ns_i_i_i_a4_1_0)) (portRef C (instanceRef sending_state_ns_i_i_i_0)) @@ -57886,37 +57929,21 @@ (portRef Z (instanceRef sending_state_ns_0_i_s_i_o3_RNIKQUD1_1)) (portRef A (instanceRef sending_state_ns_0_i_s_i_o3_RNIU2NH3_1)) )) - (net un1_IOBUF_17 (joined - (portRef (member un1_iobuf 14)) - (portRef D (instanceRef current_output_data_buffer_0_0_1)) - )) - (net current_output_data_buffer_0_0_1 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_1)) - (portRef A (instanceRef current_output_data_buffer_0_1)) - )) - (net un1_IOBUF_31 (joined - (portRef (member un1_iobuf 0)) - (portRef D (instanceRef current_output_data_buffer_0_0_15)) - )) - (net current_output_data_buffer_0_0_15 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_15)) - (portRef C (instanceRef current_output_data_buffer_0_15)) - )) - (net un1_IOBUF_30 (joined - (portRef (member un1_iobuf 1)) - (portRef D (instanceRef current_output_data_buffer_0_0_14)) + (net un1_IOBUF_24 (joined + (portRef (member un1_iobuf 7)) + (portRef D (instanceRef current_output_data_buffer_0_0_8)) )) - (net current_output_data_buffer_0_0_14 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_14)) - (portRef C (instanceRef current_output_data_buffer_0_14)) + (net current_output_data_buffer_0_0_8 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_8)) + (portRef C (instanceRef current_output_data_buffer_0_8)) )) - (net un1_IOBUF_25 (joined - (portRef (member un1_iobuf 6)) - (portRef D (instanceRef current_output_data_buffer_0_0_9)) + (net un1_IOBUF_23 (joined + (portRef (member un1_iobuf 8)) + (portRef D (instanceRef current_output_data_buffer_0_0_7)) )) - (net current_output_data_buffer_0_0_9 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_9)) - (portRef C (instanceRef current_output_data_buffer_0_9)) + (net current_output_data_buffer_0_0_7 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_7)) + (portRef C (instanceRef current_output_data_buffer_0_7)) )) (net un1_IOBUF_28 (joined (portRef (member un1_iobuf 3)) @@ -57926,14 +57953,6 @@ (portRef Z (instanceRef current_output_data_buffer_0_0_12)) (portRef C (instanceRef current_output_data_buffer_0_12)) )) - (net un1_IOBUF_24 (joined - (portRef (member un1_iobuf 7)) - (portRef D (instanceRef current_output_data_buffer_0_0_8)) - )) - (net current_output_data_buffer_0_0_8 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_8)) - (portRef C (instanceRef current_output_data_buffer_0_8)) - )) (net un1_IOBUF_29 (joined (portRef (member un1_iobuf 2)) (portRef D (instanceRef current_output_data_buffer_0_0_13)) @@ -57942,13 +57961,21 @@ (portRef Z (instanceRef current_output_data_buffer_0_0_13)) (portRef C (instanceRef current_output_data_buffer_0_13)) )) - (net un1_IOBUF_23 (joined - (portRef (member un1_iobuf 8)) - (portRef D (instanceRef current_output_data_buffer_0_0_7)) + (net un1_IOBUF_31 (joined + (portRef (member un1_iobuf 0)) + (portRef D (instanceRef current_output_data_buffer_0_0_15)) )) - (net current_output_data_buffer_0_0_7 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_7)) - (portRef C (instanceRef current_output_data_buffer_0_7)) + (net current_output_data_buffer_0_0_15 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_15)) + (portRef C (instanceRef current_output_data_buffer_0_15)) + )) + (net un1_IOBUF_26 (joined + (portRef (member un1_iobuf 5)) + (portRef D (instanceRef current_output_data_buffer_0_0_10)) + )) + (net current_output_data_buffer_0_0_10 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_10)) + (portRef C (instanceRef current_output_data_buffer_0_10)) )) (net un1_IOBUF_27 (joined (portRef (member un1_iobuf 4)) @@ -57958,49 +57985,45 @@ (portRef Z (instanceRef current_output_data_buffer_0_0_11)) (portRef C (instanceRef current_output_data_buffer_0_11)) )) - (net un1_IOBUF_26 (joined - (portRef (member un1_iobuf 5)) - (portRef D (instanceRef current_output_data_buffer_0_0_10)) - )) - (net current_output_data_buffer_0_0_10 (joined - (portRef Z (instanceRef current_output_data_buffer_0_0_10)) - (portRef C (instanceRef current_output_data_buffer_0_10)) + (net un1_IOBUF_25 (joined + (portRef (member un1_iobuf 6)) + (portRef D (instanceRef current_output_data_buffer_0_0_9)) )) - (net un1_IOBUF_22 (joined - (portRef (member un1_iobuf 9)) - (portRef D (instanceRef current_output_data_buffer_0_1_6)) + (net current_output_data_buffer_0_0_9 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_9)) + (portRef C (instanceRef current_output_data_buffer_0_9)) )) - (net current_output_data_buffer_0_1_6 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_6)) - (portRef C (instanceRef current_output_data_buffer_0_6)) + (net un1_IOBUF_30 (joined + (portRef (member un1_iobuf 1)) + (portRef D (instanceRef current_output_data_buffer_0_0_14)) )) - (net un1_IOBUF_19 (joined - (portRef (member un1_iobuf 12)) - (portRef D (instanceRef current_output_data_buffer_0_1_3)) + (net current_output_data_buffer_0_0_14 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_14)) + (portRef C (instanceRef current_output_data_buffer_0_14)) )) - (net current_output_data_buffer_0_1_3 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_3)) - (portRef C (instanceRef current_output_data_buffer_0_3)) + (net current_output_data_buffer_0_0_6 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_6)) + (portRef C (instanceRef current_output_data_buffer_0_6)) )) - (net un1_IOBUF_20 (joined - (portRef (member un1_iobuf 11)) - (portRef D (instanceRef current_output_data_buffer_0_1_4)) + (net current_output_data_buffer_0_0_5 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_5)) + (portRef C (instanceRef current_output_data_buffer_0_5)) )) - (net current_output_data_buffer_0_1_4 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_4)) + (net current_output_data_buffer_0_0_4 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_4)) (portRef C (instanceRef current_output_data_buffer_0_4)) )) - (net un1_IOBUF_21 (joined - (portRef (member un1_iobuf 10)) - (portRef D (instanceRef current_output_data_buffer_0_1_5)) + (net current_output_data_buffer_0_0_3 (joined + (portRef Z (instanceRef current_output_data_buffer_0_0_3)) + (portRef C (instanceRef current_output_data_buffer_0_3)) )) - (net current_output_data_buffer_0_1_5 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_5)) - (portRef C (instanceRef current_output_data_buffer_0_5)) + (net current_output_data_buffer_0_1_1 (joined + (portRef Z (instanceRef current_output_data_buffer_0_1_1)) + (portRef C (instanceRef current_output_data_buffer_0_1)) )) (net current_output_data_buffer_0_3_1 (joined (portRef Z (instanceRef current_output_data_buffer_0_3_1)) - (portRef C (instanceRef current_output_data_buffer_0_1)) + (portRef D (instanceRef current_output_data_buffer_0_1)) )) (net current_output_data_buffer_0_1_2 (joined (portRef Z (instanceRef current_output_data_buffer_0_1_2)) @@ -58030,37 +58053,10 @@ (portRef Z (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_s_0)) (portRef D (instanceRef un1_next_INT_READ_OUT_6_sqmuxa_0_i_s)) )) - (net MED_IO_DATA_OUT_31 (joined - (portRef Z (instanceRef current_output_data_buffer_0_15)) - (portRef (member med_io_data_out 0)) - )) - (net MED_IO_DATA_OUT_30 (joined - (portRef Z (instanceRef current_output_data_buffer_0_14)) - (portRef (member med_io_data_out 1)) - )) - (net MED_IO_DATA_OUT_28 (joined - (portRef Z (instanceRef current_output_data_buffer_0_12)) - (portRef (member med_io_data_out 3)) - )) - (net MED_IO_DATA_OUT_26 (joined - (portRef Z (instanceRef current_output_data_buffer_0_10)) - (portRef (member med_io_data_out 5)) - )) (net MED_IO_DATA_OUT_23 (joined (portRef Z (instanceRef current_output_data_buffer_0_7)) (portRef (member med_io_data_out 8)) )) - (net N_119 (joined - (portRef Z (instanceRef sending_state_ns_i_i_i_o3_0_0)) - (portRef B (instanceRef sending_state_ns_i_i_i_1_0)) - (portRef A (instanceRef sending_state_ns_0_i_s_i_a4_1)) - (portRef B (instanceRef sending_state_ns_i_i_i_o2_1_0)) - )) - (net N_267 (joined - (portRef Z (instanceRef sending_state_ns_i_i_i_o2_1_0)) - (portRef B (instanceRef sending_state_ns_i_i_i_a4_0)) - (portRef B (instanceRef sending_state_ns_0_i_s_i_m2_1)) - )) (net MED_IO_DATA_OUT_24 (joined (portRef Z (instanceRef current_output_data_buffer_0_8)) (portRef (member med_io_data_out 7)) @@ -58069,30 +58065,73 @@ (portRef Z (instanceRef current_output_data_buffer_0_9)) (portRef (member med_io_data_out 6)) )) + (net MED_IO_DATA_OUT_26 (joined + (portRef Z (instanceRef current_output_data_buffer_0_10)) + (portRef (member med_io_data_out 5)) + )) (net MED_IO_DATA_OUT_27 (joined (portRef Z (instanceRef current_output_data_buffer_0_11)) (portRef (member med_io_data_out 4)) )) + (net MED_IO_DATA_OUT_28 (joined + (portRef Z (instanceRef current_output_data_buffer_0_12)) + (portRef (member med_io_data_out 3)) + )) (net MED_IO_DATA_OUT_29 (joined (portRef Z (instanceRef current_output_data_buffer_0_13)) (portRef (member med_io_data_out 2)) )) + (net MED_IO_DATA_OUT_30 (joined + (portRef Z (instanceRef current_output_data_buffer_0_14)) + (portRef (member med_io_data_out 1)) + )) + (net MED_IO_DATA_OUT_31 (joined + (portRef Z (instanceRef current_output_data_buffer_0_15)) + (portRef (member med_io_data_out 0)) + )) + (net un1_IOBUF_19 (joined + (portRef (member un1_iobuf 12)) + (portRef D (instanceRef current_output_data_buffer_0_3)) + )) (net MED_IO_DATA_OUT_19 (joined (portRef Z (instanceRef current_output_data_buffer_0_3)) (portRef (member med_io_data_out 12)) )) + (net un1_IOBUF_20 (joined + (portRef (member un1_iobuf 11)) + (portRef D (instanceRef current_output_data_buffer_0_4)) + )) (net MED_IO_DATA_OUT_20 (joined (portRef Z (instanceRef current_output_data_buffer_0_4)) (portRef (member med_io_data_out 11)) )) + (net un1_IOBUF_21 (joined + (portRef (member un1_iobuf 10)) + (portRef D (instanceRef current_output_data_buffer_0_5)) + )) (net MED_IO_DATA_OUT_21 (joined (portRef Z (instanceRef current_output_data_buffer_0_5)) (portRef (member med_io_data_out 10)) )) + (net un1_IOBUF_22 (joined + (portRef (member un1_iobuf 9)) + (portRef D (instanceRef current_output_data_buffer_0_6)) + )) (net MED_IO_DATA_OUT_22 (joined (portRef Z (instanceRef current_output_data_buffer_0_6)) (portRef (member med_io_data_out 9)) )) + (net N_119 (joined + (portRef Z (instanceRef sending_state_ns_i_i_i_o3_0_0)) + (portRef B (instanceRef sending_state_ns_i_i_i_1_0)) + (portRef A (instanceRef sending_state_ns_0_i_s_i_a4_1)) + (portRef B (instanceRef sending_state_ns_i_i_i_o2_1_0)) + )) + (net N_267 (joined + (portRef Z (instanceRef sending_state_ns_i_i_i_o2_1_0)) + (portRef B (instanceRef sending_state_ns_i_i_i_a4_0)) + (portRef B (instanceRef sending_state_ns_0_i_s_i_m2_1)) + )) (net current_output_data_buffer_0_3_2 (joined (portRef Z (instanceRef current_output_data_buffer_0_3_2)) (portRef D (instanceRef current_output_data_buffer_0_2)) @@ -58101,16 +58140,21 @@ (portRef Z (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_m3)) (portRef B (instanceRef TRANSMITTED_BUFFERS_2_sqmuxa_i_s_i_a4_0_0_RNI04FL1)) )) - (net MED_IO_DATA_OUT_18 (joined - (portRef Z (instanceRef current_output_data_buffer_0_2)) - (portRef D (instanceRef saved_packet_type_2)) - (portRef (member med_io_data_out 13)) - )) (net MED_IO_DATA_OUT_16 (joined (portRef Z (instanceRef current_output_data_buffer_4_0)) (portRef D (instanceRef saved_packet_type_0)) (portRef (member med_io_data_out 15)) )) + (net MED_IO_DATA_OUT_17 (joined + (portRef Z (instanceRef current_output_data_buffer_0_1)) + (portRef D (instanceRef saved_packet_type_1)) + (portRef (member med_io_data_out 14)) + )) + (net MED_IO_DATA_OUT_18 (joined + (portRef Z (instanceRef current_output_data_buffer_0_2)) + (portRef D (instanceRef saved_packet_type_2)) + (portRef (member med_io_data_out 13)) + )) (net N_142 (joined (portRef Z (instanceRef sending_state_ns_0_i_s_i_m2_1)) (portRef B (instanceRef sending_state_ns_0_i_s_i_a4_1)) @@ -58127,15 +58171,6 @@ (portRef Z (instanceRef sending_state_ns_i_i_i_1_0)) (portRef D (instanceRef sending_state_ns_i_i_i_0)) )) - (net current_output_data_buffer_0_1_1 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1_1)) - (portRef B (instanceRef current_output_data_buffer_0_1)) - )) - (net MED_IO_DATA_OUT_17 (joined - (portRef Z (instanceRef current_output_data_buffer_0_1)) - (portRef D (instanceRef saved_packet_type_1)) - (portRef (member med_io_data_out 14)) - )) (net CURRENT_DATA_COUNT_cry_0 (joined (portRef COUT (instanceRef CURRENT_DATA_COUNT_cry_0_0)) (portRef CIN (instanceRef CURRENT_DATA_COUNT_cry_0_1)) @@ -58916,16 +58951,16 @@ (instance fifo_valid_readc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance current_fifo_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance fifo_write_i_a2_RNIHEFR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -58934,14 +58969,14 @@ (instance next_rec_buffer_size_out_0_sqmuxa_0_a4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) - (instance gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance un4_fifo_data_valid (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(!B+A)))")) ) (instance gen_ack1_proc_reg_eob_out_reg_eob_init_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) - (instance un4_fifo_data_valid (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(!B+A)))")) + (instance gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) (instance PROC_word_waiting_un11_tmp_int_init_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C !A))")) @@ -59087,10 +59122,10 @@ )) (net un20_fifo_long_packet_num_out (joined (portRef Z (instanceRef un20_fifo_long_packet_num_out)) - (portRef C (instanceRef current_fifo_packet_type_0)) - (portRef C (instanceRef current_fifo_packet_type_1)) - (portRef C (instanceRef current_fifo_packet_type_2)) (portRef C (instanceRef current_fifo_packet_type_3)) + (portRef C (instanceRef current_fifo_packet_type_2)) + (portRef C (instanceRef current_fifo_packet_type_1)) + (portRef C (instanceRef current_fifo_packet_type_0)) (portRef SP (instanceRef saved_fifo_packet_type_3)) (portRef SP (instanceRef saved_fifo_packet_type_2)) (portRef SP (instanceRef saved_fifo_packet_type_1)) @@ -59735,8 +59770,8 @@ ) (net got_eob_init_out_0_sqmuxa_2 (joined (portRef Z (instanceRef got_eob_init_out_0_sqmuxa_2)) - (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef D (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) )) (net fifo_empty (joined (portRef fifo_empty (instanceRef THE_FIFO)) @@ -59751,28 +59786,28 @@ (portRef (member current_buffer_state 0) (instanceRef gen_init_sbuf_SBUF_INIT)) (portRef D (instanceRef fifo_valid_readc)) )) - (net current_fifo_packet_type_3 (joined - (portRef Z (instanceRef current_fifo_packet_type_3)) - (portRef (member current_fifo_packet_type 0) (instanceRef gen_init_sbuf_SBUF_INIT)) - (portRef A (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) - (portRef C (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) - (portRef C (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) - )) - (net current_fifo_packet_type_2 (joined - (portRef Z (instanceRef current_fifo_packet_type_2)) - (portRef C (instanceRef un4_fifo_data_valid)) - (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) - (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (net current_fifo_packet_type_0 (joined + (portRef Z (instanceRef current_fifo_packet_type_0)) + (portRef A (instanceRef un4_fifo_data_valid)) )) (net current_fifo_packet_type_1 (joined (portRef Z (instanceRef current_fifo_packet_type_1)) - (portRef B (instanceRef un4_fifo_data_valid)) - (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef A (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) + (portRef B (instanceRef un4_fifo_data_valid)) )) - (net current_fifo_packet_type_0 (joined - (portRef Z (instanceRef current_fifo_packet_type_0)) - (portRef A (instanceRef un4_fifo_data_valid)) + (net current_fifo_packet_type_2 (joined + (portRef Z (instanceRef current_fifo_packet_type_2)) + (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef B (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) + (portRef C (instanceRef un4_fifo_data_valid)) + )) + (net current_fifo_packet_type_3 (joined + (portRef Z (instanceRef current_fifo_packet_type_3)) + (portRef (member current_fifo_packet_type 0) (instanceRef gen_init_sbuf_SBUF_INIT)) + (portRef A (instanceRef PROC_word_waiting_un11_tmp_int_init_dataready_out)) + (portRef C (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_reply_out_2)) + (portRef C (instanceRef gen_ack1_proc_reg_eob_out_reg_eob_init_out_2)) )) (net fifo_full (joined (portRef fifo_full (instanceRef THE_FIFO)) @@ -60123,7 +60158,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -60326,8 +60361,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -60453,7 +60488,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -60656,8 +60691,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -60783,7 +60818,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -60986,8 +61021,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -61113,7 +61148,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -61316,8 +61351,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -61443,7 +61478,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -61646,8 +61681,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -62103,7 +62138,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -62306,8 +62341,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -62433,7 +62468,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -62636,8 +62671,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -62763,7 +62798,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -62966,8 +63001,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -63093,7 +63128,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -63296,8 +63331,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -63423,7 +63458,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_30 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -63626,8 +63661,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_30 (joined - (portRef reset_tdc_30) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -63753,7 +63788,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -63956,8 +63991,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -64083,7 +64118,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -64286,8 +64321,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -64413,7 +64448,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_30 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -64616,8 +64651,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) + (net reset_tdc_30 (joined + (portRef reset_tdc_30) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -64743,7 +64778,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -64946,8 +64981,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -65073,7 +65108,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -65276,8 +65311,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -65403,7 +65438,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -65606,8 +65641,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -65733,7 +65768,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_79 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -65936,8 +65971,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_79 (joined - (portRef reset_tdc_79) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -66063,7 +66098,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -66266,8 +66301,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -66393,7 +66428,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -66596,8 +66631,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -66723,7 +66758,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_79 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -66926,8 +66961,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) + (net reset_tdc_79 (joined + (portRef reset_tdc_79) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -67053,7 +67088,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_39 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -67256,8 +67291,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -67383,7 +67418,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -67586,8 +67621,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -67713,7 +67748,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -67916,8 +67951,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -68043,7 +68078,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_97 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -68246,8 +68281,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) + (net reset_tdc_97 (joined + (portRef reset_tdc_97) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -68703,7 +68738,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_97 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -68906,8 +68941,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_97 (joined - (portRef reset_tdc_97) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -69033,7 +69068,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -69236,8 +69271,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -69363,7 +69398,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -69566,8 +69601,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -69693,7 +69728,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_39 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -69896,8 +69931,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -70023,7 +70058,7 @@ (port q_reg_1 (direction OUTPUT)) (port q_reg_0 (direction OUTPUT)) (port (array (rename interval_2reg "interval_2reg(8:0)") 9) (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port start_3reg (direction INPUT)) @@ -70226,8 +70261,8 @@ (portRef OCEA (instanceRef ROM_Encoder_0_0_0)) (portRef CEA (instanceRef ROM_Encoder_0_0_0)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) (portRef RSTA (instanceRef ROM_Encoder_0_0_0)) )) (net q_reg_0 (joined @@ -70678,73 +70713,71 @@ (cell handler_ipu (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename dat_data_read_out_0_rnipo254 "DAT_DATA_READ_OUT_0_RNIPO254(0:0)") 1) (direction OUTPUT)) + (port (array (rename ipu_data_empty "ipu_data_empty(0:0)") 1) (direction INPUT)) (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) (port (array (rename ipu_data_length "ipu_data_length(15:0)") 16) (direction INPUT)) - (port (array (rename dat_data_read_out_0 "DAT_DATA_READ_OUT_0(0:0)") 1) (direction OUTPUT)) (port (array (rename ipu_length_i "ipu_length_i(15:0)") 16) (direction OUTPUT)) (port (array (rename ipu_data "ipu_data(31:8)") 24) (direction INPUT)) + (port (array (rename dat_fifo_select "dat_fifo_select(0:0)") 1) (direction OUTPUT)) (port (array (rename ipu_error_pattern_i "ipu_error_pattern_i(27:27)") 1) (direction OUTPUT)) (port (array (rename ipu_data_i "ipu_data_i(31:0)") 32) (direction OUTPUT)) - (port (array (rename ipu_data_empty "ipu_data_empty(0:0)") 1) (direction INPUT)) (port (array (rename ipu_header "ipu_header(30:0)") 31) (direction INPUT)) + (port (array (rename common_ctrl_reg "common_ctrl_reg(2:2)") 1) (direction INPUT)) + (port (array (rename dat_fifo_finished "dat_fifo_finished(0:0)") 1) (direction OUTPUT)) + (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(46:46)") 1) (direction INPUT)) (port current_state_4 (direction OUTPUT)) (port current_state_0 (direction OUTPUT)) (port current_state_1 (direction OUTPUT)) - (port (array (rename common_ctrl_reg "common_ctrl_reg(2:2)") 1) (direction INPUT)) - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port m129_2_03_4_i_4 (direction OUTPUT)) - (port waiting_word_RNIIPH92 (direction INPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction INPUT)) + (port reset_ipu_i_0_i_1 (direction INPUT)) + (port d_N_6 (direction OUTPUT)) (port m6_6_03_1_1 (direction INPUT)) - (port m1_6_03_1_0_0 (direction INPUT)) - (port m1_6_03 (direction INPUT)) - (port reset_i_fast_r8 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port N_4877 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port reset_i_fast_r10 (direction INPUT)) (port ipu_dataready_i (direction OUTPUT)) - (port m169_2_03_4_i_4 (direction INPUT)) - (port m168_2_03_4_i_4 (direction INPUT)) (port m171_2_03_4_i_4 (direction INPUT)) (port m170_2_03_4_i_4 (direction INPUT)) - (port waiting_word (direction INPUT)) + (port m169_2_03_4_i_4 (direction INPUT)) + (port m168_2_03_4_i_4 (direction INPUT)) + (port first_fifo_read_RNIS8RB (direction OUTPUT)) (port ipu_start_readout_i (direction INPUT)) - (port m177_2_03_2_i_4 (direction INPUT)) (port m178_2_03_2_i_4 (direction INPUT)) (port m179_2_03_2_i_4 (direction INPUT)) (port m180_2_03_1_i_4 (direction INPUT)) - (port m182_2_03_1_i_4 (direction INPUT)) - (port m183_2_03_1_i_4 (direction INPUT)) (port m181_2_03_1_i_4 (direction INPUT)) + (port m183_2_03_1_i_4 (direction INPUT)) + (port m182_2_03_1_i_4 (direction INPUT)) + (port m177_2_03_2_i_4 (direction INPUT)) (port m176_2_03_2_i_4 (direction INPUT)) + (port DAT_DATA_READ_OUT_0_m3_0_a2_0 (direction OUTPUT)) + (port N_132 (direction OUTPUT)) (port m173_2_03_3_i_4 (direction OUTPUT)) - (port first_fifo_read (direction OUTPUT)) - (port reset_ipu_i_0_i_iso (direction INPUT)) - (port m166_2_03_4_i_4 (direction OUTPUT)) (port ipu_readout_finished_i (direction OUTPUT)) - (port reset_ipu_i_0 (direction INPUT)) + (port reset_ipu_i_0_i_1_i (direction INPUT)) (port ipu_header_empty (direction INPUT)) (port ipu_header_read (direction OUTPUT)) - (port suppress_output_fast (direction OUTPUT)) + (port suppress_output (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port GND (direction INPUT)) - (port m165_2_03_4_i_4 (direction OUTPUT)) (port m174_2_03_3_i_4 (direction OUTPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port GND (direction INPUT)) (port m161_2_03 (direction OUTPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port last_second_word_waiting (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) + (port m165_2_03_4_i_4 (direction OUTPUT)) + (port m166_2_03_4_i_4 (direction OUTPUT)) ) (contents - (instance current_state_srsts_i_0_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B A))+D !B)")) + (instance ipu_dataready_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !C+D (!C (!B !A)))")) ) - (instance current_state_srsts_i_0_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!B A))")) + (instance ipu_dataready_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) + ) + (instance current_state_srsts_0_a2_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A)))")) ) - (instance current_state_RNI8SU71_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_RNI5CU71_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance error_sync_fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -70785,13 +70818,11 @@ ) (instance total_length_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance suppress_output_fast (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) (instance suppress_output (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance next_hdr_fifo_valid_read (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance next_dat_fifo_valid_read (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance next_dat_fifo_valid_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance last_hdr_fifo_valid_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) @@ -70865,7 +70896,7 @@ ) (instance hdr_fifo_valid_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance hdr_fifo_read (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance hdr_fifo_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance first_fifo_read (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -70915,19 +70946,28 @@ ) (instance current_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance current_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_state_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance current_state_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_state_2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance current_state_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance current_state_4 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + (instance current_state_4 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) + (instance ipu_data_i_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance ipu_data_i_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance IPU_LENGTH_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance current_state_nss_0_i_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance ipu_data_i_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance ipu_data_i_RNO_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance IPU_LENGTH_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) @@ -70947,6 +70987,9 @@ (instance IPU_LENGTH_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) + (instance IPU_LENGTH_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance IPU_LENGTH_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) @@ -70974,87 +71017,54 @@ (instance IPU_LENGTH_OUT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance ipu_data_i_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance ipu_data_i_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance un1_current_state_2_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance ipu_data_i_RNO_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_srsts_i_1_0_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance DAT_DATA_READ_OUT_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance DAT_DATA_READ_OUT_0_m3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance current_state_RNIHMBK_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance current_state_srsts_i_1_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_ipu_dataready_i_iv_a0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance next_ipu_data_i_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance PROC_DAT_FIFO_SELECT_un32_next_state_0_a2_m1_e_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) (instance next_ipu_data_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_ipu_data_i_0_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) - (instance next_ipu_data_i_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_ipu_data_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) - (instance next_ipu_data_i_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance next_ipu_data_i_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_ipu_data_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_ipu_data_i_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_ipu_data_i_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) (instance next_ipu_data_i_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_ipu_data_i_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_ipu_data_i_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_ipu_data_i_0_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) (instance next_ipu_data_i_0_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_ipu_data_i_0_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) (instance next_ipu_data_i_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) (instance next_ipu_data_i_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_ipu_data_i_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) (instance next_ipu_data_i_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -71064,9 +71074,21 @@ (instance next_ipu_data_i_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) + (instance next_ipu_data_i_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_ipu_data_i_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_ipu_data_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) (instance next_ipu_data_i_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) + (instance next_ipu_data_i_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) (instance next_ipu_data_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -71076,6 +71098,24 @@ (instance next_ipu_data_i_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) + (instance next_ipu_data_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_ipu_data_i_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_ipu_data_i_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_ipu_data_i_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_ipu_data_i_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_ipu_data_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) (instance dat_fifo_read_length_0_lm_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) @@ -71124,20 +71164,17 @@ (instance dat_fifo_read_length_0_lm_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_state_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance current_state_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_nss_0_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B+A))")) ) - (instance current_state_srsts_i_a0_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance first_fifo_read_RNIS8RB (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance current_state_srsts_i_a0_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_srsts_0_a2_1_tz_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B !A))")) ) - (instance ipu_dataready_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance DAT_DATA_READ_OUT_0_m3_0_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) (instance gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -71157,47 +71194,41 @@ (instance PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B A))+D (!C (B !A)+C (B A)))")) ) - (instance next_dat_fifo_valid_readc_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+!A))")) + (instance next_ipu_dataready_i_iv_a1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) (instance IPU_DATAREADY_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B+!A))")) ) - (instance next_ipu_dataready_i_iv_m6_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C (!B !A)+C (!B+A)))")) - ) (instance dat_fifo_read_length_0e_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) (instance un1_next_suppress_output_0_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)+C A)+D (!C B+C (B+A)))")) ) - (instance PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C A))")) - ) - (instance DAT_DATA_READ_OUT_0_RNIOA5M_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)))")) - ) - (instance current_state_srsts_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C (B+A)))")) + (instance current_state_srsts_i_i_a3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) ) (instance gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance current_state_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance current_statec_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A+C (!B !A)))")) ) - (instance next_ipu_dataready_i_iv_m6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D (C+B))")) + (instance current_state_srsts_0_a2_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)))")) ) - (instance PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D (C+B))")) + (instance current_state_RNI2UQ91_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C (B+!A)))")) ) - (instance current_state_srsts_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) + (instance current_state_nss_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B !A)))")) ) - (instance next_dat_fifo_valid_readc_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C B))")) + (instance current_state_srsts_i_a0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance DAT_DATA_READ_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C+(!B+A)))")) ) (instance error_missing_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) @@ -71205,29 +71236,35 @@ (instance error_lvl1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) ) - (instance DAT_DATA_READ_OUT_0_RNIPO254_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+A))+D (!B+A))")) + (instance next_first_fifo_read_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance next_dat_fifo_valid_readc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A))+D C)")) + (instance current_state_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A)))")) ) - (instance next_first_fifo_read_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance current_state_srsts_i_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (!C !A))")) ) - (instance current_state_srsts_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + (instance current_state_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)))")) ) - (instance DAT_DATA_READ_OUT_0_RNI35LF2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C (B A)+C B))")) + (instance current_state_srsts_0_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D (C (B !A)))")) + ) + (instance dat_fifo_select_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D (!C (B !A)+C (B+A)))")) ) (instance ipu_dataready_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C (B !A))+D (B !A))")) + (property lut_function (string "(!D (!C !B+C (!B !A))+D (!B !A))")) ) - (instance PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (!C (B A)+C (B+!A)))")) + (instance PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance current_state_srsts_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (!B A))+D (!C+!B))")) + (instance next_dat_fifo_valid_read_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C (B A)+C B))")) + ) + (instance hdr_fifo_readd (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) (instance suppress_outputce (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) @@ -71286,48 +71323,105 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef A (instanceRef current_state_srsts_i_0_RNO_2)) - )) - (net current_state_srsts_i_0_1_2 (joined - (portRef Z (instanceRef current_state_srsts_i_0_RNO_2)) - (portRef B (instanceRef current_state_srsts_i_0_2)) - )) - (net current_state_srsts_i_a1_1_0 (joined - (portRef Z (instanceRef current_state_srsts_i_a0_1_0)) - (portRef C (instanceRef current_state_srsts_i_0_0)) - (portRef A (instanceRef current_state_srsts_i_0_0_RNO_0)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) - (portRef A (instanceRef current_state_srsts_i_0_0)) - (portRef A (instanceRef next_dat_fifo_valid_readc_2)) - (portRef B (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) - (portRef B (instanceRef next_ipu_dataready_i_iv_m6_i)) - (portRef B (instanceRef current_state_srsts_i_0_0_RNO_0)) - (portRef B (instanceRef current_state_srsts_i_0_RNO_2)) + (net next_ipu_dataready_i_iv_0_0 (joined + (portRef Z (instanceRef ipu_dataready_i_RNO_0)) + (portRef B (instanceRef ipu_dataready_i_RNO)) )) - (net last_second_word_waiting (joined - (portRef last_second_word_waiting) - (portRef C (instanceRef current_state_srsts_i_0_0_RNO_0)) - (portRef C (instanceRef current_state_srsts_i_0_RNO_2)) + (net current_state_1 (joined + (portRef Q (instanceRef current_state_1)) + (portRef B (instanceRef dat_fifo_select_RNO_0)) + (portRef B (instanceRef current_state_srsts_0_a2_0_1)) + (portRef A (instanceRef current_state_srsts_0_a2_0_0_1)) + (portRef B (instanceRef current_statec_0_0_0)) + (portRef A (instanceRef next_ipu_data_i_0_2)) + (portRef A (instanceRef next_ipu_data_i_0_3)) + (portRef A (instanceRef next_ipu_data_i_0_4)) + (portRef A (instanceRef next_ipu_data_i_0_5)) + (portRef A (instanceRef next_ipu_data_i_0_8)) + (portRef A (instanceRef next_ipu_data_i_0_9)) + (portRef A (instanceRef next_ipu_data_i_0_10)) + (portRef A (instanceRef next_ipu_data_i_0_11)) + (portRef A (instanceRef next_ipu_data_i_0_12)) + (portRef A (instanceRef next_ipu_data_i_0_13)) + (portRef A (instanceRef next_ipu_data_i_0_14)) + (portRef A (instanceRef next_ipu_data_i_0_15)) + (portRef A (instanceRef next_ipu_data_i_0_16)) + (portRef A (instanceRef next_ipu_data_i_0_17)) + (portRef A (instanceRef next_ipu_data_i_0_18)) + (portRef A (instanceRef next_ipu_data_i_0_19)) + (portRef A (instanceRef next_ipu_data_i_0_20)) + (portRef A (instanceRef next_ipu_data_i_0_22)) + (portRef A (instanceRef next_ipu_data_i_0_23)) + (portRef A (instanceRef next_ipu_data_i_0_25)) + (portRef A (instanceRef next_ipu_data_i_0_26)) + (portRef A (instanceRef next_ipu_data_i_0_7)) + (portRef A (instanceRef next_ipu_data_i_0_27)) + (portRef A (instanceRef next_ipu_data_i_0_24)) + (portRef A (instanceRef next_ipu_data_i_0_21)) + (portRef A (instanceRef next_ipu_data_i_0_6)) + (portRef A (instanceRef next_ipu_data_i_0_1)) + (portRef A (instanceRef next_ipu_data_i_0_0)) + (portRef B (instanceRef un1_current_state_2_i_a2_0)) + (portRef A (instanceRef ipu_data_i_RNO_31)) + (portRef A (instanceRef ipu_data_i_RNO_30)) + (portRef A (instanceRef current_state_nss_0_i_RNO_0_0)) + (portRef A (instanceRef ipu_data_i_RNO_28)) + (portRef A (instanceRef ipu_data_i_RNO_29)) + (portRef D (instanceRef current_state_RNI5CU71_2)) + (portRef A (instanceRef ipu_dataready_i_RNO_1)) + (portRef D (instanceRef ipu_dataready_i_RNO_0)) + (portRef current_state_1) )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0)) - (portRef D (instanceRef current_state_srsts_i_0_0_RNO_0)) - (portRef D (instanceRef current_state_srsts_i_0_RNO_2)) + (net m166_2_03_4_i_4 (joined + (portRef Q (instanceRef ipu_dataready_i)) + (portRef D (instanceRef current_state_srsts_i_a0_2)) + (portRef C (instanceRef IPU_DATAREADY_OUT)) + (portRef C (instanceRef next_ipu_dataready_i_iv_a1_1)) + (portRef C (instanceRef current_state_srsts_0_a2_1_tz_1)) + (portRef B (instanceRef next_ipu_dataready_i_iv_a0_0)) + (portRef B (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0)) + (portRef A (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef B (instanceRef ipu_dataready_i_RNO_1)) + (portRef A (instanceRef ipu_dataready_i_RNO_0)) + (portRef m166_2_03_4_i_4) + )) + (net next_ipu_dataready_i_iv_a0_0 (joined + (portRef Z (instanceRef ipu_dataready_i_RNO_1)) + (portRef C (instanceRef ipu_dataready_i_RNO)) )) - (net current_state_srsts_i_0_0_RNO_0 (joined - (portRef Z (instanceRef current_state_srsts_i_0_0_RNO_0)) - (portRef A (instanceRef current_state_srsts_i_0_0_0)) + (net m161_2_03 (joined + (portRef Q (instanceRef current_state_2)) + (portRef D (instanceRef current_state_srsts_i_1_2)) + (portRef B (instanceRef current_state_RNI2UQ91_2)) + (portRef B (instanceRef IPU_DATAREADY_OUT)) + (portRef B (instanceRef current_state_srsts_0_a2_1_tz_1)) + (portRef B (instanceRef current_state_nss_0_i_RNO_0_0)) + (portRef C (instanceRef current_state_RNI5CU71_2)) + (portRef B (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef C (instanceRef ipu_dataready_i_RNO_0)) + (portRef m161_2_03) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef A (instanceRef current_state_RNI8SU71_2)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef A (instanceRef current_state_srsts_i_a0_2)) + (portRef A (instanceRef next_ipu_dataready_i_iv_a1_1)) + (portRef A (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0_0)) + (portRef A (instanceRef current_state_srsts_0_a2_1_tz_1)) + (portRef A (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_a2_m1_e_0)) + (portRef A (instanceRef next_ipu_dataready_i_iv_a0_0)) + (portRef D (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef C (instanceRef ipu_dataready_i_RNO_1)) + )) + (net current_state_srsts_0_a2_a0_0_0_1 (joined + (portRef Z (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef D (instanceRef current_state_srsts_0_a2_0_1)) + )) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef A (instanceRef current_state_RNI5CU71_2)) )) - (net current_state_RNI8SU71_2 (joined - (portRef Z (instanceRef current_state_RNI8SU71_2)) + (net current_state_RNI5CU71_2 (joined + (portRef Z (instanceRef current_state_RNI5CU71_2)) (portRef CD (instanceRef ipu_data_i_27)) (portRef CD (instanceRef ipu_data_i_26)) (portRef CD (instanceRef ipu_data_i_25)) @@ -71357,6 +71451,13 @@ (portRef CD (instanceRef ipu_data_i_1)) (portRef CD (instanceRef ipu_data_i_0)) )) + (net m165_2_03_4_i_4 (joined + (portRef Q (instanceRef dat_fifo_valid_read)) + (portRef B (instanceRef next_ipu_dataready_i_iv_a1_1)) + (portRef B (instanceRef error_sync_fb)) + (portRef B (instanceRef ipu_dataready_i_RNO_0)) + (portRef m165_2_03_4_i_4) + )) (net un23_dat_fifo_valid_read_NE_1 (joined (portRef Z (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) (portRef C (instanceRef error_sync_fb)) @@ -71371,11 +71472,14 @@ )) (net dat_fifo_finished_0 (joined (portRef Q (instanceRef dat_fifo_finished_0)) - (portRef B (instanceRef next_first_fifo_read_0_a2)) - (portRef B (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef C (instanceRef current_state_srsts_i_a0_1_0)) - (portRef A (instanceRef DAT_DATA_READ_OUT_0_0)) + (portRef A (instanceRef PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1)) + (portRef C (instanceRef next_first_fifo_read_0_a2)) + (portRef B (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0_0)) + (portRef A (instanceRef first_fifo_read_RNIS8RB)) + (portRef B (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_a2_m1_e_0)) (portRef A (instanceRef dat_fifo_finished_0__fb)) + (portRef C (instanceRef current_state_srsts_0_a2_0_RNO_1)) + (portRef (member dat_fifo_finished 0)) )) (net un1_dat_fifo_read_length_0 (joined (portRef Z (instanceRef gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0)) @@ -71420,9 +71524,9 @@ (net last_hdr_fifo_valid_read (joined (portRef Q (instanceRef last_hdr_fifo_valid_read)) (portRef C (instanceRef suppress_outputce)) - (portRef D (instanceRef current_state_srsts_i_3)) + (portRef C (instanceRef current_state_RNO_3)) (portRef C (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) - (portRef B (instanceRef current_state_srsts_i_1_tz_2)) + (portRef B (instanceRef current_state_srsts_i_1_0_tz_2)) (portRef SP (instanceRef total_length_15)) (portRef SP (instanceRef total_length_14)) (portRef SP (instanceRef total_length_13)) @@ -71520,7 +71624,6 @@ (portRef CK (instanceRef next_dat_fifo_valid_read)) (portRef CK (instanceRef next_hdr_fifo_valid_read)) (portRef CK (instanceRef suppress_output)) - (portRef CK (instanceRef suppress_output_fast)) (portRef CK (instanceRef total_length_15)) (portRef CK (instanceRef total_length_14)) (portRef CK (instanceRef total_length_13)) @@ -71719,47 +71822,22 @@ (portRef Q (instanceRef total_length_15)) (portRef B (instanceRef IPU_LENGTH_OUT_15)) )) - (net suppress_outputce (joined - (portRef Z (instanceRef suppress_outputce)) - (portRef SP (instanceRef suppress_output)) - (portRef SP (instanceRef suppress_output_fast)) - )) (net ipu_header_28 (joined (portRef (member ipu_header 2)) (portRef D (instanceRef suppress_output)) - (portRef D (instanceRef suppress_output_fast)) )) - (net suppress_output (joined - (portRef Q (instanceRef suppress_output)) - (portRef A (instanceRef IPU_LENGTH_OUT_0)) - (portRef A (instanceRef IPU_LENGTH_OUT_1)) - (portRef A (instanceRef IPU_LENGTH_OUT_2)) - (portRef A (instanceRef IPU_LENGTH_OUT_3)) - (portRef A (instanceRef IPU_LENGTH_OUT_4)) - (portRef A (instanceRef IPU_LENGTH_OUT_5)) - (portRef A (instanceRef IPU_LENGTH_OUT_6)) - (portRef A (instanceRef IPU_LENGTH_OUT_7)) - (portRef A (instanceRef IPU_LENGTH_OUT_8)) - (portRef A (instanceRef IPU_LENGTH_OUT_10)) - (portRef A (instanceRef IPU_LENGTH_OUT_11)) - (portRef A (instanceRef IPU_LENGTH_OUT_12)) - (portRef A (instanceRef IPU_LENGTH_OUT_13)) - (portRef A (instanceRef IPU_LENGTH_OUT_14)) - (portRef A (instanceRef IPU_LENGTH_OUT_15)) - (portRef A (instanceRef IPU_LENGTH_OUT_9)) + (net suppress_outputce (joined + (portRef Z (instanceRef suppress_outputce)) + (portRef SP (instanceRef suppress_output)) )) (net next_hdr_fifo_valid_read (joined (portRef Q (instanceRef next_hdr_fifo_valid_read)) (portRef D (instanceRef hdr_fifo_valid_read)) )) - (net next_dat_fifo_valid_readc (joined - (portRef Z (instanceRef next_dat_fifo_valid_readc)) + (net next_dat_fifo_valid_read_1 (joined + (portRef Z (instanceRef next_dat_fifo_valid_read_RNO)) (portRef D (instanceRef next_dat_fifo_valid_read)) )) - (net ipu_data_empty_0 (joined - (portRef (member ipu_data_empty 0)) - (portRef CD (instanceRef next_dat_fifo_valid_read)) - )) (net next_dat_fifo_valid_read (joined (portRef Q (instanceRef next_dat_fifo_valid_read)) (portRef C (instanceRef dat_fifo_read_length_0e_0_i)) @@ -71795,8 +71873,8 @@ (portRef Z (instanceRef ipu_dataready_i_RNO)) (portRef D (instanceRef ipu_dataready_i)) )) - (net reset_ipu_i_0 (joined - (portRef reset_ipu_i_0) + (net reset_ipu_i_0_i_1_i (joined + (portRef reset_ipu_i_0_i_1_i) (portRef SP (instanceRef dat_fifo_select_0)) (portRef SP (instanceRef error_lvl1)) (portRef SP (instanceRef error_missing)) @@ -71900,7 +71978,7 @@ (portRef Q (instanceRef ipu_data_i_7)) (portRef (member ipu_data_i 24)) )) - (net N_4397 (joined + (net N_4405 (joined (portRef Z (instanceRef next_ipu_data_i_0_8)) (portRef D (instanceRef ipu_data_i_8)) )) @@ -71908,7 +71986,7 @@ (portRef Q (instanceRef ipu_data_i_8)) (portRef (member ipu_data_i 23)) )) - (net N_4398 (joined + (net N_4406 (joined (portRef Z (instanceRef next_ipu_data_i_0_9)) (portRef D (instanceRef ipu_data_i_9)) )) @@ -71916,7 +71994,7 @@ (portRef Q (instanceRef ipu_data_i_9)) (portRef (member ipu_data_i 22)) )) - (net N_4399 (joined + (net N_4407 (joined (portRef Z (instanceRef next_ipu_data_i_0_10)) (portRef D (instanceRef ipu_data_i_10)) )) @@ -71924,7 +72002,7 @@ (portRef Q (instanceRef ipu_data_i_10)) (portRef (member ipu_data_i 21)) )) - (net N_4400 (joined + (net N_4408 (joined (portRef Z (instanceRef next_ipu_data_i_0_11)) (portRef D (instanceRef ipu_data_i_11)) )) @@ -71932,7 +72010,7 @@ (portRef Q (instanceRef ipu_data_i_11)) (portRef (member ipu_data_i 20)) )) - (net N_4401 (joined + (net N_4409 (joined (portRef Z (instanceRef next_ipu_data_i_0_12)) (portRef D (instanceRef ipu_data_i_12)) )) @@ -71940,7 +72018,7 @@ (portRef Q (instanceRef ipu_data_i_12)) (portRef (member ipu_data_i 19)) )) - (net N_4402 (joined + (net N_4410 (joined (portRef Z (instanceRef next_ipu_data_i_0_13)) (portRef D (instanceRef ipu_data_i_13)) )) @@ -71948,7 +72026,7 @@ (portRef Q (instanceRef ipu_data_i_13)) (portRef (member ipu_data_i 18)) )) - (net N_4403 (joined + (net N_4411 (joined (portRef Z (instanceRef next_ipu_data_i_0_14)) (portRef D (instanceRef ipu_data_i_14)) )) @@ -71956,7 +72034,7 @@ (portRef Q (instanceRef ipu_data_i_14)) (portRef (member ipu_data_i 17)) )) - (net N_4404 (joined + (net N_4412 (joined (portRef Z (instanceRef next_ipu_data_i_0_15)) (portRef D (instanceRef ipu_data_i_15)) )) @@ -71964,7 +72042,7 @@ (portRef Q (instanceRef ipu_data_i_15)) (portRef (member ipu_data_i 16)) )) - (net N_5765 (joined + (net N_4413 (joined (portRef Z (instanceRef next_ipu_data_i_0_16)) (portRef D (instanceRef ipu_data_i_16)) )) @@ -71972,7 +72050,7 @@ (portRef Q (instanceRef ipu_data_i_16)) (portRef (member ipu_data_i 15)) )) - (net N_5766 (joined + (net N_4414 (joined (portRef Z (instanceRef next_ipu_data_i_0_17)) (portRef D (instanceRef ipu_data_i_17)) )) @@ -71980,7 +72058,7 @@ (portRef Q (instanceRef ipu_data_i_17)) (portRef (member ipu_data_i 14)) )) - (net N_4407 (joined + (net N_4415 (joined (portRef Z (instanceRef next_ipu_data_i_0_18)) (portRef D (instanceRef ipu_data_i_18)) )) @@ -71988,7 +72066,7 @@ (portRef Q (instanceRef ipu_data_i_18)) (portRef (member ipu_data_i 13)) )) - (net N_4408 (joined + (net N_4416 (joined (portRef Z (instanceRef next_ipu_data_i_0_19)) (portRef D (instanceRef ipu_data_i_19)) )) @@ -71996,7 +72074,7 @@ (portRef Q (instanceRef ipu_data_i_19)) (portRef (member ipu_data_i 12)) )) - (net N_4409 (joined + (net N_4417 (joined (portRef Z (instanceRef next_ipu_data_i_0_20)) (portRef D (instanceRef ipu_data_i_20)) )) @@ -72004,7 +72082,7 @@ (portRef Q (instanceRef ipu_data_i_20)) (portRef (member ipu_data_i 11)) )) - (net N_4410 (joined + (net N_4418 (joined (portRef Z (instanceRef next_ipu_data_i_0_21)) (portRef D (instanceRef ipu_data_i_21)) )) @@ -72012,7 +72090,7 @@ (portRef Q (instanceRef ipu_data_i_21)) (portRef (member ipu_data_i 10)) )) - (net N_4411 (joined + (net N_4419 (joined (portRef Z (instanceRef next_ipu_data_i_0_22)) (portRef D (instanceRef ipu_data_i_22)) )) @@ -72020,7 +72098,7 @@ (portRef Q (instanceRef ipu_data_i_22)) (portRef (member ipu_data_i 9)) )) - (net N_4412 (joined + (net N_4420 (joined (portRef Z (instanceRef next_ipu_data_i_0_23)) (portRef D (instanceRef ipu_data_i_23)) )) @@ -72028,7 +72106,7 @@ (portRef Q (instanceRef ipu_data_i_23)) (portRef (member ipu_data_i 8)) )) - (net N_4413 (joined + (net N_4421 (joined (portRef Z (instanceRef next_ipu_data_i_0_24)) (portRef D (instanceRef ipu_data_i_24)) )) @@ -72036,7 +72114,7 @@ (portRef Q (instanceRef ipu_data_i_24)) (portRef (member ipu_data_i 7)) )) - (net N_4414 (joined + (net N_4422 (joined (portRef Z (instanceRef next_ipu_data_i_0_25)) (portRef D (instanceRef ipu_data_i_25)) )) @@ -72044,7 +72122,7 @@ (portRef Q (instanceRef ipu_data_i_25)) (portRef (member ipu_data_i 6)) )) - (net N_4415 (joined + (net N_4423 (joined (portRef Z (instanceRef next_ipu_data_i_0_26)) (portRef D (instanceRef ipu_data_i_26)) )) @@ -72052,7 +72130,7 @@ (portRef Q (instanceRef ipu_data_i_26)) (portRef (member ipu_data_i 5)) )) - (net N_4416 (joined + (net N_4424 (joined (portRef Z (instanceRef next_ipu_data_i_0_27)) (portRef D (instanceRef ipu_data_i_27)) )) @@ -72092,9 +72170,8 @@ (portRef Q (instanceRef ipu_data_i_31)) (portRef (member ipu_data_i 0)) )) - (net N_127_0 (joined - (portRef Z (instanceRef next_state_0_sqmuxa_0_o2)) - (portRef A (instanceRef current_state_srsts_i_3)) + (net hdr_fifo_readd_0 (joined + (portRef Z (instanceRef hdr_fifo_readd)) (portRef D (instanceRef hdr_fifo_read)) )) (net ipu_header_read (joined @@ -72106,6 +72183,12 @@ (portRef Z (instanceRef next_first_fifo_read_0_a2)) (portRef D (instanceRef first_fifo_read)) )) + (net first_fifo_read (joined + (portRef Q (instanceRef first_fifo_read)) + (portRef C (instanceRef next_dat_fifo_valid_read_RNO)) + (portRef C (instanceRef first_fifo_read_RNIS8RB)) + (portRef A (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0)) + )) (net m174_2_03_3_i_4 (joined (portRef Q (instanceRef error_sync)) (portRef A (instanceRef error_sync_fb)) @@ -72119,23 +72202,10 @@ (portRef Z (instanceRef error_lvl1_RNO)) (portRef D (instanceRef error_lvl1)) )) - (net m165_2_03_4_i_4 (joined - (portRef Q (instanceRef dat_fifo_valid_read)) - (portRef C (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef B (instanceRef ipu_dataready_i_RNO_0)) - (portRef B (instanceRef error_sync_fb)) - (portRef m165_2_03_4_i_4) - )) (net un32_next_state_0_i (joined - (portRef Z (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) - (portRef D (instanceRef current_state_1)) + (portRef Z (instanceRef dat_fifo_select_RNO_0)) (portRef D (instanceRef dat_fifo_select_0)) )) - (net dat_fifo_select_0 (joined - (portRef Q (instanceRef dat_fifo_select_0)) - (portRef A (instanceRef dat_fifo_read_length_0e_0_i)) - (portRef B (instanceRef DAT_DATA_READ_OUT_0_0)) - )) (net dat_fifo_read_length_0_lm_0 (joined (portRef Z (instanceRef dat_fifo_read_length_0_lm_0_0)) (portRef D (instanceRef dat_fifo_read_length_0_0)) @@ -72219,123 +72289,101 @@ (portRef Z (instanceRef dat_fifo_read_length_0_lm_0_15)) (portRef D (instanceRef dat_fifo_read_length_0_15)) )) - (net N_110_0 (joined - (portRef Z (instanceRef current_state_srsts_i_0_0)) + (net current_statec_0_i (joined + (portRef Z (instanceRef current_state_RNO_0)) (portRef D (instanceRef current_state_0)) )) - (net reset_ipu_i_0_i_iso (joined - (portRef reset_ipu_i_0_i_iso) + (net common_ctrl_reg_2 (joined + (portRef (member common_ctrl_reg 0)) + (portRef B (instanceRef suppress_outputce)) + (portRef C (instanceRef current_state_srsts_0_a2_0_0_1)) (portRef CD (instanceRef current_state_3)) - (portRef CD (instanceRef current_state_2)) - (portRef CD (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef CD (instanceRef hdr_fifo_read)) + (portRef B (instanceRef current_state_RNI5CU71_2)) )) (net current_state_0 (joined (portRef Q (instanceRef current_state_0)) - (portRef B (instanceRef current_state_srsts_i_0_0_0)) - (portRef A (instanceRef current_state_RNO_0_4)) + (portRef B (instanceRef current_state_RNO_0)) + (portRef A (instanceRef current_statec_0_0_0)) + (portRef A (instanceRef current_state_nss_0_i_RNO_0)) + (portRef A (instanceRef un1_current_state_2_i_a2_0)) (portRef D (instanceRef ipu_finished_i)) (portRef current_state_0) )) - (net current_state_1 (joined - (portRef Q (instanceRef current_state_1)) - (portRef B (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) - (portRef C (instanceRef current_state_srsts_i_0_0_0)) - (portRef A (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef B (instanceRef current_state_srsts_i_a0_1_0)) - (portRef A (instanceRef next_ipu_data_i_0_10)) - (portRef A (instanceRef next_ipu_data_i_0_11)) - (portRef A (instanceRef next_ipu_data_i_0_12)) - (portRef A (instanceRef next_ipu_data_i_0_14)) - (portRef A (instanceRef next_ipu_data_i_0_18)) - (portRef A (instanceRef next_ipu_data_i_0_19)) - (portRef A (instanceRef next_ipu_data_i_0_20)) - (portRef A (instanceRef next_ipu_data_i_0_21)) - (portRef A (instanceRef next_ipu_data_i_0_22)) - (portRef A (instanceRef next_ipu_data_i_0_23)) - (portRef A (instanceRef next_ipu_data_i_0_24)) - (portRef A (instanceRef next_ipu_data_i_0_25)) - (portRef A (instanceRef next_ipu_data_i_0_27)) - (portRef A (instanceRef next_ipu_data_i_0_1)) - (portRef A (instanceRef next_ipu_data_i_0_2)) - (portRef A (instanceRef next_ipu_data_i_0_3)) - (portRef A (instanceRef next_ipu_data_i_0_4)) - (portRef A (instanceRef next_ipu_data_i_0_6)) - (portRef A (instanceRef next_ipu_data_i_0_7)) - (portRef A (instanceRef next_ipu_data_i_0_17)) - (portRef A (instanceRef next_ipu_data_i_0_16)) - (portRef A (instanceRef next_ipu_data_i_0_15)) - (portRef A (instanceRef next_ipu_data_i_0_13)) - (portRef A (instanceRef next_ipu_data_i_0_9)) - (portRef A (instanceRef next_ipu_data_i_0_5)) - (portRef A (instanceRef next_ipu_data_i_0_26)) - (portRef A (instanceRef next_ipu_data_i_0_0)) - (portRef A (instanceRef next_ipu_data_i_0_8)) - (portRef A (instanceRef current_state_RNIHMBK_2)) - (portRef A (instanceRef ipu_data_i_RNO_31)) - (portRef A (instanceRef ipu_data_i_RNO_30)) - (portRef A (instanceRef ipu_data_i_RNO_29)) - (portRef A (instanceRef ipu_data_i_RNO_28)) - (portRef D (instanceRef current_state_RNI8SU71_2)) - (portRef current_state_1) + (net current_state_nss_3 (joined + (portRef Z (instanceRef current_state_srsts_0_a2_0_1)) + (portRef D (instanceRef current_state_1)) )) (net N_113_0 (joined - (portRef Z (instanceRef current_state_srsts_i_0_2)) + (portRef Z (instanceRef current_state_srsts_i_1_2)) (portRef D (instanceRef current_state_2)) )) - (net m161_2_03 (joined - (portRef Q (instanceRef current_state_2)) - (portRef D (instanceRef current_state_srsts_i_0_2)) - (portRef C (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) - (portRef C (instanceRef next_first_fifo_read_0_a2)) - (portRef D (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) - (portRef C (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef B (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef B (instanceRef IPU_DATAREADY_OUT)) - (portRef A (instanceRef ipu_dataready_i_RNO_0)) - (portRef B (instanceRef current_state_RNIHMBK_2)) - (portRef C (instanceRef current_state_RNI8SU71_2)) - (portRef m161_2_03) - )) - (net N_115_0 (joined - (portRef Z (instanceRef current_state_srsts_i_3)) + (net current_statec_i (joined + (portRef Z (instanceRef current_state_RNO_3)) (portRef D (instanceRef current_state_3)) )) (net current_state_3 (joined (portRef Q (instanceRef current_state_3)) (portRef D (instanceRef suppress_outputce)) - (portRef B (instanceRef current_state_RNO_4)) - (portRef B (instanceRef current_state_srsts_i_3)) + (portRef C (instanceRef current_state_srsts_i_a0_2)) + (portRef B (instanceRef current_state_nss_0_i_0)) + (portRef A (instanceRef current_state_srsts_i_i_a3_3)) (portRef A (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) - (portRef B (instanceRef current_state_srsts_i_a0_1_2)) - (portRef A (instanceRef current_state_srsts_i_1_tz_2)) + (portRef A (instanceRef current_state_srsts_i_1_0_tz_2)) )) - (net common_ctrl_reg_2 (joined - (portRef (member common_ctrl_reg 0)) - (portRef B (instanceRef suppress_outputce)) + (net current_state_nss_0_i_0 (joined + (portRef Z (instanceRef current_state_nss_0_i_0)) (portRef D (instanceRef current_state_4)) - (portRef B (instanceRef current_state_RNI8SU71_2)) - )) - (net current_states_i (joined - (portRef Z (instanceRef current_state_RNO_4)) - (portRef PD (instanceRef current_state_4)) )) (net current_state_4 (joined (portRef Q (instanceRef current_state_4)) - (portRef C (instanceRef current_state_srsts_i_3)) + (portRef A (instanceRef hdr_fifo_readd)) + (portRef B (instanceRef current_state_RNO_3)) + (portRef B (instanceRef current_state_srsts_i_i_a3_3)) (portRef B (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) - (portRef A (instanceRef next_state_0_sqmuxa_0_o2)) (portRef CD (instanceRef error_sync)) (portRef current_state_4) )) + (net ipu_data_29 (joined + (portRef (member ipu_data 2)) + (portRef B (instanceRef ipu_data_i_RNO_29)) + )) (net ipu_data_28 (joined (portRef (member ipu_data 3)) (portRef B (instanceRef ipu_data_i_RNO_28)) )) - (net ipu_length_i_9 (joined - (portRef Z (instanceRef IPU_LENGTH_OUT_9)) - (portRef (member ipu_length_i 6)) + (net next_ipu_data_i_sn_N_2 (joined + (portRef Z (instanceRef current_state_nss_0_i_RNO_0_0)) + (portRef C (instanceRef current_state_nss_0_i_0)) + )) + (net ipu_data_30 (joined + (portRef (member ipu_data 1)) + (portRef B (instanceRef ipu_data_i_RNO_30)) + )) + (net ipu_data_31 (joined + (portRef (member ipu_data 0)) + (portRef B (instanceRef ipu_data_i_RNO_31)) + )) + (net suppress_output (joined + (portRef Q (instanceRef suppress_output)) + (portRef A (instanceRef IPU_DATAREADY_OUT)) + (portRef A (instanceRef IPU_LENGTH_OUT_0)) + (portRef A (instanceRef IPU_LENGTH_OUT_1)) + (portRef A (instanceRef IPU_LENGTH_OUT_2)) + (portRef A (instanceRef IPU_LENGTH_OUT_3)) + (portRef A (instanceRef IPU_LENGTH_OUT_4)) + (portRef A (instanceRef IPU_LENGTH_OUT_5)) + (portRef A (instanceRef IPU_LENGTH_OUT_6)) + (portRef A (instanceRef IPU_LENGTH_OUT_7)) + (portRef A (instanceRef IPU_LENGTH_OUT_8)) + (portRef A (instanceRef IPU_LENGTH_OUT_9)) + (portRef A (instanceRef IPU_LENGTH_OUT_10)) + (portRef A (instanceRef IPU_LENGTH_OUT_11)) + (portRef A (instanceRef IPU_LENGTH_OUT_12)) + (portRef A (instanceRef IPU_LENGTH_OUT_13)) + (portRef A (instanceRef IPU_LENGTH_OUT_14)) + (portRef A (instanceRef IPU_LENGTH_OUT_15)) + (portRef suppress_output) )) (net ipu_length_i_15 (joined (portRef Z (instanceRef IPU_LENGTH_OUT_15)) @@ -72361,6 +72409,10 @@ (portRef Z (instanceRef IPU_LENGTH_OUT_10)) (portRef (member ipu_length_i 5)) )) + (net ipu_length_i_9 (joined + (portRef Z (instanceRef IPU_LENGTH_OUT_9)) + (portRef (member ipu_length_i 6)) + )) (net ipu_length_i_8 (joined (portRef Z (instanceRef IPU_LENGTH_OUT_8)) (portRef (member ipu_length_i 7)) @@ -72397,104 +72449,32 @@ (portRef Z (instanceRef IPU_LENGTH_OUT_0)) (portRef (member ipu_length_i 15)) )) - (net ipu_data_29 (joined - (portRef (member ipu_data 2)) - (portRef B (instanceRef ipu_data_i_RNO_29)) - )) - (net ipu_data_30 (joined - (portRef (member ipu_data 1)) - (portRef B (instanceRef ipu_data_i_RNO_30)) - )) - (net ipu_data_31 (joined - (portRef (member ipu_data 0)) - (portRef B (instanceRef ipu_data_i_RNO_31)) - )) - (net d_N_5_1 (joined - (portRef Z (instanceRef current_state_RNIHMBK_2)) - (portRef C (instanceRef ipu_dataready_i_RNO)) - (portRef C (instanceRef current_state_RNO_4)) + (net N_132 (joined + (portRef Z (instanceRef un1_current_state_2_i_a2_0)) + (portRef N_132) )) (net N_98_0 (joined - (portRef Z (instanceRef current_state_srsts_i_1_tz_2)) - (portRef A (instanceRef current_state_srsts_i_0_2)) + (portRef Z (instanceRef current_state_srsts_i_1_0_tz_2)) + (portRef B (instanceRef current_state_srsts_i_1_2)) (portRef A (instanceRef error_lvl1_RNO)) (portRef A (instanceRef error_missing_RNO)) )) - (net ipu_data_8 (joined - (portRef (member ipu_data 23)) - (portRef B (instanceRef next_ipu_data_i_0_8)) + (net un27_status_ipu_handler_i_m2_0_a2_0 (joined + (portRef Z (instanceRef next_ipu_dataready_i_iv_a0_0)) + (portRef D (instanceRef next_dat_fifo_valid_read_RNO)) )) - (net ipu_header_8 (joined - (portRef (member ipu_header 22)) - (portRef C (instanceRef next_ipu_data_i_0_8)) + (net current_state_srsts_0_a2_a0_0_1 (joined + (portRef Z (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_a2_m1_e_0)) + (portRef D (instanceRef dat_fifo_select_RNO_0)) + (portRef C (instanceRef current_statec_0_0_0)) )) (net m176_2_03_2_i_4 (joined (portRef m176_2_03_2_i_4) (portRef C (instanceRef next_ipu_data_i_0_0)) )) - (net ipu_data_26 (joined - (portRef (member ipu_data 5)) - (portRef B (instanceRef next_ipu_data_i_0_26)) - )) - (net ipu_header_26 (joined - (portRef (member ipu_header 4)) - (portRef C (instanceRef next_ipu_data_i_0_26)) - )) - (net ipu_header_5 (joined - (portRef (member ipu_header 25)) - (portRef B (instanceRef next_ipu_data_i_0_5)) - )) - (net m181_2_03_1_i_4 (joined - (portRef m181_2_03_1_i_4) - (portRef C (instanceRef next_ipu_data_i_0_5)) - )) - (net ipu_data_9 (joined - (portRef (member ipu_data 22)) - (portRef B (instanceRef next_ipu_data_i_0_9)) - )) - (net ipu_header_9 (joined - (portRef (member ipu_header 21)) - (portRef C (instanceRef next_ipu_data_i_0_9)) - )) - (net ipu_data_13 (joined - (portRef (member ipu_data 18)) - (portRef B (instanceRef next_ipu_data_i_0_13)) - )) - (net ipu_header_13 (joined - (portRef (member ipu_header 17)) - (portRef C (instanceRef next_ipu_data_i_0_13)) - )) - (net ipu_data_15 (joined - (portRef (member ipu_data 16)) - (portRef B (instanceRef next_ipu_data_i_0_15)) - )) - (net ipu_header_15 (joined - (portRef (member ipu_header 15)) - (portRef C (instanceRef next_ipu_data_i_0_15)) - )) - (net ipu_data_16 (joined - (portRef (member ipu_data 15)) - (portRef B (instanceRef next_ipu_data_i_0_16)) - )) - (net ipu_header_16 (joined - (portRef (member ipu_header 14)) - (portRef C (instanceRef next_ipu_data_i_0_16)) - )) - (net ipu_data_17 (joined - (portRef (member ipu_data 14)) - (portRef B (instanceRef next_ipu_data_i_0_17)) - )) - (net ipu_header_17 (joined - (portRef (member ipu_header 13)) - (portRef C (instanceRef next_ipu_data_i_0_17)) - )) - (net ipu_header_7 (joined - (portRef (member ipu_header 23)) - (portRef B (instanceRef next_ipu_data_i_0_7)) - )) - (net m183_2_03_1_i_4 (joined - (portRef m183_2_03_1_i_4) - (portRef C (instanceRef next_ipu_data_i_0_7)) + (net m177_2_03_2_i_4 (joined + (portRef m177_2_03_2_i_4) + (portRef C (instanceRef next_ipu_data_i_0_1)) )) (net ipu_header_6 (joined (portRef (member ipu_header 24)) @@ -72504,25 +72484,21 @@ (portRef m182_2_03_1_i_4) (portRef C (instanceRef next_ipu_data_i_0_6)) )) - (net ipu_header_4 (joined - (portRef (member ipu_header 26)) - (portRef B (instanceRef next_ipu_data_i_0_4)) - )) - (net m180_2_03_1_i_4 (joined - (portRef m180_2_03_1_i_4) - (portRef C (instanceRef next_ipu_data_i_0_4)) + (net ipu_data_21 (joined + (portRef (member ipu_data 10)) + (portRef B (instanceRef next_ipu_data_i_0_21)) )) - (net m179_2_03_2_i_4 (joined - (portRef m179_2_03_2_i_4) - (portRef C (instanceRef next_ipu_data_i_0_3)) + (net ipu_header_21 (joined + (portRef (member ipu_header 9)) + (portRef C (instanceRef next_ipu_data_i_0_21)) )) - (net m178_2_03_2_i_4 (joined - (portRef m178_2_03_2_i_4) - (portRef C (instanceRef next_ipu_data_i_0_2)) + (net ipu_data_24 (joined + (portRef (member ipu_data 7)) + (portRef B (instanceRef next_ipu_data_i_0_24)) )) - (net m177_2_03_2_i_4 (joined - (portRef m177_2_03_2_i_4) - (portRef C (instanceRef next_ipu_data_i_0_1)) + (net ipu_header_24 (joined + (portRef (member ipu_header 6)) + (portRef C (instanceRef next_ipu_data_i_0_24)) )) (net ipu_data_27 (joined (portRef (member ipu_data 4)) @@ -72532,6 +72508,22 @@ (portRef (member ipu_header 3)) (portRef C (instanceRef next_ipu_data_i_0_27)) )) + (net ipu_header_7 (joined + (portRef (member ipu_header 23)) + (portRef B (instanceRef next_ipu_data_i_0_7)) + )) + (net m183_2_03_1_i_4 (joined + (portRef m183_2_03_1_i_4) + (portRef C (instanceRef next_ipu_data_i_0_7)) + )) + (net ipu_data_26 (joined + (portRef (member ipu_data 5)) + (portRef B (instanceRef next_ipu_data_i_0_26)) + )) + (net ipu_header_26 (joined + (portRef (member ipu_header 4)) + (portRef C (instanceRef next_ipu_data_i_0_26)) + )) (net ipu_data_25 (joined (portRef (member ipu_data 6)) (portRef B (instanceRef next_ipu_data_i_0_25)) @@ -72540,14 +72532,6 @@ (portRef (member ipu_header 5)) (portRef C (instanceRef next_ipu_data_i_0_25)) )) - (net ipu_data_24 (joined - (portRef (member ipu_data 7)) - (portRef B (instanceRef next_ipu_data_i_0_24)) - )) - (net ipu_header_24 (joined - (portRef (member ipu_header 6)) - (portRef C (instanceRef next_ipu_data_i_0_24)) - )) (net ipu_data_23 (joined (portRef (member ipu_data 8)) (portRef B (instanceRef next_ipu_data_i_0_23)) @@ -72564,14 +72548,6 @@ (portRef (member ipu_header 8)) (portRef C (instanceRef next_ipu_data_i_0_22)) )) - (net ipu_data_21 (joined - (portRef (member ipu_data 10)) - (portRef B (instanceRef next_ipu_data_i_0_21)) - )) - (net ipu_header_21 (joined - (portRef (member ipu_header 9)) - (portRef C (instanceRef next_ipu_data_i_0_21)) - )) (net ipu_data_20 (joined (portRef (member ipu_data 11)) (portRef B (instanceRef next_ipu_data_i_0_20)) @@ -72596,6 +72572,30 @@ (portRef (member ipu_header 12)) (portRef C (instanceRef next_ipu_data_i_0_18)) )) + (net ipu_data_17 (joined + (portRef (member ipu_data 14)) + (portRef B (instanceRef next_ipu_data_i_0_17)) + )) + (net ipu_header_17 (joined + (portRef (member ipu_header 13)) + (portRef C (instanceRef next_ipu_data_i_0_17)) + )) + (net ipu_data_16 (joined + (portRef (member ipu_data 15)) + (portRef B (instanceRef next_ipu_data_i_0_16)) + )) + (net ipu_header_16 (joined + (portRef (member ipu_header 14)) + (portRef C (instanceRef next_ipu_data_i_0_16)) + )) + (net ipu_data_15 (joined + (portRef (member ipu_data 16)) + (portRef B (instanceRef next_ipu_data_i_0_15)) + )) + (net ipu_header_15 (joined + (portRef (member ipu_header 15)) + (portRef C (instanceRef next_ipu_data_i_0_15)) + )) (net ipu_data_14 (joined (portRef (member ipu_data 17)) (portRef B (instanceRef next_ipu_data_i_0_14)) @@ -72604,6 +72604,14 @@ (portRef (member ipu_header 16)) (portRef C (instanceRef next_ipu_data_i_0_14)) )) + (net ipu_data_13 (joined + (portRef (member ipu_data 18)) + (portRef B (instanceRef next_ipu_data_i_0_13)) + )) + (net ipu_header_13 (joined + (portRef (member ipu_header 17)) + (portRef C (instanceRef next_ipu_data_i_0_13)) + )) (net ipu_data_12 (joined (portRef (member ipu_data 19)) (portRef B (instanceRef next_ipu_data_i_0_12)) @@ -72628,6 +72636,46 @@ (portRef (member ipu_header 20)) (portRef C (instanceRef next_ipu_data_i_0_10)) )) + (net ipu_data_9 (joined + (portRef (member ipu_data 22)) + (portRef B (instanceRef next_ipu_data_i_0_9)) + )) + (net ipu_header_9 (joined + (portRef (member ipu_header 21)) + (portRef C (instanceRef next_ipu_data_i_0_9)) + )) + (net ipu_data_8 (joined + (portRef (member ipu_data 23)) + (portRef B (instanceRef next_ipu_data_i_0_8)) + )) + (net ipu_header_8 (joined + (portRef (member ipu_header 22)) + (portRef C (instanceRef next_ipu_data_i_0_8)) + )) + (net ipu_header_5 (joined + (portRef (member ipu_header 25)) + (portRef B (instanceRef next_ipu_data_i_0_5)) + )) + (net m181_2_03_1_i_4 (joined + (portRef m181_2_03_1_i_4) + (portRef C (instanceRef next_ipu_data_i_0_5)) + )) + (net ipu_header_4 (joined + (portRef (member ipu_header 26)) + (portRef B (instanceRef next_ipu_data_i_0_4)) + )) + (net m180_2_03_1_i_4 (joined + (portRef m180_2_03_1_i_4) + (portRef C (instanceRef next_ipu_data_i_0_4)) + )) + (net m179_2_03_2_i_4 (joined + (portRef m179_2_03_2_i_4) + (portRef C (instanceRef next_ipu_data_i_0_3)) + )) + (net m178_2_03_2_i_4 (joined + (portRef m178_2_03_2_i_4) + (portRef C (instanceRef next_ipu_data_i_0_2)) + )) (net dat_fifo_read_length_0_s_0 (joined (portRef S1 (instanceRef dat_fifo_read_length_0_cry_0_0)) (portRef A (instanceRef dat_fifo_read_length_0_lm_0_0)) @@ -72758,49 +72806,32 @@ )) (net ipu_header_empty (joined (portRef ipu_header_empty) - (portRef B (instanceRef current_state_RNO_0_4)) - (portRef B (instanceRef next_state_0_sqmuxa_0_o2)) + (portRef B (instanceRef hdr_fifo_readd)) + (portRef C (instanceRef current_state_srsts_i_i_a3_3)) + (portRef B (instanceRef current_state_nss_0_i_RNO_0)) (portRef CD (instanceRef next_hdr_fifo_valid_read)) )) - (net ipu_start_readout_i (joined - (portRef ipu_start_readout_i) - (portRef D (instanceRef current_state_srsts_i_0_0_0)) - (portRef D (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) - (portRef C (instanceRef current_state_RNO_0_4)) - (portRef C (instanceRef next_state_0_sqmuxa_0_o2)) - )) - (net N_5726_tz_tz (joined - (portRef Z (instanceRef current_state_RNO_0_4)) - (portRef A (instanceRef current_state_RNO_4)) - )) - (net m166_2_03_4_i_4 (joined - (portRef Q (instanceRef ipu_dataready_i)) - (portRef D (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) - (portRef D (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef D (instanceRef next_first_fifo_read_0_a2)) - (portRef D (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef D (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef C (instanceRef IPU_DATAREADY_OUT)) - (portRef C (instanceRef next_dat_fifo_valid_readc_0)) - (portRef C (instanceRef ipu_dataready_i_RNO_0)) - (portRef C (instanceRef current_state_srsts_i_a0_1_2)) - (portRef m166_2_03_4_i_4) + (net N_5707_tz_tz (joined + (portRef Z (instanceRef current_state_nss_0_i_RNO_0)) + (portRef A (instanceRef current_state_nss_0_i_0)) )) - (net current_state_srsts_i_a1_1_2 (joined - (portRef Z (instanceRef current_state_srsts_i_a0_1_2)) - (portRef C (instanceRef current_state_srsts_i_0_2)) + (net dat_fifo_select_0 (joined + (portRef Q (instanceRef dat_fifo_select_0)) + (portRef B (instanceRef PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1)) + (portRef A (instanceRef dat_fifo_read_length_0e_0_i)) + (portRef C (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0_0)) + (portRef B (instanceRef first_fifo_read_RNIS8RB)) + (portRef (member dat_fifo_select 0)) )) - (net waiting_word (joined - (portRef waiting_word) - (portRef B (instanceRef ipu_dataready_i_RNO)) - (portRef A (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef A (instanceRef next_dat_fifo_valid_readc_0)) - (portRef A (instanceRef current_state_srsts_i_a0_1_0)) - (portRef A (instanceRef current_state_srsts_i_a0_1_2)) + (net current_state_srsts_0_a2_0_tz_1 (joined + (portRef Z (instanceRef current_state_srsts_0_a2_1_tz_1)) + (portRef C (instanceRef dat_fifo_select_RNO_0)) + (portRef B (instanceRef next_first_fifo_read_0_a2)) + (portRef B (instanceRef current_state_srsts_0_a2_0_0_1)) )) - (net d_N_6_1 (joined - (portRef Z (instanceRef ipu_dataready_i_RNO_0)) - (portRef D (instanceRef ipu_dataready_i_RNO)) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0_0 (joined + (portRef Z (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0_0)) + (portRef C (instanceRef DAT_DATA_READ_OUT_1_0)) )) (net un1_dat_fifo_read_length_0_8 (joined (portRef Z (instanceRef gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0_8)) @@ -72818,117 +72849,116 @@ (portRef Z (instanceRef gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0_11)) (portRef D (instanceRef gen_fifo_read_0_PROC_DAT_FIFO_FINISHED_op_eq_un1_dat_fifo_read_length_0)) )) - (net ipu_header_2 (joined - (portRef (member ipu_header 28)) + (net ipu_header_0 (joined + (portRef (member ipu_header 30)) (portRef A (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_0)) - (portRef B (instanceRef next_ipu_data_i_0_2)) + (portRef B (instanceRef next_ipu_data_i_0_0)) )) - (net ipu_header_3 (joined - (portRef (member ipu_header 27)) + (net ipu_header_1 (joined + (portRef (member ipu_header 29)) (portRef B (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_0)) - (portRef B (instanceRef next_ipu_data_i_0_3)) + (portRef B (instanceRef next_ipu_data_i_0_1)) )) - (net m170_2_03_4_i_4 (joined - (portRef m170_2_03_4_i_4) + (net m168_2_03_4_i_4 (joined + (portRef m168_2_03_4_i_4) (portRef C (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_0)) )) - (net m171_2_03_4_i_4 (joined - (portRef m171_2_03_4_i_4) + (net m169_2_03_4_i_4 (joined + (portRef m169_2_03_4_i_4) (portRef D (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_0)) )) - (net ipu_header_0 (joined - (portRef (member ipu_header 30)) + (net ipu_header_2 (joined + (portRef (member ipu_header 28)) (portRef A (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) - (portRef B (instanceRef next_ipu_data_i_0_0)) + (portRef B (instanceRef next_ipu_data_i_0_2)) )) - (net ipu_header_1 (joined - (portRef (member ipu_header 29)) + (net ipu_header_3 (joined + (portRef (member ipu_header 27)) (portRef B (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) - (portRef B (instanceRef next_ipu_data_i_0_1)) + (portRef B (instanceRef next_ipu_data_i_0_3)) )) - (net m168_2_03_4_i_4 (joined - (portRef m168_2_03_4_i_4) + (net m170_2_03_4_i_4 (joined + (portRef m170_2_03_4_i_4) (portRef C (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) )) - (net m169_2_03_4_i_4 (joined - (portRef m169_2_03_4_i_4) + (net m171_2_03_4_i_4 (joined + (portRef m171_2_03_4_i_4) (portRef D (instanceRef PROC_COMPARE_NUMBER_un23_dat_fifo_valid_read_NE_1)) )) - (net first_fifo_read (joined - (portRef Q (instanceRef first_fifo_read)) - (portRef C (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef B (instanceRef next_dat_fifo_valid_readc)) - (portRef C (instanceRef next_dat_fifo_valid_readc_2)) - (portRef B (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) - (portRef B (instanceRef next_dat_fifo_valid_readc_0)) - (portRef first_fifo_read) - )) - (net next_dat_fifo_valid_readc_0 (joined - (portRef Z (instanceRef next_dat_fifo_valid_readc_0)) - (portRef D (instanceRef next_dat_fifo_valid_readc_2)) - )) - (net suppress_output_fast (joined - (portRef Q (instanceRef suppress_output_fast)) - (portRef A (instanceRef IPU_DATAREADY_OUT)) - (portRef suppress_output_fast) + (net next_ipu_dataready_i_iv_a1_1 (joined + (portRef Z (instanceRef next_ipu_dataready_i_iv_a1_1)) + (portRef D (instanceRef ipu_dataready_i_RNO)) )) (net ipu_dataready_i (joined (portRef Z (instanceRef IPU_DATAREADY_OUT)) (portRef ipu_dataready_i) )) - (net next_ipu_dataready_i_iv_m6_i_0 (joined - (portRef Z (instanceRef next_ipu_dataready_i_iv_m6_i_0)) - (portRef C (instanceRef next_ipu_dataready_i_iv_m6_i)) + (net ipu_start_readout_i (joined + (portRef ipu_start_readout_i) + (portRef D (instanceRef hdr_fifo_readd)) + (portRef D (instanceRef current_statec_0_0_0)) + (portRef D (instanceRef current_state_srsts_i_i_a3_3)) + (portRef D (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) + (portRef C (instanceRef current_state_nss_0_i_RNO_0)) )) (net un1_next_suppress_output_0_sqmuxa_1_0 (joined (portRef Z (instanceRef un1_next_suppress_output_0_sqmuxa_1_0)) (portRef B (instanceRef error_lvl1_RNO)) (portRef B (instanceRef error_missing_RNO)) )) - (net un32_next_state_0_o2_m6_i_0 (joined - (portRef Z (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_0)) - (portRef C (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) + (net N_6569 (joined + (portRef Z (instanceRef current_state_srsts_i_i_a3_3)) + (portRef A (instanceRef current_state_RNO_3)) + )) + (net current_statec_0_0_0 (joined + (portRef Z (instanceRef current_statec_0_0_0)) + (portRef C (instanceRef current_state_RNO_0)) )) - (net DAT_DATA_READ_OUT_0_0 (joined - (portRef Z (instanceRef DAT_DATA_READ_OUT_0_0)) - (portRef B (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef B (instanceRef next_dat_fifo_valid_readc_2)) - (portRef A (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) - (portRef (member dat_data_read_out_0 0)) + (net current_state_srsts_0_a2_0_0_1 (joined + (portRef Z (instanceRef current_state_srsts_0_a2_0_0_1)) + (portRef C (instanceRef current_state_srsts_0_a2_0_1)) )) (net port_select_int_0 (joined (portRef (member port_select_int 0)) - (portRef C (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) + (portRef A (instanceRef current_state_RNI2UQ91_2)) )) - (net DAT_DATA_READ_OUT_0_RNIOA5M_0 (joined - (portRef Z (instanceRef DAT_DATA_READ_OUT_0_RNIOA5M_0)) - (portRef D (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) + (net m6_6_03_1_1 (joined + (portRef m6_6_03_1_1) + (portRef C (instanceRef current_state_RNI2UQ91_2)) )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef D (instanceRef next_ipu_dataready_i_iv_m6_i)) + (net first_fifo_read_RNIS8RB (joined + (portRef Z (instanceRef first_fifo_read_RNIS8RB)) + (portRef D (instanceRef DAT_DATA_READ_OUT_1_0)) + (portRef D (instanceRef current_state_RNI2UQ91_2)) + (portRef first_fifo_read_RNIS8RB) )) - (net next_ipu_dataready_i_iv_m6_i (joined - (portRef Z (instanceRef next_ipu_dataready_i_iv_m6_i)) - (portRef A (instanceRef ipu_dataready_i_RNO)) + (net d_N_6 (joined + (portRef Z (instanceRef current_state_RNI2UQ91_2)) + (portRef d_N_6) )) - (net N_4877 (joined - (portRef N_4877) - (portRef A (instanceRef next_dat_fifo_valid_readc)) - (portRef A (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) - (portRef A (instanceRef next_ipu_dataready_i_iv_m6_i)) + (net current_state_srsts_i_a0_2 (joined + (portRef Z (instanceRef current_state_srsts_i_a0_2)) + (portRef A (instanceRef current_state_srsts_i_1_2)) )) - (net un32_next_state_0_o2_N_7 (joined - (portRef Z (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) - (portRef A (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i_RNIQE831)) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1) + (portRef A (instanceRef next_dat_fifo_valid_read_RNO)) + (portRef A (instanceRef ipu_dataready_i_RNO)) + (portRef A (instanceRef dat_fifo_select_RNO_0)) + (portRef A (instanceRef current_state_srsts_0_a2_0_1)) + (portRef A (instanceRef current_state_RNO_0)) + (portRef A (instanceRef next_first_fifo_read_0_a2)) + (portRef A (instanceRef DAT_DATA_READ_OUT_1_0)) + (portRef B (instanceRef current_state_srsts_i_a0_2)) )) - (net current_state_srsts_i_0_0_0 (joined - (portRef Z (instanceRef current_state_srsts_i_0_0_0)) - (portRef B (instanceRef current_state_srsts_i_0_0)) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0 (joined + (portRef Z (instanceRef DAT_DATA_READ_OUT_0_m3_0_a2_0)) + (portRef B (instanceRef DAT_DATA_READ_OUT_1_0)) + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0) )) - (net next_dat_fifo_valid_readc_2 (joined - (portRef Z (instanceRef next_dat_fifo_valid_readc_2)) - (portRef C (instanceRef next_dat_fifo_valid_readc)) + (net m129_2_03_4_i_4 (joined + (portRef Z (instanceRef DAT_DATA_READ_OUT_1_0)) + (portRef m129_2_03_4_i_4) )) (net ipu_header_30 (joined (portRef (member ipu_header 0)) @@ -72948,41 +72978,29 @@ (portRef D (instanceRef error_lvl1_RNO)) (portRef (member ipu_error_pattern_i 0)) )) - (net m1_6_03 (joined - (portRef m1_6_03) - (portRef A (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) - )) - (net m1_6_03_1_0_0 (joined - (portRef m1_6_03_1_0_0) - (portRef B (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) - )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1) - (portRef C (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) - )) - (net DAT_DATA_READ_OUT_0_RNIPO254_0 (joined - (portRef Z (instanceRef DAT_DATA_READ_OUT_0_RNIPO254_0)) - (portRef (member dat_data_read_out_0_rnipo254 0)) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) + (portRef C (instanceRef hdr_fifo_readd)) + (portRef C (instanceRef current_state_srsts_i_1_2)) + (portRef D (instanceRef current_state_nss_0_i_0)) )) (net reset_i_rep2 (joined (portRef reset_i_rep2) - (portRef D (instanceRef current_state_srsts_i_0_0)) - (portRef D (instanceRef next_dat_fifo_valid_readc)) - (portRef D (instanceRef current_state_RNO_4)) + (portRef D (instanceRef current_state_RNO_0)) + (portRef D (instanceRef current_state_RNO_3)) )) - (net waiting_word_RNIIPH92 (joined - (portRef waiting_word_RNIIPH92) - (portRef A (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef A (instanceRef next_first_fifo_read_0_a2)) + (net ipu_data_empty_0 (joined + (portRef (member ipu_data_empty 0)) + (portRef C (instanceRef PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1)) )) - (net m129_2_03_4_i_4 (joined - (portRef Z (instanceRef DAT_DATA_READ_OUT_0_RNI35LF2_0)) - (portRef m129_2_03_4_i_4) + (net next_dat_fifo_valid_read_1_0 (joined + (portRef Z (instanceRef PROC_DAT_FIFO_VALID_READ_next_dat_fifo_valid_read_1)) + (portRef B (instanceRef next_dat_fifo_valid_read_RNO)) )) - (net reset_i_fast_r8 (joined - (portRef reset_i_fast_r8) + (net reset_i_fast_r10 (joined + (portRef reset_i_fast_r10) (portRef A (instanceRef suppress_outputce)) - (portRef D (instanceRef PROC_DAT_FIFO_SELECT_un32_next_state_0_o2_m6_i)) + (portRef D (instanceRef current_state_srsts_0_a2_0_0_1)) )) (net dat_fifo_read_length_0_cry_0 (joined (portRef COUT (instanceRef dat_fifo_read_length_0_cry_0_0)) @@ -73097,41 +73115,46 @@ (port m178_2_03_2_i_4 (direction OUTPUT)) (port m177_2_03_2_i_4 (direction OUTPUT)) (port m176_2_03_2_i_4 (direction OUTPUT)) - (port reset_ipu_i_0_i (direction INPUT)) - (port reset_ipu_i_0_i_2 (direction INPUT)) - (port reset_ipu_i_0_i_1 (direction INPUT)) + (port reset_ipu_i_0_i_1_2 (direction INPUT)) + (port reset_ipu_i_0_i_1_1 (direction INPUT)) (port m129_2_03_4_i_4 (direction INPUT)) - (port reset_i_fast_r7 (direction INPUT)) - (port trg_spurious_trg_i (direction INPUT)) - (port int_lvl1_long_trg (direction INPUT)) - (port lvl1_valid_i_0 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) - (port trg_timeout_detected_i (direction INPUT)) + (port reset_i_fast_r10 (direction INPUT)) + (port N_6492 (direction INPUT)) + (port reset_ipu_i_0_i_1 (direction INPUT)) (port trg_spike_detected_i (direction INPUT)) - (port trg_multiple_trg_i (direction INPUT)) (port trg_missing_tmg_trg_i (direction INPUT)) + (port int_lvl1_long_trg (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port trg_data_valid_i (direction INPUT)) - (port m128_0_03_1_1 (direction OUTPUT)) + (port fee_data_finished_i (direction INPUT)) + (port N_277 (direction OUTPUT)) (port fee_data_write_i (direction INPUT)) (port ipu_header_empty (direction OUTPUT)) (port m142_4_03_1_i_4 (direction OUTPUT)) (port m141_2_03 (direction OUTPUT)) (port m140_2_03 (direction OUTPUT)) - (port reset_ipu_i_0_i_iso (direction INPUT)) + (port dat_lvl1_release (direction OUTPUT)) (port m138_2_03_2_i_4 (direction OUTPUT)) (port m137_2_03_2_i_4 (direction OUTPUT)) (port m136_2_03_2_i_4 (direction OUTPUT)) - (port reset_ipu_i_0 (direction INPUT)) + (port reset_ipu_i_0_i_1_i (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port fee_data_finished_i (direction INPUT)) - (port dat_lvl1_release (direction OUTPUT)) + (port reset_i_fast_r7 (direction INPUT)) + (port trg_multiple_trg_i (direction INPUT)) + (port trg_spurious_trg_i (direction INPUT)) + (port trg_timeout_detected_i (direction INPUT)) + (port trg_notiming_valid_i (direction INPUT)) + (port trg_timing_valid_i (direction INPUT)) + (port trg_invalid_i (direction INPUT)) ) (contents - (instance current_buffer_state_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C B+C (B+!A)))")) + (instance current_buffer_state_0_srsts_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance tmg_trg_error_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(B+A)))")) ) (instance lvl1_busy_release_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) @@ -73226,13 +73249,13 @@ ) (instance data_counter_0_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance current_lvl1_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_lvl1_state_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance current_lvl1_state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance current_buffer_state_0_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_buffer_state_0_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance current_buffer_state_0_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_buffer_state_0_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance buffer_state_bits_0_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -73324,41 +73347,50 @@ ) (instance STAT_DATA_BUFFER_LEVEL_1_27 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance data_counter_0_0_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance current_buffer_state_0_ns_0_i_s_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance current_buffer_state_0_s0_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance data_counter_0_0_sqmuxa_i_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance current_buffer_state_0_s0_0_a2_0_a2_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_buffer_state_0_ns_0_a3_1_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_buffer_state_0_ns_0_a3_1_a3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance current_lvl1_state_s0_0_a2_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_lvl1_state_s0_0_a2_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance proc_data_handler_busy_got_busy_release_5_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance current_lvl1_state_ns_0_0_0_a3_0_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance current_lvl1_state_ns_0_a2_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance current_lvl1_state_4_sqmuxa_0_a2_i_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance proc_data_handler_busy_got_busy_release_5_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) - (instance tmg_trg_error_i_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tmg_trg_error_isr_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance header_buffer_write_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance current_lvl1_statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(!B+!A)))")) + ) + (instance current_lvl1_state_ns_0_0_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) ) (instance data_counter_0e_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (C !A))")) + (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) ) - (instance current_buffer_state_0_ns_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)+C B)+D (!C (!B !A)))")) + (instance current_buffer_state_0_srsts_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B))")) ) - (instance tmg_trg_error_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B+A)))")) + (instance current_buffer_state_0_srsts_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance current_lvl1_state_ns_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A))+D (!C A))")) + (instance current_lvl1_state_srsts_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)))")) ) (instance gen_filllevel_flags_0_proc_filllevel_flag_op_ge_un1_data_buffer_filllevel_1lto12_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) @@ -73367,12 +73399,12 @@ (property lut_function (string "(!D (C B)+D (C (B A)))")) ) (instance got_busy_released_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) + (property lut_function (string "(!C (!B A)+C !B)")) ) (instance got_busy_released_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C !A)")) ) - (instance proc_data_handler_busy_un4_reset_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_data_handler_busy_un4_reset_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A))+D (B+A))")) ) (instance data_counter_0_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -73437,7 +73469,6 @@ ) (net data_counter_0 (joined (portRef Q (instanceRef current_buffer_state_0_0)) - (portRef data_counter_0 (instanceRef gen_fifos_0_THE_DAT_FIFO)) (portRef A0 (instanceRef data_counter_0_s_0_15)) (portRef A1 (instanceRef data_counter_0_cry_0_13)) (portRef A0 (instanceRef data_counter_0_cry_0_13)) @@ -73455,28 +73486,52 @@ (portRef A0 (instanceRef data_counter_0_cry_0_1)) (portRef A1 (instanceRef data_counter_0_cry_0_0)) (portRef B0 (instanceRef data_counter_0_cry_0_0)) - (portRef B (instanceRef current_buffer_state_0_ns_0_i_s_0)) (portRef B (instanceRef data_counter_0e_0_i)) - (portRef A (instanceRef current_buffer_state_0_ns_0_a3_1_a3_1)) - (portRef B (instanceRef current_buffer_state_0_s0_0_a2_0_a2)) - (portRef A (instanceRef data_counter_0_0_sqmuxa_i)) + (portRef A (instanceRef current_buffer_state_0_ns_0_a3_1_a3_0_a3_1)) + (portRef B (instanceRef current_buffer_state_0_s0_0_a2_0_a2_0_a3)) + (portRef A (instanceRef data_counter_0_0_sqmuxa_i_i_a3)) + (portRef B (instanceRef current_buffer_state_0_ns_0_i_s_0_a2_1)) (portRef D (instanceRef buffer_state_bits_0_1)) - (portRef B (instanceRef current_buffer_state_0_RNO_1)) + (portRef A (instanceRef current_buffer_state_0_srsts_RNO_0)) )) - (net current_buffer_state_0_1 (joined - (portRef Q (instanceRef current_buffer_state_0_1)) - (portRef A (instanceRef current_buffer_state_0_ns_0_i_s_0)) - (portRef A (instanceRef data_counter_0e_0_i)) - (portRef A (instanceRef current_buffer_state_0_s0_0_a2_0_a2)) - (portRef D (instanceRef buffer_state_bits_0_2)) - (portRef C (instanceRef current_buffer_state_0_RNO_1)) + (net trg_invalid_i (joined + (portRef trg_invalid_i) + (portRef B (instanceRef current_buffer_state_0_srsts_RNO_0)) )) - (net N_20 (joined - (portRef Z (instanceRef current_buffer_state_0_RNO_1)) - (portRef D (instanceRef current_buffer_state_0_1)) + (net trg_timing_valid_i (joined + (portRef trg_timing_valid_i) + (portRef C (instanceRef current_buffer_state_0_srsts_RNO_0)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) + (net trg_notiming_valid_i (joined + (portRef trg_notiming_valid_i) + (portRef D (instanceRef current_buffer_state_0_srsts_RNO_0)) + )) + (net N_6546 (joined + (portRef Z (instanceRef current_buffer_state_0_srsts_RNO_0)) + (portRef A (instanceRef current_buffer_state_0_srsts_0)) + )) + (net trg_timeout_detected_i (joined + (portRef trg_timeout_detected_i) + (portRef A (instanceRef tmg_trg_error_i_RNO)) + )) + (net trg_spurious_trg_i (joined + (portRef trg_spurious_trg_i) + (portRef B (instanceRef tmg_trg_error_i_RNO)) + )) + (net trg_multiple_trg_i (joined + (portRef trg_multiple_trg_i) + (portRef C (instanceRef tmg_trg_error_i_RNO)) + )) + (net tmg_trg_error_isr_2 (joined + (portRef Z (instanceRef tmg_trg_error_isr_2)) + (portRef D (instanceRef tmg_trg_error_i_RNO)) + )) + (net N_263711_0 (joined + (portRef Z (instanceRef tmg_trg_error_i_RNO)) + (portRef D (instanceRef tmg_trg_error_i)) + )) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) (portRef A (instanceRef lvl1_busy_release_i_RNO)) )) (net GND (joined @@ -73507,14 +73562,10 @@ (portRef C0 (instanceRef data_counter_0_cry_0_0)) (portRef A0 (instanceRef data_counter_0_cry_0_0)) )) - (net reset_ipu_i_0_i_0 (joined + (net reset_ipu_i_0_i_1_i_i (joined (portRef Z (instanceRef lvl1_busy_release_i_RNO)) (portRef CD (instanceRef lvl1_busy_release_i)) )) - (net tmg_trg_error_i_1_0_i (joined - (portRef Z (instanceRef tmg_trg_error_i_RNO)) - (portRef D (instanceRef tmg_trg_error_i)) - )) (net header_buffer_write (joined (portRef Q (instanceRef header_buffer_write)) (portRef header_buffer_write (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) @@ -73525,10 +73576,10 @@ (net tmg_trg_error_i (joined (portRef Q (instanceRef tmg_trg_error_i)) (portRef tmg_trg_error_i (instanceRef THE_HDR_FIFO)) - (portRef A (instanceRef tmg_trg_error_i_RNO)) + (portRef A (instanceRef tmg_trg_error_isr_2)) )) (net current_lvl1_state_d_2 (joined - (portRef Z (instanceRef current_lvl1_state_s0_0_a2_0_a3)) + (portRef Z (instanceRef current_lvl1_state_s0_0_a2_0_a3_0_a3)) (portRef D (instanceRef lvl1_state_bits_0)) )) (net clk_100_i_c (joined @@ -73657,15 +73708,15 @@ )) (net current_lvl1_state_0 (joined (portRef Q (instanceRef current_lvl1_state_0)) - (portRef D (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) - (portRef A (instanceRef current_lvl1_state_ns_0_i_0)) - (portRef A (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) - (portRef A (instanceRef current_lvl1_state_s0_0_a2_0_a3)) + (portRef D (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) + (portRef B (instanceRef current_lvl1_state_srsts_0)) + (portRef A (instanceRef current_lvl1_state_ns_0_0_0_0_0)) + (portRef A (instanceRef current_lvl1_state_s0_0_a2_0_a3_0_a3)) + (portRef D (instanceRef current_lvl1_state_1)) (portRef D (instanceRef lvl1_state_bits_1)) )) - (net reset_ipu_i_0 (joined - (portRef reset_ipu_i_0) - (portRef C (instanceRef data_counter_0e_0_i)) + (net reset_ipu_i_0_i_1_i (joined + (portRef reset_ipu_i_0_i_1_i) (portRef SP (instanceRef buffer_state_bits_0_2)) (portRef SP (instanceRef buffer_state_bits_0_1)) (portRef SP (instanceRef buffer_state_bits_0_0)) @@ -73694,20 +73745,17 @@ )) (net current_lvl1_state_1 (joined (portRef Q (instanceRef current_lvl1_state_1)) - (portRef C (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) - (portRef B (instanceRef current_lvl1_state_ns_0_i_0)) - (portRef A (instanceRef header_buffer_write_RNO)) - (portRef B (instanceRef current_lvl1_state_s0_0_a2_0_a3)) + (portRef C (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) + (portRef B (instanceRef current_lvl1_state_ns_0_0_0_0_0)) + (portRef A (instanceRef current_lvl1_state_4_sqmuxa_0_a2_i_i_a3)) + (portRef B (instanceRef current_lvl1_state_s0_0_a2_0_a3_0_a3)) (portRef D (instanceRef lvl1_busy_release_i)) (portRef D (instanceRef lvl1_state_bits_2)) )) - (net dat_lvl1_release (joined - (portRef Q (instanceRef lvl1_busy_release_i)) - (portRef A (instanceRef current_buffer_state_0_RNO_1)) - (portRef dat_lvl1_release) - )) (net N_88_0 (joined - (portRef Z (instanceRef current_buffer_state_0_ns_0_a3_1_a3_1)) + (portRef Z (instanceRef current_buffer_state_0_ns_0_a3_1_a3_0_a3_1)) + (portRef C (instanceRef current_buffer_state_0_srsts_0)) + (portRef B (instanceRef current_buffer_state_0_srsts_1)) (portRef D (instanceRef length_buffer_write_0)) )) (net length_buffer_write_0 (joined @@ -73866,8 +73914,8 @@ (portRef Q (instanceRef length_buffer_data_in_17)) (portRef (member length_buffer_data_in 0) (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) )) - (net N_10_i (joined - (portRef Z (instanceRef header_buffer_write_RNO)) + (net current_lvl1_state_4_sqmuxa_0_a2_i_i_a3 (joined + (portRef Z (instanceRef current_lvl1_state_4_sqmuxa_0_a2_i_i_a3)) (portRef D (instanceRef header_buffer_write)) )) (net got_busy_released_0_0 (joined @@ -73877,8 +73925,9 @@ (net got_busy_release_0 (joined (portRef Q (instanceRef got_busy_release_0)) (portRef B (instanceRef got_busy_released_0)) - (portRef B (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) - (portRef A (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) + (portRef A (instanceRef current_lvl1_statec)) + (portRef A (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) + (portRef A (instanceRef current_lvl1_state_ns_0_0_0_a3_0_1_0)) )) (net got_busy_released_0_1 (joined (portRef Z (instanceRef got_busy_released_1)) @@ -73887,7 +73936,8 @@ (net got_busy_release_1 (joined (portRef Q (instanceRef got_busy_release_1)) (portRef C (instanceRef got_busy_released_1)) - (portRef C (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) + (portRef D (instanceRef current_lvl1_state_ns_0_0_0_0_0)) + (portRef B (instanceRef current_lvl1_statec)) )) (net data_buffer_filllevel_12 (joined (portRef (member data_buffer_filllevel 1) (instanceRef gen_fifos_0_THE_DAT_FIFO)) @@ -73996,28 +74046,31 @@ (portRef S0 (instanceRef data_counter_0_s_0_15)) (portRef D (instanceRef data_counter_0_15)) )) - (net current_lvl1_state_ns_0_i_0 (joined - (portRef Z (instanceRef current_lvl1_state_ns_0_i_0)) + (net current_lvl1_state_nss_0 (joined + (portRef Z (instanceRef current_lvl1_state_srsts_0)) (portRef D (instanceRef current_lvl1_state_0)) )) - (net current_lvl1_state_ns_1 (joined - (portRef Z (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) - (portRef C (instanceRef current_lvl1_state_ns_0_i_0)) - (portRef D (instanceRef current_lvl1_state_1)) - )) - (net reset_ipu_i_0_i_iso (joined - (portRef reset_ipu_i_0_i_iso) - (portRef CD (instanceRef current_buffer_state_0_1)) - (portRef CD (instanceRef current_buffer_state_0_0)) + (net current_lvl1_statec_i (joined + (portRef Z (instanceRef current_lvl1_statec)) (portRef CD (instanceRef current_lvl1_state_1)) - (portRef CD (instanceRef current_lvl1_state_0)) )) - (net N_18 (joined - (portRef Z (instanceRef current_buffer_state_0_ns_0_i_s_0)) + (net current_buffer_state_0_nss_0 (joined + (portRef Z (instanceRef current_buffer_state_0_srsts_0)) (portRef D (instanceRef current_buffer_state_0_0)) )) + (net current_buffer_state_0_nss_1 (joined + (portRef Z (instanceRef current_buffer_state_0_srsts_1)) + (portRef D (instanceRef current_buffer_state_0_1)) + )) + (net current_buffer_state_0_1 (joined + (portRef Q (instanceRef current_buffer_state_0_1)) + (portRef A (instanceRef data_counter_0e_0_i)) + (portRef A (instanceRef current_buffer_state_0_s0_0_a2_0_a2_0_a3)) + (portRef A (instanceRef current_buffer_state_0_ns_0_i_s_0_a2_1)) + (portRef D (instanceRef buffer_state_bits_0_2)) + )) (net current_buffer_state_0_d_2 (joined - (portRef Z (instanceRef current_buffer_state_0_s0_0_a2_0_a2)) + (portRef Z (instanceRef current_buffer_state_0_s0_0_a2_0_a2_0_a3)) (portRef D (instanceRef buffer_state_bits_0_0)) )) (net header_buffer_filllevel_0 (joined @@ -74106,7 +74159,7 @@ )) (net header_buffer_almost_full (joined (portRef header_buffer_almost_full (instanceRef THE_HDR_FIFO)) - (portRef B (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) + (portRef B (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) (portRef D (instanceRef STAT_HEADER_BUFFER_LEVEL_1_17)) )) (net stat_header_buffer_level_17 (joined @@ -74268,7 +74321,7 @@ )) (net fee_almost_full_i (joined (portRef fee_almost_full_i (instanceRef gen_fifos_0_THE_DAT_FIFO)) - (portRef D (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) + (portRef D (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) (portRef D (instanceRef STAT_DATA_BUFFER_LEVEL_1_17)) )) (net stat_data_buffer_level_17 (joined @@ -74324,7 +74377,7 @@ )) (net length_buffer_almost_full_0 (joined (portRef (member length_buffer_almost_full 0) (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) - (portRef C (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) + (portRef C (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) (portRef D (instanceRef STAT_DATA_BUFFER_LEVEL_1_25)) )) (net stat_data_buffer_level_25 (joined @@ -74343,87 +74396,92 @@ (portRef Q (instanceRef STAT_DATA_BUFFER_LEVEL_1_27)) (portRef stat_data_buffer_level_27) )) + (net N_6639 (joined + (portRef Z (instanceRef current_buffer_state_0_ns_0_i_s_0_a2_1)) + (portRef B (instanceRef current_buffer_state_0_srsts_0)) + (portRef A (instanceRef current_buffer_state_0_srsts_1)) + )) (net fee_data_write_i (joined (portRef fee_data_write_i) - (portRef fee_data_write_i (instanceRef gen_fifos_0_THE_DAT_FIFO)) (portRef D (instanceRef data_counter_0e_0_i)) - (portRef B (instanceRef data_counter_0_0_sqmuxa_i)) + (portRef B (instanceRef data_counter_0_0_sqmuxa_i_i_a3)) (portRef D (instanceRef STAT_DATA_BUFFER_LEVEL_1_19)) )) - (net m128_0_03_1_1 (joined - (portRef Z (instanceRef data_counter_0_0_sqmuxa_i)) - (portRef m128_0_03_1_1) + (net current_lvl1_state_ns_0_0_0_a3_0_1_0 (joined + (portRef Z (instanceRef current_lvl1_state_ns_0_0_0_a3_0_1_0)) + (portRef C (instanceRef current_lvl1_state_ns_0_0_0_0_0)) )) - (net fee_data_finished_i (joined - (portRef fee_data_finished_i) - (portRef C (instanceRef got_busy_released_0)) - (portRef D (instanceRef current_buffer_state_0_ns_0_i_s_0)) - (portRef B (instanceRef current_buffer_state_0_ns_0_a3_1_a3_1)) - (portRef D (instanceRef current_buffer_state_0_RNO_1)) + (net common_ctrl_reg_2 (joined + (portRef (member common_ctrl_reg 0)) + (portRef B (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) + (portRef B (instanceRef current_lvl1_state_4_sqmuxa_0_a2_i_i_a3)) + (portRef B (instanceRef lvl1_busy_release_i_RNO)) )) - (net N_26 (joined - (portRef Z (instanceRef proc_data_handler_busy_got_busy_release_5_i_a3_1)) - (portRef B (instanceRef got_busy_released_1)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef C (instanceRef current_lvl1_state_4_sqmuxa_0_a2_i_i_a3)) )) - (net trg_data_valid_i (joined - (portRef trg_data_valid_i) - (portRef D (instanceRef current_lvl1_state_ns_0_a2_0_a3_1)) + (net N_6543 (joined + (portRef Z (instanceRef proc_data_handler_busy_got_busy_release_5_i_0_a3_1)) + (portRef A (instanceRef got_busy_released_1)) + )) + (net int_lvl1_long_trg (joined + (portRef int_lvl1_long_trg) + (portRef B (instanceRef tmg_trg_error_isr_2)) )) (net trg_missing_tmg_trg_i (joined (portRef trg_missing_tmg_trg_i) - (portRef A (instanceRef tmg_trg_error_i_1_3)) - )) - (net trg_multiple_trg_i (joined - (portRef trg_multiple_trg_i) - (portRef B (instanceRef tmg_trg_error_i_1_3)) + (portRef C (instanceRef tmg_trg_error_isr_2)) )) (net trg_spike_detected_i (joined (portRef trg_spike_detected_i) - (portRef C (instanceRef tmg_trg_error_i_1_3)) - )) - (net trg_timeout_detected_i (joined - (portRef trg_timeout_detected_i) - (portRef D (instanceRef tmg_trg_error_i_1_3)) - )) - (net tmg_trg_error_i_1_3 (joined - (portRef Z (instanceRef tmg_trg_error_i_1_3)) - (portRef B (instanceRef tmg_trg_error_i_RNO)) + (portRef D (instanceRef tmg_trg_error_isr_2)) )) - (net common_ctrl_reg_2 (joined - (portRef (member common_ctrl_reg 0)) - (portRef B (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) - (portRef B (instanceRef header_buffer_write_RNO)) - (portRef B (instanceRef lvl1_busy_release_i_RNO)) + (net trg_data_valid_i (joined + (portRef trg_data_valid_i) + (portRef D (instanceRef current_lvl1_statec)) + (portRef B (instanceRef current_lvl1_state_ns_0_0_0_a3_0_1_0)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef C (instanceRef header_buffer_write_RNO)) + (net current_lvl1_state_ns_0_0_0_0_0 (joined + (portRef Z (instanceRef current_lvl1_state_ns_0_0_0_0_0)) + (portRef C (instanceRef current_lvl1_state_srsts_0)) )) - (net int_lvl1_long_trg (joined - (portRef int_lvl1_long_trg) - (portRef C (instanceRef tmg_trg_error_i_RNO)) + (net reset_ipu_i_0_i_1 (joined + (portRef reset_ipu_i_0_i_1) + (portRef reset_ipu_i_0_i_1 (instanceRef THE_HDR_FIFO)) + (portRef reset_ipu_i_0_i_1 (instanceRef gen_fifos_0_THE_DAT_FIFO)) + (portRef D (instanceRef current_lvl1_state_srsts_0)) + (portRef D (instanceRef current_buffer_state_0_srsts_0)) + (portRef D (instanceRef current_buffer_state_0_srsts_1)) + (portRef C (instanceRef data_counter_0e_0_i)) + (portRef C (instanceRef current_lvl1_statec)) )) - (net trg_spurious_trg_i (joined - (portRef trg_spurious_trg_i) - (portRef D (instanceRef tmg_trg_error_i_RNO)) + (net dat_lvl1_release (joined + (portRef Q (instanceRef lvl1_busy_release_i)) + (portRef C (instanceRef current_buffer_state_0_srsts_1)) + (portRef dat_lvl1_release) )) - (net lvl1_valid_i_0 (joined - (portRef lvl1_valid_i_0) - (portRef D (instanceRef current_lvl1_state_ns_0_i_0)) - (portRef C (instanceRef current_buffer_state_0_ns_0_i_s_0)) + (net N_6492 (joined + (portRef N_6492) + (portRef A (instanceRef current_lvl1_state_srsts_0)) )) (net un1_data_buffer_filllevel_1lto12_1 (joined (portRef Z (instanceRef gen_filllevel_flags_0_proc_filllevel_flag_op_ge_un1_data_buffer_filllevel_1lto12_1)) (portRef D (instanceRef gen_filllevel_flags_0_proc_filllevel_flag_op_ge_un1_data_buffer_filllevel_1lto12)) )) (net N_12 (joined - (portRef Z (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) + (portRef Z (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) (portRef A (instanceRef got_busy_released_0)) - (portRef A (instanceRef got_busy_released_1)) + (portRef B (instanceRef got_busy_released_1)) )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef A (instanceRef proc_data_handler_busy_un4_reset_0_i_s)) + (net fee_data_finished_i (joined + (portRef fee_data_finished_i) + (portRef C (instanceRef got_busy_released_0)) + (portRef B (instanceRef current_buffer_state_0_ns_0_a3_1_a3_0_a3_1)) + )) + (net reset_i_fast_r10 (joined + (portRef reset_i_fast_r10) + (portRef A (instanceRef proc_data_handler_busy_un4_reset_0_i_s_0)) )) (net data_counter_0_cry_0 (joined (portRef COUT (instanceRef data_counter_0_cry_0_0)) @@ -74707,13 +74765,18 @@ (portRef (member ipu_data 0) (instanceRef gen_fifos_0_THE_DAT_FIFO)) (portRef (member ipu_data 0)) )) + (net N_277 (joined + (portRef Z (instanceRef data_counter_0_0_sqmuxa_i_i_a3)) + (portRef N_277 (instanceRef gen_fifos_0_THE_DAT_FIFO)) + (portRef N_277) + )) (net m129_2_03_4_i_4 (joined (portRef m129_2_03_4_i_4) (portRef m129_2_03_4_i_4 (instanceRef gen_fifos_0_THE_DAT_FIFO)) )) - (net reset_ipu_i_0_i_1 (joined - (portRef reset_ipu_i_0_i_1) - (portRef reset_ipu_i_0_i_1 (instanceRef gen_fifos_0_THE_DAT_FIFO)) + (net reset_ipu_i_0_i_1_1 (joined + (portRef reset_ipu_i_0_i_1_1) + (portRef reset_ipu_i_0_i_1_1 (instanceRef gen_fifos_0_THE_DAT_FIFO)) )) (net m176_2_03_2_i_4 (joined (portRef m176_2_03_2_i_4 (instanceRef gen_fifos_0_THE_DAT_FIFO)) @@ -75002,11 +75065,6 @@ (portRef D (instanceRef STAT_HEADER_BUFFER_LEVEL_1_16)) (portRef ipu_header_empty) )) - (net reset_ipu_i_0_i (joined - (portRef reset_ipu_i_0_i) - (portRef reset_ipu_i_0_i (instanceRef THE_HDR_FIFO)) - (portRef reset_ipu_i_0_i (instanceRef gen_fifos_0_THE_DAT_FIFO)) - )) (net ipu_data_length_0 (joined (portRef (member ipu_data_length 15) (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) (portRef (member ipu_data_length 15)) @@ -75076,10 +75134,10 @@ (portRef ipu_header_read (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) (portRef ipu_header_read (instanceRef THE_HDR_FIFO)) )) - (net reset_ipu_i_0_i_2 (joined - (portRef reset_ipu_i_0_i_2) - (portRef reset_ipu_i_0_i_2 (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) - (portRef reset_ipu_i_0_i_2 (instanceRef gen_fifos_0_THE_DAT_FIFO)) + (net reset_ipu_i_0_i_1_2 (joined + (portRef reset_ipu_i_0_i_1_2) + (portRef reset_ipu_i_0_i_1_2 (instanceRef gen_length_fifo_0_THE_LENGTH_FIFO)) + (portRef reset_ipu_i_0_i_1_2 (instanceRef gen_fifos_0_THE_DAT_FIFO)) )) ) ) @@ -75090,6 +75148,8 @@ (port (array (rename trg_number_i "trg_number_i(15:0)") 16) (direction INPUT)) (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) (port (array (rename common_ctrl_reg_strobe "COMMON_CTRL_REG_STROBE(1:1)") 1) (direction INPUT)) + (port (array (rename trg_type_i "trg_type_i(3:3)") 1) (direction INPUT)) + (port (array (rename trg_information_i "trg_information_i(7:7)") 1) (direction INPUT)) (port stat_lvl1_handler_63 (direction OUTPUT)) (port stat_lvl1_handler_62 (direction OUTPUT)) (port stat_lvl1_handler_61 (direction OUTPUT)) @@ -75150,9 +75210,6 @@ (port buf_LVL1_ERROR_PATTERN_IN_4 (direction OUTPUT)) (port buf_LVL1_ERROR_PATTERN_IN_0 (direction OUTPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction OUTPUT)) - (port (array (rename trg_information_i "trg_information_i(7:7)") 1) (direction INPUT)) - (port (array (rename trg_type_i "trg_type_i(3:3)") 1) (direction INPUT)) - (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction INPUT)) (port common_ctrl_reg_12 (direction INPUT)) (port common_ctrl_reg_43 (direction INPUT)) (port common_ctrl_reg_42 (direction INPUT)) @@ -75174,8 +75231,9 @@ (port common_ctrl_reg_1 (direction INPUT)) (port common_ctrl_reg_0 (direction INPUT)) (port common_ctrl_reg_91 (direction INPUT)) - (port d_m5_0_0 (direction OUTPUT)) - (port d_N_9 (direction INPUT)) + (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction INPUT)) + (port d_N_6_0 (direction OUTPUT)) + (port first_fifo_read_RNIS8RB (direction INPUT)) (port m6_6_03_1_1 (direction INPUT)) (port signal_sync_1 (direction OUTPUT)) (port signal_sync_0 (direction OUTPUT)) @@ -75264,55 +75322,97 @@ (port un1_tmg_edge_found_i_axb_2 (direction OUTPUT)) (port un1_tmg_edge_found_i_axb_1 (direction OUTPUT)) (port un1_tmg_edge_found_i_axb_0 (direction OUTPUT)) - (port LVL1_TRG_RELEASE_OUT (direction INPUT)) + (port LVL1_TRG_RELEASE_OUT_0_a2 (direction INPUT)) (port trg_notiming_valid_i (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port trg_timeout_detected_i (direction OUTPUT)) (port int_lvl1_long_trg (direction OUTPUT)) (port trg_multiple_trg_i (direction OUTPUT)) - (port trg_invalid_i (direction OUTPUT)) (port trg_timing_valid_i (direction OUTPUT)) (port reset_trg_logic (direction INPUT)) + (port reg_TRG_RECEIVED_OUT_Q (direction INPUT)) + (port GND (direction INPUT)) + (port trg_invalid_i (direction OUTPUT)) (port buf_LVL1_TRG_RELEASE_IN (direction OUTPUT)) (port trg_data_valid_i (direction OUTPUT)) - (port GND (direction INPUT)) - (port reg_TRG_RECEIVED_OUT_Q (direction INPUT)) ) (contents + (instance buf_STATUS_OUT_RNO_2 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance buf_STATUS_OUT_RNO_12 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance error_pattern_RNO_16 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance buf_STATUS_OUT_RNO_2 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance missing_tmg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + (instance short_tmg_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)+C (!B A)))")) ) - (instance mult_trg_lock_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+!A)+C !A)")) + (instance trigger_length_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance trigger_length_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance trigger_length_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + (instance trigger_length_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance short_tmg_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)+C (!B A)))")) + (instance trigger_length_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance next_toc_rst_2_sqmuxa_0_a2_RNI9AUO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C (!B A)))")) + (instance trigger_length_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance invalid_trg_RNIHLOF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance trigger_length_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) ) - (instance timing_trg_reg_RNINB801_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + (instance trigger_length_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance trigger_length_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + ) + (instance un1_timing_trg_found_1_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance missing_tmg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C (!B A)))")) + (property lut_function (string "(!C (B A))")) + ) + (instance data_valid_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D (!C (B+A)+C B))")) + ) + (instance trigger_length_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + ) + (instance invalid_trg_RNIHLOF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance CURRENT_STATE_RNIM5BO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) + (instance CURRENT_STATE_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (C+!A))")) + ) + (instance timing_trg_rising_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) (instance timing_trg_found_fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -75814,28 +75914,37 @@ ) (instance CURRENT_STATE_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance THE_TIMEOUT_CTR_PROC_un11_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance THE_LATCH_PROC_un5_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) (instance STAT_PROC_un6_val_trg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance STATE_TRANSFORM_un23_timing_trg_found_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance CURRENT_STATE_s3_i_o4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance STAT_CTR_PROC_un38_timing_trg_found_i_0_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CURRENT_STATE_ns_0_x2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A+B !A)")) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance STAT_CTR_PROC_un39_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STAT_PROC_buf_STATUS_OUT_18_i_o3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance next_spurious_trg_0_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance CURRENT_STATE_ns_0_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance CURRENT_STATE_ns_0_i_x2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A+B !A)")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_val_ttl_trg_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance STAT_CTR_PROC_un38_timing_trg_found_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -75847,150 +75956,147 @@ (instance trg_rel_RNI95O3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance toc_rst_RNI01L3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance spurious_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance trg_rst_RNIVTP3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STAT_CTR_PROC_un39_reset_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance next_toc_ce_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_toc_ce_0_sqmuxa_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance next_toc_rst_2_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) - ) - (instance wrong_polarity_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance STAT_PROC_buf_STATUS_OUT_16_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance STAT_PROC_buf_STATUS_OUT_15_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance STAT_PROC_buf_STATUS_OUT_14_0_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance trg_rst_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance STAT_PROC_buf_STATUS_OUT_13_0_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance mult_trg_found_0_sqmuxa_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) ) - (instance CURRENT_STATE_tr3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance STATE_MEM_toc_rst_2_0_a4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_ns_0_i_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)))")) ) - (instance MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance SHORT_TMG_TRG_PROC_un10_prev_trg_reg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance CURRENT_STATE_tr3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) (instance and_all_tmp_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) - (instance WRONG_POLAR_PROC_op_gt_un5lto15_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance lvl1_int_trg_number_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (!C (!B !A)+C (!B+A)))")) ) - (instance STAT_CTR_PROC_un38_timing_trg_found (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance mult_trg_lock_en_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B+A))")) ) - (instance STAT_CTR_PROC_un37_timing_trg_found (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C (!B+A)))")) + (instance WRONG_POLAR_PROC_op_gt_un5lto15_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (C+(B+A)))")) ) - (instance buf_STATUS_OUT_542 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance buf_STATUS_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A))")) ) - (instance STAT_CTR_PROC_un14_invalid_trg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D A)")) + (instance buf_STATUS_OUT_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) ) - (instance MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance buf_STATUS_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) ) - (instance WRONG_POLAR_PROC_op_gt_un5lto4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)))")) + (instance buf_STATUS_OUT_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) + ) + (instance invalid_trgc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) (instance and_all_tmp_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance buf_STATUS_OUTc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !B)+D (!C (!B !A)+C !B))")) + (instance WRONG_POLAR_PROC_op_gt_un5lto15_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance data_valid_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D (!C A))")) + (instance buf_STATUS_OUTc_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B+!A)))")) + ) + (instance mult_trg_lock_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) + ) + (instance next_val_ttl_trg_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (C (B+A)))")) + ) + (instance un1_next_spurious_trg_0_sqmuxa_2_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + ) + (instance un1_next_spurious_trg_0_sqmuxa_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C A))")) + ) + (instance SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A))+D (!C+(B+!A)))")) + ) + (instance WRONG_POLAR_PROC_un32_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D (!C+(B+A)))")) ) (instance timing_trg_reg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B+A))+D (!C A+C (!B+A)))")) ) - (instance CURRENT_STATE_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D (C+!A))")) - ) (instance and_all_tmp (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance STAT_CTR_PROC_un9_spurious_trg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance CURRENT_STATE_ns_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+!A)))")) ) - (instance WRONG_POLAR_PROC_op_gt_un5lto15_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance STATE_MEM_toc_rst_2_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+!A)))")) ) - (instance LVL1_LONG_TRG_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A))+D (!C+(B+A)))")) + (instance WRONG_POLAR_PROC_op_gt_un5lto15_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance short_tmg_trg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (!C+(B+A)))")) + (instance lvl1_int_trg_number_RNI4CID1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) ) (instance THE_TIMEOUT_CTR_PROC_un1_toc_ce (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance STATE_MEM_toc_rst_2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) + (instance mult_trg_found_0_sqmuxa_i_o3_RNIRD1R (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance val_ttl_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+A)))")) + (instance un1_spurious_trg_1_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance spurious_trg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C A))")) + (instance un1_prev_trg_reg_1_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)+C !B))")) ) - (instance CURRENT_STATE_ns_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)+C B))")) + (instance WRONG_POLAR_PROC_op_gt_un5lto15_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) - (instance un1_invalid_trg_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance ctr_lock_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B !A)+D (!C (!B !A)+C !B))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_synced_timing_trg_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B+!A)))")) ) - (instance lvl1_int_trg_number_RNI6NOV2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B !A)))")) + (instance ctr_lock_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B !A))+D !A)")) ) - (instance WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B+!A)))")) + (instance ctr_lock_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+!A)))")) ) - (instance ctr_lock_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance un1_next_spurious_trg_0_sqmuxa_1_0_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance mult_trg_found_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) (instance THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) @@ -76493,6 +76599,28 @@ ) (instance GEN_SYNC_THE_TIMING_TRG_SYNC (viewRef netlist (cellRef signal_sync_1_2)) ) + (net CURRENT_STATE_Q_1 (joined + (portRef Q (instanceRef CURRENT_STATE_1)) + (portRef A (instanceRef un1_next_spurious_trg_0_sqmuxa_1_0_i_a2)) + (portRef D (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) + (portRef D (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef D (instanceRef invalid_trgc)) + (portRef C (instanceRef CURRENT_STATE_tr3_0_a3)) + (portRef D (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) + (portRef B (instanceRef trg_rst_RNO)) + (portRef C (instanceRef next_toc_ce_0_sqmuxa_0_a2_0_a2)) + (portRef B (instanceRef CURRENT_STATE_ns_0_i_x2_0)) + (portRef D (instanceRef buf_STATUS_OUT_1)) + (portRef PD (instanceRef buf_STATUS_OUT_0)) + (portRef B (instanceRef CURRENT_STATE_RNO_1)) + (portRef A (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef C (instanceRef data_valid_RNO)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_2)) + )) + (net un1_THE_ENDPOINT_i_13 (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_2)) + (portRef CD (instanceRef buf_STATUS_OUT_2)) + )) (net trg_num_match (joined (portRef Q (instanceRef trg_num_match)) (portRef A (instanceRef buf_STATUS_OUT_RNO_12)) @@ -76510,303 +76638,236 @@ (portRef Z (instanceRef error_pattern_RNO_16)) (portRef D (instanceRef error_pattern_16)) )) - (net CURRENT_STATE_0 (joined - (portRef Q (instanceRef CURRENT_STATE_0)) - (portRef A (instanceRef CURRENT_STATE_ns_0_i_0)) - (portRef A (instanceRef spurious_trg_RNO)) - (portRef A (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef A (instanceRef data_valid_RNO)) - (portRef A (instanceRef STATE_MEM_toc_rst_2_0_a4)) - (portRef A (instanceRef CURRENT_STATE_tr3)) - (portRef A (instanceRef next_toc_ce_0_sqmuxa_0_a2)) - (portRef A (instanceRef CURRENT_STATE_s3_i_o4)) - (portRef PD (instanceRef buf_STATUS_OUT_0)) - (portRef B (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef B (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) - (portRef D (instanceRef missing_tmg_RNO_0)) - (portRef A (instanceRef buf_STATUS_OUT_RNO_2)) - )) - (net CURRENT_STATE_i_0 (joined - (portRef Z (instanceRef buf_STATUS_OUT_RNO_2)) - (portRef CD (instanceRef buf_STATUS_OUT_2)) - )) - (net N_220 (joined - (portRef Z (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) - (portRef D (instanceRef invalid_trg)) - (portRef B (instanceRef missing_tmg_RNO_0)) - )) - (net CURRENT_STATE_Q_1 (joined - (portRef Q (instanceRef CURRENT_STATE_1)) - (portRef D (instanceRef spurious_trg_RNO)) - (portRef D (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef D (instanceRef data_valid_RNO)) - (portRef D (instanceRef STATE_MEM_toc_rst_2_0_a4)) - (portRef C (instanceRef CURRENT_STATE_tr3)) - (portRef C (instanceRef next_toc_rst_2_sqmuxa_0_a2)) - (portRef C (instanceRef next_toc_ce_0_sqmuxa_0_a2)) - (portRef B (instanceRef CURRENT_STATE_ns_0_x2_0)) - (portRef B (instanceRef CURRENT_STATE_s3_i_o4)) - (portRef D (instanceRef buf_STATUS_OUT_2)) - (portRef D (instanceRef buf_STATUS_OUT_1)) - (portRef D (instanceRef buf_STATUS_OUT_0)) - (portRef A (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef C (instanceRef missing_tmg_RNO_0)) - )) - (net un1_next_spurious_trg_0_sqmuxa_2_0_i (joined - (portRef Z (instanceRef missing_tmg_RNO_0)) - (portRef SP (instanceRef missing_tmg)) - )) - (net un12_timing_trg_rising (joined - (portRef Z (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) - (portRef D (instanceRef mult_trg_found_0_sqmuxa)) - (portRef A (instanceRef MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising)) - (portRef D (instanceRef timing_trg_rising)) - (portRef A (instanceRef mult_trg_lock_RNO)) - )) - (net timing_trg_rising_Q (joined - (portRef Q (instanceRef timing_trg_rising)) - (portRef B (instanceRef mult_trg_found_0_sqmuxa)) - (portRef C (instanceRef MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising)) - (portRef B (instanceRef timing_trg_found_fb)) - (portRef B (instanceRef mult_trg_lock_RNO)) - )) - (net mult_trg_lock (joined - (portRef Q (instanceRef mult_trg_lock)) - (portRef C (instanceRef mult_trg_found_0_sqmuxa)) - (portRef B (instanceRef MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising)) - (portRef C (instanceRef mult_trg_lock_RNO)) - )) - (net N_240_0_i (joined - (portRef Z (instanceRef mult_trg_lock_RNO)) - (portRef SP (instanceRef mult_trg_lock)) + (net N_6380_i (joined + (portRef Z (instanceRef short_tmg_trg_RNO)) + (portRef D (instanceRef short_tmg_trg)) )) - (net un26_prev_trg_reg (joined - (portRef Z (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef B (instanceRef STAT_CTR_PROC_un9_spurious_trg)) - (portRef A (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) + (net un1_synced_timing_trg_s_15_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_s_15_0)) + (portRef A (instanceRef trigger_length_RNO_15)) )) (net timing_trg_reg_1 (joined (portRef Q (instanceRef timing_trg_reg_1)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg)) - (portRef C (instanceRef SHORT_TMG_TRG_PROC_un10_prev_trg_reg)) - (portRef B (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) + (portRef C (instanceRef STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1)) + (portRef B (instanceRef mult_trg_found_0_sqmuxa_i_o3)) (portRef D (instanceRef timing_trg_reg_2)) - (portRef C (instanceRef timing_trg_reg_RNINB801_0)) + (portRef C (instanceRef timing_trg_rising_RNO)) (portRef C (instanceRef trigger_length_RNO_0)) - (portRef B (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) + (portRef C (instanceRef trigger_length_RNO_1)) + (portRef C (instanceRef trigger_length_RNO_2)) + (portRef C (instanceRef trigger_length_RNO_3)) + (portRef C (instanceRef trigger_length_RNO_4)) + (portRef C (instanceRef trigger_length_RNO_5)) + (portRef C (instanceRef trigger_length_RNO_6)) + (portRef C (instanceRef trigger_length_RNO_7)) + (portRef C (instanceRef trigger_length_RNO_8)) + (portRef C (instanceRef trigger_length_RNO_9)) + (portRef C (instanceRef trigger_length_RNO_10)) + (portRef C (instanceRef trigger_length_RNO_11)) + (portRef C (instanceRef trigger_length_RNO_12)) + (portRef C (instanceRef trigger_length_RNO_13)) + (portRef C (instanceRef trigger_length_RNO_14)) + (portRef C (instanceRef trigger_length_RNO_15)) )) (net timing_trg_reg_0 (joined (portRef Q (instanceRef timing_trg_reg_0)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg)) - (portRef B (instanceRef SHORT_TMG_TRG_PROC_un10_prev_trg_reg)) - (portRef A (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) + (portRef B (instanceRef STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1)) + (portRef A (instanceRef mult_trg_found_0_sqmuxa_i_o3)) (portRef D (instanceRef timing_trg_reg_1)) - (portRef D (instanceRef timing_trg_reg_RNINB801_0)) + (portRef D (instanceRef timing_trg_rising_RNO)) (portRef D (instanceRef trigger_length_RNO_0)) - (portRef C (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) + (portRef D (instanceRef trigger_length_RNO_1)) + (portRef D (instanceRef trigger_length_RNO_2)) + (portRef D (instanceRef trigger_length_RNO_3)) + (portRef D (instanceRef trigger_length_RNO_4)) + (portRef D (instanceRef trigger_length_RNO_5)) + (portRef D (instanceRef trigger_length_RNO_6)) + (portRef D (instanceRef trigger_length_RNO_7)) + (portRef D (instanceRef trigger_length_RNO_8)) + (portRef D (instanceRef trigger_length_RNO_9)) + (portRef D (instanceRef trigger_length_RNO_10)) + (portRef D (instanceRef trigger_length_RNO_11)) + (portRef D (instanceRef trigger_length_RNO_12)) + (portRef D (instanceRef trigger_length_RNO_13)) + (portRef D (instanceRef trigger_length_RNO_14)) + (portRef D (instanceRef trigger_length_RNO_15)) + )) + (net N_6353_i (joined + (portRef Z (instanceRef trigger_length_RNO_15)) + (portRef D (instanceRef trigger_length_15)) )) - (net prev_trg_reg_3 (joined - (portRef Q (instanceRef prev_trg_reg_3)) - (portRef A (instanceRef SHORT_TMG_TRG_PROC_un10_prev_trg_reg)) - (portRef D (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) + (net un1_synced_timing_trg_cry_13_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_13_0)) + (portRef A (instanceRef trigger_length_RNO_14)) )) - (net un25_prev_trg_reg (joined - (portRef Z (instanceRef STAT_CTR_PROC_un37_timing_trg_found_RNICTSG1)) - (portRef B0 (instanceRef un1_prev_trg_reg_1_cry_0_0)) - (portRef B (instanceRef un1_invalid_trg_1)) + (net common_ctrl_reg_91 (joined + (portRef common_ctrl_reg_91) + (portRef C (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) + (portRef C (instanceRef timing_trg_reg_RNO_0)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1)) + (portRef B (instanceRef trigger_length_RNO_0)) + (portRef B (instanceRef trigger_length_RNO_1)) + (portRef B (instanceRef trigger_length_RNO_2)) + (portRef B (instanceRef trigger_length_RNO_3)) + (portRef B (instanceRef trigger_length_RNO_4)) + (portRef B (instanceRef trigger_length_RNO_5)) + (portRef B (instanceRef trigger_length_RNO_6)) + (portRef B (instanceRef trigger_length_RNO_7)) + (portRef B (instanceRef trigger_length_RNO_8)) + (portRef B (instanceRef trigger_length_RNO_9)) + (portRef B (instanceRef trigger_length_RNO_10)) + (portRef B (instanceRef trigger_length_RNO_11)) + (portRef B (instanceRef trigger_length_RNO_12)) + (portRef B (instanceRef trigger_length_RNO_13)) + (portRef B (instanceRef trigger_length_RNO_14)) + (portRef B (instanceRef trigger_length_RNO_15)) + )) + (net N_6352_i (joined + (portRef Z (instanceRef trigger_length_RNO_14)) + (portRef D (instanceRef trigger_length_14)) )) - (net un1_synced_timing_trg_cry_0_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_0_0)) - (portRef A (instanceRef trigger_length_RNO_0)) + (net un1_synced_timing_trg_cry_13_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_13_0)) + (portRef A (instanceRef trigger_length_RNO_13)) )) - (net trigger_length_3_0_i_0 (joined - (portRef Z (instanceRef trigger_length_RNO_0)) - (portRef D (instanceRef trigger_length_0)) + (net N_6351_i (joined + (portRef Z (instanceRef trigger_length_RNO_13)) + (portRef D (instanceRef trigger_length_13)) )) - (net un3_data_valid_i_0 (joined - (portRef Z (instanceRef short_tmg_trg_RNO)) - (portRef D (instanceRef short_tmg_trg)) + (net un1_synced_timing_trg_cry_11_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_11_0)) + (portRef A (instanceRef trigger_length_RNO_12)) )) - (net N_226 (joined - (portRef Z (instanceRef next_toc_rst_2_sqmuxa_0_a2)) - (portRef A (instanceRef val_ttl_trg_RNO)) - (portRef C (instanceRef STATE_MEM_toc_rst_2_0_i)) - (portRef A (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) + (net N_6350_i (joined + (portRef Z (instanceRef trigger_length_RNO_12)) + (portRef D (instanceRef trigger_length_12)) )) - (net un1_reset_2_i (joined - (portRef Z (instanceRef invalid_trg_RNIHLOF)) - (portRef SP (instanceRef buf_STATUS_OUT_7)) - (portRef SP (instanceRef buf_STATUS_OUT_6)) - (portRef SP (instanceRef buf_STATUS_OUT_5)) - (portRef SP (instanceRef buf_STATUS_OUT_4)) + (net un1_synced_timing_trg_cry_11_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_11_0)) + (portRef A (instanceRef trigger_length_RNO_11)) )) - (net reset_trg_logic (joined - (portRef reset_trg_logic) - (portRef reset_trg_logic (instanceRef GEN_SYNC_THE_TIMING_TRG_SYNC)) - (portRef reset_trg_logic (instanceRef THE_PULSE_STRETCH)) - (portRef B (instanceRef LVL1_LONG_TRG_OUT_RNO)) - (portRef B (instanceRef buf_STATUS_OUTc)) - (portRef A (instanceRef buf_STATUS_OUT_542)) - (portRef A (instanceRef wrong_polarity_RNO)) - (portRef B (instanceRef trg_rst_RNIVTP3)) - (portRef B (instanceRef toc_rst_RNI01L3)) - (portRef B (instanceRef trg_rel_RNI95O3)) - (portRef A (instanceRef STAT_CTR_PROC_un39_reset_i)) - (portRef CD (instanceRef CURRENT_STATE_1)) - (portRef CD (instanceRef CURRENT_STATE_0)) - (portRef CD (instanceRef data_valid)) - (portRef CD (instanceRef invalid_trg)) - (portRef CD (instanceRef lvl1_delay_10)) - (portRef CD (instanceRef lvl1_delay_9)) - (portRef CD (instanceRef lvl1_delay_8)) - (portRef CD (instanceRef lvl1_delay_7)) - (portRef CD (instanceRef lvl1_delay_6)) - (portRef CD (instanceRef lvl1_delay_5)) - (portRef CD (instanceRef lvl1_delay_4)) - (portRef CD (instanceRef lvl1_delay_3)) - (portRef CD (instanceRef lvl1_delay_2)) - (portRef CD (instanceRef lvl1_delay_1)) - (portRef CD (instanceRef lvl1_delay_0)) - (portRef CD (instanceRef lvl1_int_trg_number_15)) - (portRef CD (instanceRef lvl1_int_trg_number_14)) - (portRef CD (instanceRef lvl1_int_trg_number_13)) - (portRef CD (instanceRef lvl1_int_trg_number_12)) - (portRef CD (instanceRef lvl1_int_trg_number_11)) - (portRef CD (instanceRef lvl1_int_trg_number_10)) - (portRef CD (instanceRef lvl1_int_trg_number_9)) - (portRef CD (instanceRef lvl1_int_trg_number_8)) - (portRef CD (instanceRef lvl1_int_trg_number_7)) - (portRef CD (instanceRef lvl1_int_trg_number_6)) - (portRef CD (instanceRef lvl1_int_trg_number_5)) - (portRef CD (instanceRef lvl1_int_trg_number_4)) - (portRef CD (instanceRef lvl1_int_trg_number_3)) - (portRef CD (instanceRef lvl1_int_trg_number_2)) - (portRef CD (instanceRef lvl1_int_trg_number_1)) - (portRef CD (instanceRef lvl1_int_trg_number_0)) - (portRef CD (instanceRef missing_tmg)) - (portRef CD (instanceRef prev_trg_reg_3)) - (portRef CD (instanceRef spurious_trg)) - (portRef CD (instanceRef timing_trg_reg_3)) - (portRef CD (instanceRef timing_trg_reg_2)) - (portRef CD (instanceRef timing_trg_reg_1)) - (portRef CD (instanceRef timing_trg_reg_0)) - (portRef CD (instanceRef timing_trg_rising)) - (portRef CD (instanceRef toc_ce)) - (portRef PD (instanceRef toc_rst)) - (portRef CD (instanceRef toc_save)) - (portRef PD (instanceRef trg_num_match)) - (portRef CD (instanceRef trg_rel)) - (portRef CD (instanceRef trg_rst)) - (portRef CD (instanceRef trigger_edge_count_15)) - (portRef CD (instanceRef trigger_edge_count_14)) - (portRef CD (instanceRef trigger_edge_count_13)) - (portRef CD (instanceRef trigger_edge_count_12)) - (portRef CD (instanceRef trigger_edge_count_11)) - (portRef CD (instanceRef trigger_edge_count_10)) - (portRef CD (instanceRef trigger_edge_count_9)) - (portRef CD (instanceRef trigger_edge_count_8)) - (portRef CD (instanceRef trigger_edge_count_7)) - (portRef CD (instanceRef trigger_edge_count_6)) - (portRef CD (instanceRef trigger_edge_count_5)) - (portRef CD (instanceRef trigger_edge_count_4)) - (portRef CD (instanceRef trigger_edge_count_3)) - (portRef CD (instanceRef trigger_edge_count_2)) - (portRef CD (instanceRef trigger_edge_count_1)) - (portRef CD (instanceRef trigger_edge_count_0)) - (portRef CD (instanceRef trigger_length_0)) - (portRef CD (instanceRef val_trg)) - (portRef CD (instanceRef val_ttl_trg)) - (portRef A (instanceRef timing_trg_reg_RNINB801_0)) - (portRef B (instanceRef invalid_trg_RNIHLOF)) + (net N_6349_i (joined + (portRef Z (instanceRef trigger_length_RNO_11)) + (portRef D (instanceRef trigger_length_11)) )) - (net common_ctrl_reg_91 (joined - (portRef common_ctrl_reg_91) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) - (portRef C (instanceRef timing_trg_reg_RNO_0)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg)) - (portRef B (instanceRef timing_trg_reg_RNINB801_0)) - (portRef B (instanceRef trigger_length_RNO_0)) + (net un1_synced_timing_trg_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_9_0)) + (portRef A (instanceRef trigger_length_RNO_10)) )) - (net timing_trg_reg_RNINB801_0 (joined - (portRef Z (instanceRef timing_trg_reg_RNINB801_0)) - (portRef CD (instanceRef trigger_length_15)) - (portRef CD (instanceRef trigger_length_14)) - (portRef CD (instanceRef trigger_length_13)) - (portRef CD (instanceRef trigger_length_12)) - (portRef CD (instanceRef trigger_length_11)) - (portRef CD (instanceRef trigger_length_10)) - (portRef CD (instanceRef trigger_length_9)) - (portRef CD (instanceRef trigger_length_8)) - (portRef CD (instanceRef trigger_length_7)) - (portRef CD (instanceRef trigger_length_6)) - (portRef CD (instanceRef trigger_length_5)) - (portRef CD (instanceRef trigger_length_4)) - (portRef CD (instanceRef trigger_length_3)) - (portRef CD (instanceRef trigger_length_2)) - (portRef CD (instanceRef trigger_length_1)) + (net N_6348_i (joined + (portRef Z (instanceRef trigger_length_RNO_10)) + (portRef D (instanceRef trigger_length_10)) )) - (net reg_TRG_RECEIVED_OUT_Q (joined - (portRef reg_TRG_RECEIVED_OUT_Q) - (portRef C (instanceRef spurious_trg_RNO)) - (portRef C (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef C (instanceRef data_valid_RNO)) - (portRef B (instanceRef CURRENT_STATE_tr3)) - (portRef B (instanceRef next_toc_rst_2_sqmuxa_0_a2)) - (portRef B (instanceRef next_toc_ce_0_sqmuxa_0_a2)) - (portRef B (instanceRef next_spurious_trg_0_sqmuxa_i)) - (portRef A (instanceRef CURRENT_STATE_ns_0_x2_0)) - (portRef D (instanceRef data_valid)) - (portRef A (instanceRef missing_tmg_RNO)) - (portRef A (instanceRef missing_tmg_RNO_0)) + (net un1_synced_timing_trg_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_9_0)) + (portRef A (instanceRef trigger_length_RNO_9)) )) - (net timing_trg_found (joined - (portRef Q (instanceRef timing_trg_found)) - (portRef A (instanceRef mult_trg_found_0_sqmuxa)) - (portRef B (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef B (instanceRef data_valid_RNO)) - (portRef B (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef B (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef B (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) - (portRef B (instanceRef STATE_MEM_toc_rst_2_0_a4)) - (portRef A (instanceRef next_toc_rst_2_sqmuxa_0_a2)) - (portRef D (instanceRef buf_STATUS_OUT_15)) - (portRef A (instanceRef timing_trg_found_fb)) - (portRef B (instanceRef missing_tmg_RNO)) + (net N_6347_i (joined + (portRef Z (instanceRef trigger_length_RNO_9)) + (portRef D (instanceRef trigger_length_9)) )) - (net trg_type_i_3 (joined - (portRef (member trg_type_i 0)) - (portRef D (instanceRef val_ttl_trg_RNO)) - (portRef B (instanceRef STATE_TRANSFORM_un23_timing_trg_found_i_0_o2)) - (portRef C (instanceRef missing_tmg_RNO)) - (portRef C (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) + (net un1_synced_timing_trg_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_7_0)) + (portRef A (instanceRef trigger_length_RNO_8)) )) - (net trg_information_i_7 (joined - (portRef (member trg_information_i 0)) - (portRef B (instanceRef spurious_trg_RNO)) - (portRef C (instanceRef val_ttl_trg_RNO)) - (portRef A (instanceRef next_spurious_trg_0_sqmuxa_i)) - (portRef A (instanceRef STATE_TRANSFORM_un23_timing_trg_found_i_0_o2)) - (portRef D (instanceRef missing_tmg_RNO)) - (portRef D (instanceRef next_toc_rst_2_sqmuxa_0_a2_RNI9AUO)) + (net N_6346_i (joined + (portRef Z (instanceRef trigger_length_RNO_8)) + (portRef D (instanceRef trigger_length_8)) )) - (net N_200_0 (joined - (portRef Z (instanceRef missing_tmg_RNO)) - (portRef D (instanceRef missing_tmg)) + (net un1_synced_timing_trg_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_7_0)) + (portRef A (instanceRef trigger_length_RNO_7)) )) - (net stat_handler_i_1 (joined - (portRef (member stat_handler_i 0)) - (portRef C (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef C (instanceRef short_tmg_trg_RNO)) + (net N_6345_i (joined + (portRef Z (instanceRef trigger_length_RNO_7)) + (portRef D (instanceRef trigger_length_7)) )) - (net stat_handler_i_0 (joined - (portRef (member stat_handler_i 1)) - (portRef D (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef D (instanceRef short_tmg_trg_RNO)) + (net un1_synced_timing_trg_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_5_0)) + (portRef A (instanceRef trigger_length_RNO_6)) )) - (net next_trg_rel_0_sqmuxa (joined - (portRef Z (instanceRef CURRENT_STATE_RNIM5BO_0)) - (portRef D (instanceRef CURRENT_STATE_ns_0_i_0)) - (portRef D (instanceRef trg_rel)) + (net N_6344_i (joined + (portRef Z (instanceRef trigger_length_RNO_6)) + (portRef D (instanceRef trigger_length_6)) + )) + (net un1_synced_timing_trg_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_5_0)) + (portRef A (instanceRef trigger_length_RNO_5)) + )) + (net N_6343_i (joined + (portRef Z (instanceRef trigger_length_RNO_5)) + (portRef D (instanceRef trigger_length_5)) + )) + (net un1_synced_timing_trg_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_3_0)) + (portRef A (instanceRef trigger_length_RNO_4)) + )) + (net N_6342_i (joined + (portRef Z (instanceRef trigger_length_RNO_4)) + (portRef D (instanceRef trigger_length_4)) + )) + (net un1_synced_timing_trg_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_3_0)) + (portRef A (instanceRef trigger_length_RNO_3)) + )) + (net N_6341_i (joined + (portRef Z (instanceRef trigger_length_RNO_3)) + (portRef D (instanceRef trigger_length_3)) + )) + (net un1_synced_timing_trg_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_1_0)) + (portRef A (instanceRef trigger_length_RNO_2)) + )) + (net N_6340_i (joined + (portRef Z (instanceRef trigger_length_RNO_2)) + (portRef D (instanceRef trigger_length_2)) + )) + (net un1_synced_timing_trg_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_synced_timing_trg_cry_1_0)) + (portRef A (instanceRef trigger_length_RNO_1)) + )) + (net N_6339_i (joined + (portRef Z (instanceRef trigger_length_RNO_1)) + (portRef D (instanceRef trigger_length_1)) + )) + (net N_6377 (joined + (portRef Z (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3)) + (portRef A (instanceRef ctr_lock_RNO_0)) + (portRef A (instanceRef ctr_lock_RNO)) + (portRef A (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef A (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) + (portRef A (instanceRef un1_timing_trg_found_1_cry_0_0_RNO)) + )) + (net ctr_lock (joined + (portRef Q (instanceRef ctr_lock)) + (portRef A (instanceRef ctr_lock_RNO_1)) + (portRef C (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef A (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3_0)) + (portRef C (instanceRef un1_timing_trg_found_1_cry_0_0_RNO)) + )) + (net N_243_i (joined + (portRef Z (instanceRef un1_timing_trg_found_1_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_timing_trg_found_1_cry_0_0)) + )) + (net N_6378 (joined + (portRef Z (instanceRef next_val_ttl_trg_0_i_o2)) + (portRef A (instanceRef STATE_MEM_toc_rst_2_0_i_s)) + (portRef A (instanceRef invalid_trgc)) + (portRef A (instanceRef missing_tmg_RNO)) + )) + (net timing_trg_found (joined + (portRef Q (instanceRef timing_trg_found)) + (portRef C (instanceRef un1_next_spurious_trg_0_sqmuxa_1_0_i_a2)) + (portRef B (instanceRef ctr_lock_RNO_1)) + (portRef C (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) + (portRef B (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) + (portRef A (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3)) + (portRef A (instanceRef CURRENT_STATE_ns_0_i_o2_1)) + (portRef D (instanceRef buf_STATUS_OUT_15)) + (portRef A (instanceRef timing_trg_found_fb)) + (portRef C (instanceRef missing_tmg_RNO)) )) (net GND (joined (portRef GND) @@ -77116,20 +77177,139 @@ (portRef B0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0)) (portRef A0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0)) )) + (net N_6493_i (joined + (portRef Z (instanceRef missing_tmg_RNO)) + (portRef D (instanceRef missing_tmg)) + )) + (net CURRENT_STATE_0 (joined + (portRef Q (instanceRef CURRENT_STATE_0)) + (portRef C (instanceRef CURRENT_STATE_ns_0_i_s_0)) + (portRef A (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) + (portRef B (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef C (instanceRef invalid_trgc)) + (portRef A (instanceRef CURRENT_STATE_tr3_0_a3)) + (portRef A (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) + (portRef A (instanceRef trg_rst_RNO)) + (portRef A (instanceRef next_toc_ce_0_sqmuxa_0_a2_0_a2)) + (portRef D (instanceRef buf_STATUS_OUT_2)) + (portRef D (instanceRef buf_STATUS_OUT_0)) + (portRef D (instanceRef CURRENT_STATE_RNO_1)) + (portRef B (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef A (instanceRef data_valid_RNO)) + )) + (net N_6641 (joined + (portRef Z (instanceRef un1_next_spurious_trg_0_sqmuxa_1_0_i_a2)) + (portRef C (instanceRef STATE_MEM_toc_rst_2_0_i_s)) + (portRef A (instanceRef next_val_ttl_trg_0_i_s)) + (portRef B (instanceRef data_valid_RNO)) + )) + (net reg_TRG_RECEIVED_OUT_Q (joined + (portRef reg_TRG_RECEIVED_OUT_Q) + (portRef B (instanceRef un1_next_spurious_trg_0_sqmuxa_1_0_i_a2)) + (portRef C (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) + (portRef C (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef B (instanceRef CURRENT_STATE_tr3_0_a3)) + (portRef B (instanceRef next_toc_ce_0_sqmuxa_0_a2_0_a2)) + (portRef B (instanceRef spurious_trg_RNO)) + (portRef A (instanceRef CURRENT_STATE_ns_0_i_x2_0)) + (portRef B (instanceRef CURRENT_STATE_ns_0_i_o2_1)) + (portRef D (instanceRef data_valid)) + (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef data_valid_RNO)) + (portRef B (instanceRef missing_tmg_RNO)) + )) + (net data_valid_RNO (joined + (portRef Z (instanceRef data_valid_RNO)) + (portRef SP (instanceRef data_valid)) + )) + (net un1_synced_timing_trg_cry_0_0_S1 (joined + (portRef S1 (instanceRef un1_synced_timing_trg_cry_0_0)) + (portRef A (instanceRef trigger_length_RNO_0)) + )) + (net trigger_length_RNO_0 (joined + (portRef Z (instanceRef trigger_length_RNO_0)) + (portRef D (instanceRef trigger_length_0)) + )) + (net trg_invalid_i (joined + (portRef Q (instanceRef invalid_trg)) + (portRef B0 (instanceRef un1_invalid_trg_cry_0_0)) + (portRef D (instanceRef ctr_lock_RNO_0)) + (portRef D (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef B (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3_0)) + (portRef A (instanceRef STAT_PROC_un6_val_trg)) + (portRef D (instanceRef error_pattern_17)) + (portRef D (instanceRef invalid_trg_RNIHLOF)) + (portRef B (instanceRef un1_timing_trg_found_1_cry_0_0_RNO)) + (portRef trg_invalid_i) + )) + (net un1_reset_2_i (joined + (portRef Z (instanceRef invalid_trg_RNIHLOF)) + (portRef SP (instanceRef buf_STATUS_OUT_7)) + (portRef SP (instanceRef buf_STATUS_OUT_6)) + (portRef SP (instanceRef buf_STATUS_OUT_5)) + (portRef SP (instanceRef buf_STATUS_OUT_4)) + )) + (net stat_handler_i_1 (joined + (portRef (member stat_handler_i 0)) + (portRef C (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef C (instanceRef short_tmg_trg_RNO)) + )) + (net stat_handler_i_0 (joined + (portRef (member stat_handler_i 1)) + (portRef D (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef D (instanceRef short_tmg_trg_RNO)) + )) + (net next_trg_rel_0_sqmuxa (joined + (portRef Z (instanceRef CURRENT_STATE_RNIM5BO_0)) + (portRef D (instanceRef CURRENT_STATE_ns_0_i_s_0)) + (portRef D (instanceRef trg_rel)) + )) + (net N_6382 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_0_i_o2_1)) + (portRef B (instanceRef invalid_trgc)) + (portRef A (instanceRef CURRENT_STATE_RNO_1)) + )) + (net N_263713_0 (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef CURRENT_STATE_1)) + )) + (net timing_trg_reg_3 (joined + (portRef Q (instanceRef timing_trg_reg_3)) + (portRef D (instanceRef mult_trg_found_0_sqmuxa_i_o3)) + (portRef D (instanceRef prev_trg_reg_3)) + (portRef A (instanceRef timing_trg_rising_RNO)) + )) + (net timing_trg_reg_2 (joined + (portRef Q (instanceRef timing_trg_reg_2)) + (portRef C (instanceRef mult_trg_found_0_sqmuxa_i_o3)) + (portRef D (instanceRef timing_trg_reg_3)) + (portRef B (instanceRef timing_trg_rising_RNO)) + )) + (net N_6411_i (joined + (portRef Z (instanceRef timing_trg_rising_RNO)) + (portRef D (instanceRef timing_trg_rising)) + )) + (net timing_trg_rising_Q (joined + (portRef Q (instanceRef timing_trg_rising)) + (portRef D (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) + (portRef C (instanceRef mult_trg_lock_RNO)) + (portRef C (instanceRef mult_trg_lock_en_i)) + (portRef B (instanceRef timing_trg_found_fb)) + )) (net fb_0 (joined (portRef Z (instanceRef timing_trg_found_fb)) (portRef D (instanceRef timing_trg_found)) )) - (net mult_trg_found_0_sqmuxa (joined - (portRef Z (instanceRef mult_trg_found_0_sqmuxa)) + (net N_245_i (joined + (portRef Z (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) (portRef A (instanceRef mult_trg_found_fb)) )) (net fb_0_0 (joined (portRef Z (instanceRef mult_trg_found_fb)) (portRef D (instanceRef mult_trg_found)) )) - (net un5_0_i (joined - (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) + (net N_231 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) (portRef A (instanceRef wrong_polarity_fb)) (portRef A (instanceRef LVL1_LONG_TRG_OUT_fb)) )) @@ -77139,7 +77319,7 @@ )) (net wrong_polarity (joined (portRef Q (instanceRef wrong_polarity)) - (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_13_0_i_7)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_7)) (portRef B (instanceRef wrong_polarity_fb)) )) (net fb_0_2 (joined @@ -77155,12 +77335,12 @@ (portRef Z (instanceRef timeout_found_fb)) (portRef D (instanceRef timeout_found)) )) - (net un23_reset_i_i (joined - (portRef Z (instanceRef wrong_polarity_RNO)) + (net buf_STATUS_OUT_18_i_o3_5 (joined + (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_18_i_o3_5)) (portRef CD (instanceRef wrong_polarity)) )) - (net next_val_ttl_trg_0_i (joined - (portRef Z (instanceRef val_ttl_trg_RNO)) + (net next_val_ttl_trg_0_i_s (joined + (portRef Z (instanceRef next_val_ttl_trg_0_i_s)) (portRef D (instanceRef val_ttl_trg)) )) (net clk_100_i_c (joined @@ -77410,6 +77590,102 @@ (portRef CK (instanceRef val_ttl_trg)) (portRef CK (instanceRef wrong_polarity)) )) + (net reset_trg_logic (joined + (portRef reset_trg_logic) + (portRef reset_trg_logic (instanceRef GEN_SYNC_THE_TIMING_TRG_SYNC)) + (portRef reset_trg_logic (instanceRef THE_PULSE_STRETCH)) + (portRef B (instanceRef WRONG_POLAR_PROC_un32_reset_i)) + (portRef A (instanceRef STAT_CTR_PROC_un39_reset_0_i)) + (portRef B (instanceRef trg_rel_RNI95O3)) + (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_18_i_o3_5)) + (portRef B (instanceRef THE_LATCH_PROC_un5_reset_i)) + (portRef B (instanceRef THE_TIMEOUT_CTR_PROC_un11_reset_i)) + (portRef CD (instanceRef CURRENT_STATE_1)) + (portRef CD (instanceRef CURRENT_STATE_0)) + (portRef CD (instanceRef buf_STATUS_OUT_13)) + (portRef CD (instanceRef buf_STATUS_OUT_7)) + (portRef CD (instanceRef buf_STATUS_OUT_6)) + (portRef CD (instanceRef buf_STATUS_OUT_5)) + (portRef CD (instanceRef buf_STATUS_OUT_4)) + (portRef CD (instanceRef data_valid)) + (portRef CD (instanceRef invalid_trg)) + (portRef CD (instanceRef lvl1_delay_10)) + (portRef CD (instanceRef lvl1_delay_9)) + (portRef CD (instanceRef lvl1_delay_8)) + (portRef CD (instanceRef lvl1_delay_7)) + (portRef CD (instanceRef lvl1_delay_6)) + (portRef CD (instanceRef lvl1_delay_5)) + (portRef CD (instanceRef lvl1_delay_4)) + (portRef CD (instanceRef lvl1_delay_3)) + (portRef CD (instanceRef lvl1_delay_2)) + (portRef CD (instanceRef lvl1_delay_1)) + (portRef CD (instanceRef lvl1_delay_0)) + (portRef CD (instanceRef lvl1_int_trg_number_15)) + (portRef CD (instanceRef lvl1_int_trg_number_14)) + (portRef CD (instanceRef lvl1_int_trg_number_13)) + (portRef CD (instanceRef lvl1_int_trg_number_12)) + (portRef CD (instanceRef lvl1_int_trg_number_11)) + (portRef CD (instanceRef lvl1_int_trg_number_10)) + (portRef CD (instanceRef lvl1_int_trg_number_9)) + (portRef CD (instanceRef lvl1_int_trg_number_8)) + (portRef CD (instanceRef lvl1_int_trg_number_7)) + (portRef CD (instanceRef lvl1_int_trg_number_6)) + (portRef CD (instanceRef lvl1_int_trg_number_5)) + (portRef CD (instanceRef lvl1_int_trg_number_4)) + (portRef CD (instanceRef lvl1_int_trg_number_3)) + (portRef CD (instanceRef lvl1_int_trg_number_2)) + (portRef CD (instanceRef lvl1_int_trg_number_1)) + (portRef CD (instanceRef lvl1_int_trg_number_0)) + (portRef CD (instanceRef missing_tmg)) + (portRef CD (instanceRef prev_trg_reg_3)) + (portRef CD (instanceRef spurious_trg)) + (portRef CD (instanceRef timing_trg_reg_3)) + (portRef CD (instanceRef timing_trg_reg_2)) + (portRef CD (instanceRef timing_trg_reg_1)) + (portRef CD (instanceRef timing_trg_reg_0)) + (portRef CD (instanceRef timing_trg_rising)) + (portRef CD (instanceRef toc_ce)) + (portRef PD (instanceRef toc_rst)) + (portRef CD (instanceRef toc_save)) + (portRef PD (instanceRef trg_num_match)) + (portRef CD (instanceRef trg_rel)) + (portRef CD (instanceRef trg_rst)) + (portRef CD (instanceRef trigger_edge_count_15)) + (portRef CD (instanceRef trigger_edge_count_14)) + (portRef CD (instanceRef trigger_edge_count_13)) + (portRef CD (instanceRef trigger_edge_count_12)) + (portRef CD (instanceRef trigger_edge_count_11)) + (portRef CD (instanceRef trigger_edge_count_10)) + (portRef CD (instanceRef trigger_edge_count_9)) + (portRef CD (instanceRef trigger_edge_count_8)) + (portRef CD (instanceRef trigger_edge_count_7)) + (portRef CD (instanceRef trigger_edge_count_6)) + (portRef CD (instanceRef trigger_edge_count_5)) + (portRef CD (instanceRef trigger_edge_count_4)) + (portRef CD (instanceRef trigger_edge_count_3)) + (portRef CD (instanceRef trigger_edge_count_2)) + (portRef CD (instanceRef trigger_edge_count_1)) + (portRef CD (instanceRef trigger_edge_count_0)) + (portRef CD (instanceRef trigger_length_15)) + (portRef CD (instanceRef trigger_length_14)) + (portRef CD (instanceRef trigger_length_13)) + (portRef CD (instanceRef trigger_length_12)) + (portRef CD (instanceRef trigger_length_11)) + (portRef CD (instanceRef trigger_length_10)) + (portRef CD (instanceRef trigger_length_9)) + (portRef CD (instanceRef trigger_length_8)) + (portRef CD (instanceRef trigger_length_7)) + (portRef CD (instanceRef trigger_length_6)) + (portRef CD (instanceRef trigger_length_5)) + (portRef CD (instanceRef trigger_length_4)) + (portRef CD (instanceRef trigger_length_3)) + (portRef CD (instanceRef trigger_length_2)) + (portRef CD (instanceRef trigger_length_1)) + (portRef CD (instanceRef trigger_length_0)) + (portRef CD (instanceRef val_trg)) + (portRef CD (instanceRef val_ttl_trg)) + (portRef B (instanceRef invalid_trg_RNIHLOF)) + )) (net trg_notiming_valid_i (joined (portRef Q (instanceRef val_ttl_trg)) (portRef trg_notiming_valid_i) @@ -77578,10 +77854,10 @@ (portRef D0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0)) (portRef D (instanceRef tmg_edge_async)) )) - (net N_223 (joined - (portRef Z (instanceRef STATE_MEM_toc_rst_2_0_a4)) - (portRef B (instanceRef CURRENT_STATE_ns_0_i_0)) - (portRef B (instanceRef STATE_MEM_toc_rst_2_0_i)) + (net N_6566 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) + (portRef B (instanceRef STATE_MEM_toc_rst_2_0_i_s)) + (portRef B (instanceRef CURRENT_STATE_ns_0_i_s_0)) (portRef D (instanceRef val_trg)) )) (net trg_timing_valid_i (joined @@ -77593,168 +77869,108 @@ (net trigger_length_0 (joined (portRef Q (instanceRef trigger_length_0)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_0_0)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) + (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) (portRef D (instanceRef buf_STATUS_OUT_48)) )) - (net un1_synced_timing_trg_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_1_0)) - (portRef D (instanceRef trigger_length_1)) - )) (net trigger_length_1 (joined (portRef Q (instanceRef trigger_length_1)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_1_0)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8)) + (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) + (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) (portRef D (instanceRef buf_STATUS_OUT_49)) )) - (net un1_synced_timing_trg_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_1_0)) - (portRef D (instanceRef trigger_length_2)) - )) (net trigger_length_2 (joined (portRef Q (instanceRef trigger_length_2)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_1_0)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) + (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) (portRef D (instanceRef buf_STATUS_OUT_50)) )) - (net un1_synced_timing_trg_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_3_0)) - (portRef D (instanceRef trigger_length_3)) - )) (net trigger_length_3 (joined (portRef Q (instanceRef trigger_length_3)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_3_0)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_o2)) (portRef D (instanceRef buf_STATUS_OUT_51)) )) - (net un1_synced_timing_trg_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_3_0)) - (portRef D (instanceRef trigger_length_4)) - )) (net trigger_length_4 (joined (portRef Q (instanceRef trigger_length_4)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_3_0)) - (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_o2)) (portRef D (instanceRef buf_STATUS_OUT_52)) )) - (net un1_synced_timing_trg_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_5_0)) - (portRef D (instanceRef trigger_length_5)) - )) (net trigger_length_5 (joined (portRef Q (instanceRef trigger_length_5)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_5_0)) - (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) + (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) (portRef D (instanceRef buf_STATUS_OUT_53)) )) - (net un1_synced_timing_trg_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_5_0)) - (portRef D (instanceRef trigger_length_6)) - )) (net trigger_length_6 (joined (portRef Q (instanceRef trigger_length_6)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_5_0)) - (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) + (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) (portRef D (instanceRef buf_STATUS_OUT_54)) )) - (net un1_synced_timing_trg_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_7_0)) - (portRef D (instanceRef trigger_length_7)) - )) (net trigger_length_7 (joined (portRef Q (instanceRef trigger_length_7)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_7_0)) - (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) + (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) + (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) (portRef D (instanceRef buf_STATUS_OUT_55)) )) - (net un1_synced_timing_trg_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_7_0)) - (portRef D (instanceRef trigger_length_8)) - )) (net trigger_length_8 (joined (portRef Q (instanceRef trigger_length_8)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_7_0)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_4)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) + (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) (portRef D (instanceRef buf_STATUS_OUT_56)) )) - (net un1_synced_timing_trg_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_9_0)) - (portRef D (instanceRef trigger_length_9)) - )) (net trigger_length_9 (joined (portRef Q (instanceRef trigger_length_9)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_9_0)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_4)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) + (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) (portRef D (instanceRef buf_STATUS_OUT_57)) )) - (net un1_synced_timing_trg_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_9_0)) - (portRef D (instanceRef trigger_length_10)) - )) (net trigger_length_10 (joined (portRef Q (instanceRef trigger_length_10)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_9_0)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3)) (portRef D (instanceRef buf_STATUS_OUT_58)) )) - (net un1_synced_timing_trg_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_11_0)) - (portRef D (instanceRef trigger_length_11)) - )) (net trigger_length_11 (joined (portRef Q (instanceRef trigger_length_11)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_11_0)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3)) (portRef D (instanceRef buf_STATUS_OUT_59)) )) - (net un1_synced_timing_trg_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_11_0)) - (portRef D (instanceRef trigger_length_12)) - )) (net trigger_length_12 (joined (portRef Q (instanceRef trigger_length_12)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_11_0)) - (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) - (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) + (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) (portRef D (instanceRef buf_STATUS_OUT_60)) )) - (net un1_synced_timing_trg_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_cry_13_0)) - (portRef D (instanceRef trigger_length_13)) - )) (net trigger_length_13 (joined (portRef Q (instanceRef trigger_length_13)) (portRef A0 (instanceRef un1_synced_timing_trg_cry_13_0)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) - (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) + (portRef D (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) + (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) (portRef D (instanceRef buf_STATUS_OUT_61)) )) - (net un1_synced_timing_trg_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_synced_timing_trg_cry_13_0)) - (portRef D (instanceRef trigger_length_14)) - )) (net trigger_length_14 (joined (portRef Q (instanceRef trigger_length_14)) (portRef A1 (instanceRef un1_synced_timing_trg_cry_13_0)) + (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_1)) (portRef D (instanceRef buf_STATUS_OUT_62)) )) - (net un1_synced_timing_trg_s_15_0_S0 (joined - (portRef S0 (instanceRef un1_synced_timing_trg_s_15_0)) - (portRef D (instanceRef trigger_length_15)) - )) (net trigger_length_15 (joined (portRef Q (instanceRef trigger_length_15)) (portRef A0 (instanceRef un1_synced_timing_trg_s_15_0)) + (portRef C (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_1)) (portRef D (instanceRef buf_STATUS_OUT_63)) )) @@ -77902,27 +78118,27 @@ (portRef A0 (instanceRef un1_timing_trg_reg_1_s_15_0)) (portRef D (instanceRef buf_STATUS_OUT_47)) )) - (net CURRENT_STATE_s3_i_o4 (joined - (portRef Z (instanceRef CURRENT_STATE_s3_i_o4)) + (net N_6488_i (joined + (portRef Z (instanceRef trg_rst_RNO)) (portRef D (instanceRef trg_rst)) )) (net trg_rst (joined (portRef Q (instanceRef trg_rst)) - (portRef A (instanceRef trg_rst_RNIVTP3)) + (portRef A (instanceRef THE_LATCH_PROC_un5_reset_i)) )) (net buf_LVL1_TRG_RELEASE_IN (joined (portRef Q (instanceRef trg_rel)) - (portRef B (instanceRef short_tmg_trg_RNO_0)) - (portRef A (instanceRef LVL1_LONG_TRG_OUT_RNO)) + (portRef A (instanceRef WRONG_POLAR_PROC_un32_reset_i)) + (portRef B (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) (portRef A (instanceRef trg_rel_RNI95O3)) (portRef D (instanceRef lvl1_int_trg_ce)) (portRef B (instanceRef short_tmg_trg_RNO)) (portRef buf_LVL1_TRG_RELEASE_IN) )) (net next_toc_ce_0_sqmuxa (joined - (portRef Z (instanceRef next_toc_ce_0_sqmuxa_0_a2)) - (portRef B (instanceRef val_ttl_trg_RNO)) - (portRef D (instanceRef STATE_MEM_toc_rst_2_0_i)) + (portRef Z (instanceRef next_toc_ce_0_sqmuxa_0_a2_0_a2)) + (portRef D (instanceRef STATE_MEM_toc_rst_2_0_i_s)) + (portRef B (instanceRef next_val_ttl_trg_0_i_s)) (portRef D (instanceRef toc_save)) )) (net toc_save (joined @@ -77939,16 +78155,16 @@ (portRef SP (instanceRef lvl1_delay_1)) (portRef SP (instanceRef lvl1_delay_0)) )) - (net toc_rst_2_0_i (joined - (portRef Z (instanceRef STATE_MEM_toc_rst_2_0_i)) + (net toc_rst_2_0_i_s (joined + (portRef Z (instanceRef STATE_MEM_toc_rst_2_0_i_s)) (portRef D (instanceRef toc_rst)) )) (net toc_rst (joined (portRef Q (instanceRef toc_rst)) - (portRef A (instanceRef toc_rst_RNI01L3)) + (portRef A (instanceRef THE_TIMEOUT_CTR_PROC_un11_reset_i)) )) (net next_toc_ce_1_sqmuxa (joined - (portRef Z (instanceRef CURRENT_STATE_tr3)) + (portRef Z (instanceRef CURRENT_STATE_tr3_0_a3)) (portRef D (instanceRef toc_ce)) )) (net toc_ce (joined @@ -77976,7 +78192,7 @@ )) (net common_ctrl_reg_1 (joined (portRef common_ctrl_reg_1) - (portRef B (instanceRef STAT_CTR_PROC_un39_reset_i)) + (portRef B (instanceRef STAT_CTR_PROC_un39_reset_0_i)) (portRef CD (instanceRef tmg_edge_ctr_15)) (portRef CD (instanceRef tmg_edge_ctr_14)) (portRef CD (instanceRef tmg_edge_ctr_13)) @@ -78054,29 +78270,19 @@ (portRef Q (instanceRef tmg_edge_async)) (portRef D (instanceRef sr0)) )) - (net timing_trg_comb_0_i (joined + (net N_6552_i (joined (portRef Z (instanceRef timing_trg_reg_RNO_0)) (portRef D (instanceRef timing_trg_reg_0)) )) - (net timing_trg_reg_2 (joined - (portRef Q (instanceRef timing_trg_reg_2)) - (portRef C (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) - (portRef D (instanceRef timing_trg_reg_3)) - )) - (net timing_trg_reg_3 (joined - (portRef Q (instanceRef timing_trg_reg_3)) - (portRef D (instanceRef MULTIPLE_TRG_FND_PROC_un12_timing_trg_rising)) - (portRef D (instanceRef prev_trg_reg_3)) - )) - (net un5_reset_0_i (joined - (portRef Z (instanceRef trg_rst_RNIVTP3)) + (net N_217 (joined + (portRef Z (instanceRef THE_LATCH_PROC_un5_reset_i)) (portRef CD (instanceRef short_tmg_trg)) (portRef CD (instanceRef timeout_found)) (portRef CD (instanceRef timing_trg_found)) )) (net trg_timeout_detected_i (joined (portRef Q (instanceRef timeout_found)) - (portRef C (instanceRef STATE_MEM_toc_rst_2_0_a4)) + (portRef C (instanceRef CURRENT_STATE_ns_0_i_a3_0_0)) (portRef D (instanceRef buf_STATUS_OUT_11)) (portRef B (instanceRef timeout_found_fb)) (portRef trg_timeout_detected_i) @@ -78085,8 +78291,8 @@ (portRef S1 (instanceRef un2_toc_ce_cry_0_0)) (portRef D (instanceRef timeout_ctr_0)) )) - (net un11_reset_0_i (joined - (portRef Z (instanceRef toc_rst_RNI01L3)) + (net N_219 (joined + (portRef Z (instanceRef THE_TIMEOUT_CTR_PROC_un11_reset_i)) (portRef CD (instanceRef timeout_ctr_10)) (portRef CD (instanceRef timeout_ctr_9)) (portRef CD (instanceRef timeout_ctr_8)) @@ -78205,20 +78411,20 @@ (portRef D (instanceRef and_all_tmp)) (portRef D (instanceRef lvl1_delay_10)) )) - (net N_186_0 (joined - (portRef Z (instanceRef next_spurious_trg_0_sqmuxa_i)) + (net N_6332_i (joined + (portRef Z (instanceRef spurious_trg_RNO)) (portRef D (instanceRef spurious_trg)) )) - (net un1_next_spurious_trg_0_sqmuxa_0_i (joined - (portRef Z (instanceRef spurious_trg_RNO)) + (net un1_next_spurious_trg_0_sqmuxa_0_i_s (joined + (portRef Z (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) (portRef SP (instanceRef spurious_trg)) )) (net un1_spurious_trg_1_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_spurious_trg_1_cry_0_0)) (portRef D (instanceRef spurious_ctr_0)) )) - (net N_19 (joined - (portRef Z (instanceRef STAT_CTR_PROC_un39_reset_i)) + (net un39_reset_0_i (joined + (portRef Z (instanceRef STAT_CTR_PROC_un39_reset_0_i)) (portRef CD (instanceRef ctr_lock)) (portRef CD (instanceRef missing_ctr_15)) (portRef CD (instanceRef missing_ctr_14)) @@ -78409,10 +78615,14 @@ (portRef S0 (instanceRef un1_prev_trg_reg_1_s_15_0)) (portRef D (instanceRef spikes_ctr_15)) )) - (net un13_prev_trg_reg_0_i (joined - (portRef Z (instanceRef short_tmg_trg_RNO_0)) + (net N_241 (joined + (portRef Z (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) (portRef SP (instanceRef short_tmg_trg)) )) + (net prev_trg_reg_3 (joined + (portRef Q (instanceRef prev_trg_reg_3)) + (portRef A (instanceRef STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3)) + )) (net un1_timing_trg_found_1_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_timing_trg_found_1_cry_0_0)) (portRef D (instanceRef multiple_ctr_0)) @@ -78477,25 +78687,38 @@ (portRef S0 (instanceRef un1_timing_trg_found_1_s_15_0)) (portRef D (instanceRef multiple_ctr_15)) )) - (net un15_timing_trg_rising (joined - (portRef Z (instanceRef MULTIPLE_TRG_FND_PROC_un15_timing_trg_rising)) + (net N_247_i (joined + (portRef Z (instanceRef mult_trg_lock_RNO)) (portRef D (instanceRef mult_trg_lock)) )) + (net mult_trg_lock_en_i (joined + (portRef Z (instanceRef mult_trg_lock_en_i)) + (portRef SP (instanceRef mult_trg_lock)) + )) (net un8_reset_0_i (joined (portRef Z (instanceRef trg_rel_RNI95O3)) (portRef CD (instanceRef mult_trg_found)) (portRef CD (instanceRef mult_trg_lock)) )) + (net mult_trg_lock (joined + (portRef Q (instanceRef mult_trg_lock)) + (portRef B (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) + (portRef B (instanceRef mult_trg_lock_RNO)) + (portRef B (instanceRef mult_trg_lock_en_i)) + )) (net trg_multiple_trg_i (joined (portRef Q (instanceRef mult_trg_found)) - (portRef D (instanceRef buf_STATUS_OUTc)) - (portRef C (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef D (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef D (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) + (portRef C (instanceRef ctr_lock_RNO_1)) + (portRef D (instanceRef buf_STATUS_OUTc_3)) + (portRef B (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3)) (portRef D (instanceRef error_pattern_18)) (portRef B (instanceRef mult_trg_found_fb)) (portRef trg_multiple_trg_i) )) + (net un1_next_spurious_trg_0_sqmuxa_2_0_i_s (joined + (portRef Z (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef SP (instanceRef missing_tmg)) + )) (net un1_invalid_trg_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_invalid_trg_cry_0_0)) (portRef D (instanceRef missing_ctr_0)) @@ -78673,16 +78896,10 @@ (portRef Q (instanceRef lvl1_delay_10)) (portRef D (instanceRef buf_STATUS_OUT_26)) )) - (net trg_invalid_i (joined - (portRef Q (instanceRef invalid_trg)) - (portRef B0 (instanceRef un1_invalid_trg_cry_0_0)) - (portRef C (instanceRef un1_invalid_trg_1)) - (portRef C (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef C (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) - (portRef A (instanceRef STAT_PROC_un6_val_trg)) - (portRef D (instanceRef error_pattern_17)) - (portRef D (instanceRef invalid_trg_RNIHLOF)) - (portRef trg_invalid_i) + (net N_6644 (joined + (portRef Z (instanceRef invalid_trgc)) + (portRef A (instanceRef un1_next_spurious_trg_0_sqmuxa_2_0_i_s)) + (portRef D (instanceRef invalid_trg)) )) (net buf_LVL1_ERROR_PATTERN_IN_0 (joined (portRef Q (instanceRef error_pattern_16)) @@ -78714,7 +78931,7 @@ )) (net trg_spike_detected_i (joined (portRef Q (instanceRef short_tmg_trg)) - (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_16_0_i_4)) + (portRef C (instanceRef buf_STATUS_OUT_RNO_4)) (portRef D (instanceRef error_pattern_24)) (portRef trg_spike_detected_i) )) @@ -78722,14 +78939,10 @@ (portRef Q (instanceRef error_pattern_24)) (portRef signal_sync_1) )) - (net un1_next_spurious_trg_0_sqmuxa_1_0_i (joined - (portRef Z (instanceRef data_valid_RNO)) - (portRef SP (instanceRef data_valid)) - )) (net trg_data_valid_i (joined (portRef Q (instanceRef data_valid)) - (portRef C (instanceRef short_tmg_trg_RNO_0)) - (portRef C (instanceRef LVL1_LONG_TRG_OUT_RNO)) + (portRef C (instanceRef WRONG_POLAR_PROC_un32_reset_i)) + (portRef C (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) (portRef D (instanceRef buf_STATUS_OUT_14)) (portRef A (instanceRef short_tmg_trg_RNO)) (portRef trg_data_valid_i) @@ -78738,17 +78951,10 @@ (portRef Z (instanceRef ctr_lock_RNO)) (portRef D (instanceRef ctr_lock)) )) - (net un1_invalid_trg_1_0 (joined - (portRef Z (instanceRef un1_invalid_trg_1)) + (net N_253_i (joined + (portRef Z (instanceRef ctr_lock_RNO_0)) (portRef SP (instanceRef ctr_lock)) )) - (net ctr_lock (joined - (portRef Q (instanceRef ctr_lock)) - (portRef C (instanceRef STAT_CTR_PROC_un9_spurious_trg)) - (portRef A (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef A (instanceRef STAT_CTR_PROC_un37_timing_trg_found)) - (portRef A (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) - )) (net stat_lvl1_handler_0 (joined (portRef Q (instanceRef buf_STATUS_OUT_0)) (portRef stat_lvl1_handler_0) @@ -78761,27 +78967,20 @@ (portRef Q (instanceRef buf_STATUS_OUT_2)) (portRef stat_lvl1_handler_2) )) - (net buf_STATUS_OUT_16_0_i_4 (joined - (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_16_0_i_4)) + (net buf_STATUS_OUTc_i (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_4)) (portRef D (instanceRef buf_STATUS_OUT_4)) )) - (net buf_STATUS_OUT_542 (joined - (portRef Z (instanceRef buf_STATUS_OUT_542)) - (portRef CD (instanceRef buf_STATUS_OUT_7)) - (portRef CD (instanceRef buf_STATUS_OUT_6)) - (portRef CD (instanceRef buf_STATUS_OUT_5)) - (portRef CD (instanceRef buf_STATUS_OUT_4)) - )) - (net buf_STATUS_OUT_15_0_i_5 (joined - (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_15_0_i_5)) + (net buf_STATUS_OUTc_0_i (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_5)) (portRef D (instanceRef buf_STATUS_OUT_5)) )) - (net buf_STATUS_OUT_14_0_i_6 (joined - (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_14_0_i_6)) + (net buf_STATUS_OUTc_1_i (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_6)) (portRef D (instanceRef buf_STATUS_OUT_6)) )) - (net buf_STATUS_OUT_13_0_i_7 (joined - (portRef Z (instanceRef STAT_PROC_buf_STATUS_OUT_13_0_i_7)) + (net buf_STATUS_OUTc_2_i (joined + (portRef Z (instanceRef buf_STATUS_OUT_RNO_7)) (portRef D (instanceRef buf_STATUS_OUT_7)) )) (net stat_lvl1_handler_11 (joined @@ -78792,17 +78991,10 @@ (portRef Q (instanceRef buf_STATUS_OUT_12)) (portRef stat_lvl1_handler_12) )) - (net buf_STATUS_OUTc (joined - (portRef Z (instanceRef buf_STATUS_OUTc)) + (net buf_STATUS_OUTc_3 (joined + (portRef Z (instanceRef buf_STATUS_OUTc_3)) (portRef D (instanceRef buf_STATUS_OUT_13)) )) - (net common_ctrl_reg_0 (joined - (portRef common_ctrl_reg_0) - (portRef B (instanceRef buf_STATUS_OUT_542)) - (portRef B (instanceRef wrong_polarity_RNO)) - (portRef CD (instanceRef buf_STATUS_OUT_13)) - (portRef A (instanceRef invalid_trg_RNIHLOF)) - )) (net stat_lvl1_handler_14 (joined (portRef Q (instanceRef buf_STATUS_OUT_14)) (portRef stat_lvl1_handler_14) @@ -78983,8 +79175,8 @@ (portRef Q (instanceRef buf_STATUS_OUT_63)) (portRef stat_lvl1_handler_63) )) - (net un32_reset_0_i (joined - (portRef Z (instanceRef LVL1_LONG_TRG_OUT_RNO)) + (net N_237 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_un32_reset_i)) (portRef CD (instanceRef LVL1_LONG_TRG_OUT)) )) (net int_lvl1_long_trg (joined @@ -78992,138 +79184,165 @@ (portRef B (instanceRef LVL1_LONG_TRG_OUT_fb)) (portRef int_lvl1_long_trg) )) - (net CURRENT_STATE_ns_0_i_0 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_0_i_0)) + (net N_6358 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_0_i_s_0)) (portRef D (instanceRef CURRENT_STATE_0)) )) - (net CURRENT_STATE_ns_0_i_1 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_0_i_1)) - (portRef D (instanceRef CURRENT_STATE_1)) - )) (net un6_val_trg_0 (joined (portRef Z (instanceRef STAT_PROC_un6_val_trg)) - (portRef A (instanceRef buf_STATUS_OUTc)) + (portRef A (instanceRef buf_STATUS_OUTc_3)) )) - (net N_206_0 (joined - (portRef Z (instanceRef STATE_TRANSFORM_un23_timing_trg_found_i_0_o2)) - (portRef A (instanceRef STATE_MEM_toc_rst_2_0_i)) + (net N_6484 (joined + (portRef Z (instanceRef STAT_CTR_PROC_un38_timing_trg_found_i_0_o3_0)) + (portRef B (instanceRef ctr_lock_RNO)) + (portRef B (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) )) - (net N_254_i (joined - (portRef Z (instanceRef CURRENT_STATE_ns_0_x2_0)) - (portRef C (instanceRef CURRENT_STATE_ns_0_i_0)) + (net N_6388 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_o2)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) + (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) )) - (net un5lt2_0 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) + (net common_ctrl_reg_0 (joined + (portRef common_ctrl_reg_0) + (portRef C (instanceRef buf_STATUS_OUTc_3)) + (portRef B (instanceRef buf_STATUS_OUT_RNO_4)) + (portRef B (instanceRef buf_STATUS_OUT_RNO_5)) + (portRef B (instanceRef buf_STATUS_OUT_RNO_6)) + (portRef C (instanceRef buf_STATUS_OUT_RNO_7)) + (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_18_i_o3_5)) + (portRef A (instanceRef invalid_trg_RNIHLOF)) )) - (net un5_0_2 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_4)) - (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) + (net N_383_i (joined + (portRef Z (instanceRef CURRENT_STATE_ns_0_i_x2_0)) + (portRef A (instanceRef CURRENT_STATE_ns_0_i_s_0)) + )) + (net un5_0_3 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3)) + (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) )) (net un5_0_5 (joined (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_1)) - (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) + (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) )) (net tmp_4 (joined (portRef Z (instanceRef and_all_tmp_4)) (portRef A (instanceRef and_all_tmp)) )) - (net stat_lvl1_handler_4 (joined - (portRef Q (instanceRef buf_STATUS_OUT_4)) - (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_16_0_i_4)) - (portRef stat_lvl1_handler_4) - )) - (net stat_lvl1_handler_5 (joined - (portRef Q (instanceRef buf_STATUS_OUT_5)) - (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_15_0_i_5)) - (portRef stat_lvl1_handler_5) - )) - (net trg_missing_tmg_trg_i (joined - (portRef Q (instanceRef missing_tmg)) - (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_15_0_i_5)) - (portRef trg_missing_tmg_trg_i) - )) - (net stat_lvl1_handler_6 (joined - (portRef Q (instanceRef buf_STATUS_OUT_6)) - (portRef A (instanceRef STAT_PROC_buf_STATUS_OUT_14_0_i_6)) - (portRef stat_lvl1_handler_6) - )) - (net trg_spurious_trg_i (joined - (portRef Q (instanceRef spurious_trg)) - (portRef D (instanceRef STAT_CTR_PROC_un9_spurious_trg)) - (portRef D (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_14_0_i_6)) - (portRef trg_spurious_trg_i) - )) - (net stat_lvl1_handler_7 (joined - (portRef Q (instanceRef buf_STATUS_OUT_7)) - (portRef B (instanceRef STAT_PROC_buf_STATUS_OUT_13_0_i_7)) - (portRef stat_lvl1_handler_7) + (net trg_information_i_7 (joined + (portRef (member trg_information_i 0)) + (portRef B (instanceRef un1_next_spurious_trg_0_sqmuxa_0_i_s)) + (portRef C (instanceRef next_val_ttl_trg_0_i_s)) + (portRef A (instanceRef spurious_trg_RNO)) + (portRef A (instanceRef next_val_ttl_trg_0_i_o2)) )) - (net un10_prev_trg_reg (joined - (portRef Z (instanceRef SHORT_TMG_TRG_PROC_un10_prev_trg_reg)) - (portRef A (instanceRef short_tmg_trg_RNO_0)) - (portRef A (instanceRef STAT_CTR_PROC_un9_spurious_trg)) + (net mult_trg_found_0_sqmuxa_i_o3 (joined + (portRef Z (instanceRef mult_trg_found_0_sqmuxa_i_o3)) + (portRef A (instanceRef mult_trg_found_0_sqmuxa_i_o3_RNIRD1R)) + (portRef A (instanceRef mult_trg_lock_RNO)) + (portRef A (instanceRef mult_trg_lock_en_i)) )) (net un13_timing_trg_reg (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un13_timing_trg_reg)) + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_trigger_length_3_i_a3_1)) (portRef B0 (instanceRef un1_timing_trg_reg_1_cry_0_0)) )) + (net N_6490 (joined + (portRef Z (instanceRef STAT_CTR_PROC_un25_prev_trg_reg_i_0_o3)) + (portRef B (instanceRef ctr_lock_RNO_0)) + (portRef C (instanceRef ctr_lock_RNO)) + (portRef B (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef C (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) + (portRef A (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) + )) (net tmp_6 (joined (portRef Z (instanceRef and_all_tmp_6)) (portRef A (instanceRef and_all_tmp_8)) )) - (net un4_synced_timing_trg_3 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_3_0)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - )) - (net un5lto15_1 (joined - (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_1)) - (portRef C (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) + (net un6_synced_timing_trg_i_a3_2 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_2)) + (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) )) (net trg_int_number_i_0 (joined (portRef Q (instanceRef lvl1_int_trg_number_0)) (portRef A1 (instanceRef un1_next_trg_num_match_0_I_1_0)) (portRef B1 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_0_0)) + (portRef D (instanceRef lvl1_int_trg_number_RNI4CID1_0)) (portRef D (instanceRef lvl1_int_trg_number_RNO_0)) (portRef (member trg_int_number_i 15)) )) - (net un38_timing_trg_found (joined - (portRef Z (instanceRef STAT_CTR_PROC_un38_timing_trg_found)) - (portRef B0 (instanceRef un1_timing_trg_found_1_cry_0_0)) - (portRef B (instanceRef ctr_lock_RNO)) + (net N_6494 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_o2)) + (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) + )) + (net stat_lvl1_handler_7 (joined + (portRef Q (instanceRef buf_STATUS_OUT_7)) + (portRef B (instanceRef buf_STATUS_OUT_RNO_7)) + (portRef stat_lvl1_handler_7) + )) + (net stat_lvl1_handler_6 (joined + (portRef Q (instanceRef buf_STATUS_OUT_6)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_6)) + (portRef stat_lvl1_handler_6) )) - (net multiple_ctr8 (joined - (portRef Z (instanceRef STAT_CTR_PROC_un14_invalid_trg)) - (portRef A (instanceRef un1_invalid_trg_1)) + (net trg_spurious_trg_i (joined + (portRef Q (instanceRef spurious_trg)) + (portRef D (instanceRef ctr_lock_RNO_1)) + (portRef D (instanceRef ctr_lock_RNO)) + (portRef D (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) + (portRef C (instanceRef buf_STATUS_OUT_RNO_6)) + (portRef trg_spurious_trg_i) )) - (net un5lt6_0 (joined - (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto4)) - (portRef A (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) + (net stat_lvl1_handler_5 (joined + (portRef Q (instanceRef buf_STATUS_OUT_5)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_5)) + (portRef stat_lvl1_handler_5) + )) + (net trg_missing_tmg_trg_i (joined + (portRef Q (instanceRef missing_tmg)) + (portRef C (instanceRef buf_STATUS_OUT_RNO_5)) + (portRef trg_missing_tmg_trg_i) + )) + (net stat_lvl1_handler_4 (joined + (portRef Q (instanceRef buf_STATUS_OUT_4)) + (portRef A (instanceRef buf_STATUS_OUT_RNO_4)) + (portRef stat_lvl1_handler_4) )) (net tmp_8 (joined (portRef Z (instanceRef and_all_tmp_8)) (portRef B (instanceRef and_all_tmp)) )) - (net un4_synced_timing_trg_7 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_7)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) + (net un6_synced_timing_trg_i_a3_6 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_6)) + (portRef A (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) + )) + (net un6_synced_timing_trg_i_a3_5 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_5)) + (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) )) - (net un4_synced_timing_trg_6 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_6)) - (portRef A (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) + (net un6_synced_timing_trg_i_a3_7 (joined + (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg_i_a3_7)) + (portRef B (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) )) - (net un4_synced_timing_trg_8 (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un4_synced_timing_trg_8_0)) - (portRef B (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) + (net un5lto15_i_2 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_2)) + (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) )) (net stat_lvl1_handler_13 (joined (portRef Q (instanceRef buf_STATUS_OUT_13)) - (portRef C (instanceRef buf_STATUS_OUTc)) + (portRef B (instanceRef buf_STATUS_OUTc_3)) (portRef stat_lvl1_handler_13) )) + (net trg_type_i_3 (joined + (portRef (member trg_type_i 0)) + (portRef D (instanceRef next_val_ttl_trg_0_i_s)) + (portRef B (instanceRef next_val_ttl_trg_0_i_o2)) + )) + (net LVL1_TRG_RELEASE_OUT_0_a2 (joined + (portRef LVL1_TRG_RELEASE_OUT_0_a2) + (portRef D (instanceRef WRONG_POLAR_PROC_un32_reset_i)) + (portRef D (instanceRef SHORT_TMG_TRG_PROC_un13_prev_trg_reg_i)) + (portRef SP (instanceRef trg_num_match)) + )) (net stretched_fake_trg (joined (portRef stretched_fake_trg (instanceRef THE_PULSE_STRETCH)) (portRef A (instanceRef timing_trg_reg_RNO_0)) @@ -79134,55 +79353,49 @@ )) (net sync_q_1_0_2 (joined (portRef (member sync_q_1Z0Z_0 0) (instanceRef GEN_SYNC_THE_TIMING_TRG_SYNC)) - (portRef D (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) + (portRef D (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) (portRef D (instanceRef timing_trg_reg_RNO_0)) ) ) - (net un9_spurious_trg (joined - (portRef Z (instanceRef STAT_CTR_PROC_un9_spurious_trg)) - (portRef B0 (instanceRef un1_spurious_trg_1_cry_0_0)) - (portRef A (instanceRef ctr_lock_RNO)) + (net un5lto15_i_3 (joined + (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i_3)) + (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_i)) )) - (net un5lto15_3 (joined - (portRef Z (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3)) - (portRef B (instanceRef WRONG_POLAR_PROC_op_gt_un5lto15_3_RNI9Q161)) + (net port_select_int_0 (joined + (portRef (member port_select_int 0)) + (portRef A (instanceRef lvl1_int_trg_number_RNI4CID1_0)) )) - (net LVL1_TRG_RELEASE_OUT (joined - (portRef LVL1_TRG_RELEASE_OUT) - (portRef D (instanceRef short_tmg_trg_RNO_0)) - (portRef D (instanceRef LVL1_LONG_TRG_OUT_RNO)) - (portRef SP (instanceRef trg_num_match)) + (net m6_6_03_1_1 (joined + (portRef m6_6_03_1_1) + (portRef B (instanceRef lvl1_int_trg_number_RNI4CID1_0)) + )) + (net first_fifo_read_RNIS8RB (joined + (portRef first_fifo_read_RNIS8RB) + (portRef C (instanceRef lvl1_int_trg_number_RNI4CID1_0)) + )) + (net d_N_6_0 (joined + (portRef Z (instanceRef lvl1_int_trg_number_RNI4CID1_0)) + (portRef d_N_6_0) )) (net un1_toc_ce (joined (portRef Z (instanceRef THE_TIMEOUT_CTR_PROC_un1_toc_ce)) (portRef B0 (instanceRef un2_toc_ce_cry_0_0)) )) - (net un6_synced_timing_trg (joined - (portRef Z (instanceRef COUNT_EDGES_AND_LENGTH_PROC_un6_synced_timing_trg)) - (portRef B0 (instanceRef un1_synced_timing_trg_cry_0_0)) - )) - (net port_select_int_0 (joined - (portRef (member port_select_int 0)) - (portRef A (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) - )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1) - (portRef B (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) + (net N_6329_i (joined + (portRef Z (instanceRef un1_spurious_trg_1_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_spurious_trg_1_cry_0_0)) )) - (net d_N_9 (joined - (portRef d_N_9) - (portRef C (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) + (net N_6328_i (joined + (portRef Z (instanceRef un1_prev_trg_reg_1_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_prev_trg_reg_1_cry_0_0)) )) - (net trg_int_number_i_3 (joined - (portRef Q (instanceRef lvl1_int_trg_number_3)) - (portRef B0 (instanceRef un1_next_trg_num_match_0_I_9_0)) - (portRef B0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_3_0)) - (portRef D (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) - (portRef (member trg_int_number_i 12)) + (net N_233_i (joined + (portRef Z (instanceRef un1_synced_timing_trg_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_synced_timing_trg_cry_0_0)) )) - (net d_m5_0_0 (joined - (portRef Z (instanceRef lvl1_int_trg_number_RNI6NOV2_3)) - (portRef d_m5_0_0) + (net N_253_i_1 (joined + (portRef Z (instanceRef ctr_lock_RNO_1)) + (portRef C (instanceRef ctr_lock_RNO_0)) )) (net COMMON_CTRL_REG_STROBE_1 (joined (portRef (member common_ctrl_reg_strobe 0)) @@ -79243,6 +79456,12 @@ (portRef COUT (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_1_0)) (portRef CIN (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_3_0)) )) + (net trg_int_number_i_3 (joined + (portRef Q (instanceRef lvl1_int_trg_number_3)) + (portRef B0 (instanceRef un1_next_trg_num_match_0_I_9_0)) + (portRef B0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_3_0)) + (portRef (member trg_int_number_i 12)) + )) (net common_ctrl_reg_31 (joined (portRef common_ctrl_reg_31) (portRef C0 (instanceRef THE_INTERNAL_TRG_CTR_PROC_lvl1_int_trg_number_4_cry_3_0)) @@ -80238,7 +80457,9 @@ (port med_packet_num_out_2 (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) (port (array (rename med_data_out "med_data_out(15:0)") 16) (direction OUTPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction INPUT)) + (port current_output_data_buffer_0 (direction INPUT)) + (port current_output_data_buffer_3 (direction INPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction INPUT)) (port MED_IO_DATA_IN_0 (direction OUTPUT)) (port MED_IO_DATA_IN_1 (direction OUTPUT)) (port MED_IO_DATA_IN_2 (direction OUTPUT)) @@ -80355,15 +80576,15 @@ (port MED_IO_DATA_OUT_32 (direction INPUT)) (port MED_IO_DATA_OUT_84 (direction INPUT)) (port MED_IO_DATA_OUT_85 (direction INPUT)) - (port MED_IO_DATA_OUT_81 (direction INPUT)) (port MED_IO_DATA_OUT_65 (direction INPUT)) - (port MED_IO_DATA_OUT_90 (direction INPUT)) - (port MED_IO_DATA_OUT_87 (direction INPUT)) - (port MED_IO_DATA_OUT_88 (direction INPUT)) + (port MED_IO_DATA_OUT_81 (direction INPUT)) (port MED_IO_DATA_OUT_86 (direction INPUT)) + (port MED_IO_DATA_OUT_91 (direction INPUT)) (port MED_IO_DATA_OUT_89 (direction INPUT)) + (port MED_IO_DATA_OUT_90 (direction INPUT)) (port MED_IO_DATA_OUT_66 (direction INPUT)) - (port MED_IO_DATA_OUT_91 (direction INPUT)) + (port MED_IO_DATA_OUT_87 (direction INPUT)) + (port MED_IO_DATA_OUT_88 (direction INPUT)) (port MED_IO_PACKET_NUM_OUT_2 (direction INPUT)) (port MED_IO_PACKET_NUM_OUT_1 (direction INPUT)) (port MED_IO_PACKET_NUM_OUT_0 (direction INPUT)) @@ -80391,7 +80612,7 @@ (port (array (rename med_data_in "med_data_in(15:0)") 16) (direction INPUT)) (port (array (rename med_io_packet_num_in "MED_IO_PACKET_NUM_IN(5:3)") 3) (direction OUTPUT)) (port (array (rename med_packet_num_in "med_packet_num_in(2:0)") 3) (direction INPUT)) - (port N_538_i (direction INPUT)) + (port N_465_i (direction INPUT)) (port med_dataready_out (direction OUTPUT)) (port N_159_i_1 (direction INPUT)) (port N_160_i_1 (direction INPUT)) @@ -80670,10 +80891,10 @@ (instance loop6_0_un585_buf_int_read_out_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance real_reading_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance real_reading_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance real_reading_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance real_reading_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance next_demux_dr_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -80703,67 +80924,49 @@ (instance loop5_0_un490_buf_int_read_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) ) - (instance current_mux_buffer_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) - ) - (instance current_mux_buffer_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) - ) - (instance current_mux_buffer_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) - ) - (instance current_mux_buffer_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) - ) - (instance current_mux_buffer_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) - ) - (instance current_mux_buffer_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) - ) - (instance current_mux_buffer_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) + (instance current_mux_buffer_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) (instance current_mux_buffer_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -80775,13 +80978,13 @@ (instance current_mux_buffer_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) (instance current_mux_buffer_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -80796,35 +80999,41 @@ (instance current_mux_buffer_3_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + (instance current_mux_buffer_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + (instance current_mux_buffer_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) - (instance current_mux_buffer_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) + (instance current_mux_buffer_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance current_mux_buffer_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance current_mux_buffer_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) (instance current_mux_buffer_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) @@ -80838,12 +81047,24 @@ (instance current_mux_buffer_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) + ) + (instance current_mux_buffer_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance current_mux_buffer_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance current_mux_buffer_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) - (instance current_mux_buffer_1_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))")) ) + (instance current_mux_buffer_2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) (instance current_mux_buffer_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) ) @@ -80928,19 +81149,19 @@ (instance k_1_m5s4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance current_mux_buffer_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance current_mux_buffer_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance current_mux_buffer_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance current_mux_buffer_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance current_mux_buffer_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_mux_buffer_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance next_endpoint_locked_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -80975,10 +81196,10 @@ (property lut_function (string "(!D (C (B A)))")) ) (instance mux_read_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (property lut_function (string "(!B !A)")) ) (instance mux_read_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+!A)))")) + (property lut_function (string "(D+(C+(!B+!A)))")) ) (instance gen_sbuf_2_gen_input_sbuf_THE_SBUF (viewRef netlist (cellRef trb_net16_sbuf_5)) ) @@ -81072,7 +81293,6 @@ (portRef Q (instanceRef last_mux_enable_fast)) (portRef B (instanceRef buf_INT_READ_OUT_4_5)) (portRef B (instanceRef buf_INT_READ_OUT_4_4)) - (portRef B (instanceRef buf_INT_READ_OUT_4_2)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -81172,6 +81392,7 @@ (portRef B (instanceRef buf_INT_READ_OUT_4_7)) (portRef B (instanceRef buf_INT_READ_OUT_4_6)) (portRef B (instanceRef buf_INT_READ_OUT_4_3)) + (portRef B (instanceRef buf_INT_READ_OUT_4_2)) (portRef B (instanceRef buf_INT_READ_OUT_4_1)) (portRef B (instanceRef buf_INT_READ_OUT_4_0)) )) @@ -81463,15 +81684,15 @@ (portRef C (instanceRef current_mux_buffer_2_4)) (portRef C (instanceRef current_mux_buffer_2_3)) (portRef C (instanceRef current_mux_buffer_2_5)) - (portRef C (instanceRef current_mux_buffer_3_18)) - (portRef C (instanceRef current_mux_buffer_2_0)) + (portRef C (instanceRef current_mux_buffer_2_18)) (portRef C (instanceRef current_mux_buffer_2_1)) - (portRef C (instanceRef current_mux_buffer_2_13)) - (portRef C (instanceRef current_mux_buffer_2_14)) - (portRef C (instanceRef current_mux_buffer_2_16)) + (portRef D (instanceRef current_mux_buffer_3_0)) (portRef C (instanceRef current_mux_buffer_2_12)) - (portRef C (instanceRef current_mux_buffer_2_2)) + (portRef C (instanceRef current_mux_buffer_3_16)) (portRef C (instanceRef current_mux_buffer_2_15)) + (portRef C (instanceRef current_mux_buffer_2_2)) + (portRef C (instanceRef current_mux_buffer_2_14)) + (portRef C (instanceRef current_mux_buffer_2_13)) (portRef D (instanceRef loop1_0_un110_buf_int_read_out)) (portRef B (instanceRef real_reading_2_1)) (portRef B (instanceRef loop1_10_un165_var_mux_buffer)) @@ -81518,20 +81739,20 @@ (portRef D (instanceRef current_mux_buffer_2_4)) (portRef C (instanceRef current_mux_buffer_1_3)) (portRef D (instanceRef current_mux_buffer_2_5)) - (portRef D (instanceRef current_mux_buffer_3_18)) - (portRef D (instanceRef current_mux_buffer_2_0)) + (portRef C (instanceRef current_mux_buffer_3_18)) (portRef D (instanceRef current_mux_buffer_3_1)) - (portRef C (instanceRef current_mux_buffer_1_13)) - (portRef C (instanceRef current_mux_buffer_1_14)) - (portRef C (instanceRef current_mux_buffer_3_16)) + (portRef C (instanceRef current_mux_buffer_2_0)) (portRef C (instanceRef current_mux_buffer_1_12)) - (portRef C (instanceRef current_mux_buffer_2_10)) - (portRef C (instanceRef current_mux_buffer_2_7)) - (portRef C (instanceRef current_mux_buffer_2_8)) (portRef C (instanceRef current_mux_buffer_2_6)) - (portRef C (instanceRef current_mux_buffer_2_9)) (portRef C (instanceRef current_mux_buffer_2_11)) + (portRef C (instanceRef current_mux_buffer_2_9)) + (portRef D (instanceRef current_mux_buffer_3_16)) (portRef C (instanceRef current_mux_buffer_1_15)) + (portRef C (instanceRef current_mux_buffer_2_10)) + (portRef C (instanceRef current_mux_buffer_1_14)) + (portRef C (instanceRef current_mux_buffer_2_7)) + (portRef C (instanceRef current_mux_buffer_1_13)) + (portRef C (instanceRef current_mux_buffer_2_8)) (portRef D (instanceRef loop3_0_un300_buf_int_read_out)) (portRef B (instanceRef real_reading_4_3)) (portRef B (instanceRef loop3_2_un335_var_mux_buffer)) @@ -81555,20 +81776,20 @@ (portRef C (instanceRef current_mux_buffer_0_3)) (portRef C (instanceRef current_mux_buffer_1_5)) (portRef D (instanceRef current_mux_buffer_0_18)) - (portRef C (instanceRef current_mux_buffer_1_0)) (portRef C (instanceRef current_mux_buffer_1_1)) - (portRef C (instanceRef current_mux_buffer_0_13)) - (portRef C (instanceRef current_mux_buffer_0_14)) - (portRef C (instanceRef current_mux_buffer_1_16)) + (portRef C (instanceRef current_mux_buffer_1_0)) (portRef C (instanceRef current_mux_buffer_0_12)) - (portRef D (instanceRef current_mux_buffer_0_10)) - (portRef D (instanceRef current_mux_buffer_0_7)) - (portRef D (instanceRef current_mux_buffer_0_8)) (portRef D (instanceRef current_mux_buffer_0_6)) - (portRef D (instanceRef current_mux_buffer_0_9)) - (portRef D (instanceRef current_mux_buffer_0_2)) (portRef D (instanceRef current_mux_buffer_0_11)) + (portRef D (instanceRef current_mux_buffer_0_9)) + (portRef C (instanceRef current_mux_buffer_1_16)) (portRef C (instanceRef current_mux_buffer_0_15)) + (portRef D (instanceRef current_mux_buffer_0_10)) + (portRef D (instanceRef current_mux_buffer_0_2)) + (portRef C (instanceRef current_mux_buffer_0_14)) + (portRef D (instanceRef current_mux_buffer_0_7)) + (portRef C (instanceRef current_mux_buffer_0_13)) + (portRef D (instanceRef current_mux_buffer_0_8)) (portRef B (instanceRef real_reading_1_0)) (portRef Z (instanceRef buf_INT_READ_OUT_4_0)) ) @@ -81584,21 +81805,21 @@ (portRef D (instanceRef current_mux_buffer_1_4)) (portRef D (instanceRef current_mux_buffer_0_3)) (portRef D (instanceRef current_mux_buffer_1_5)) - (portRef D (instanceRef current_mux_buffer_1_18)) - (portRef D (instanceRef current_mux_buffer_0_0)) + (portRef D (instanceRef current_mux_buffer_2_18)) (portRef D (instanceRef current_mux_buffer_0_1)) - (portRef D (instanceRef current_mux_buffer_0_13)) - (portRef D (instanceRef current_mux_buffer_0_14)) - (portRef D (instanceRef current_mux_buffer_2_16)) + (portRef D (instanceRef current_mux_buffer_0_0)) (portRef D (instanceRef current_mux_buffer_0_12)) - (portRef C (instanceRef current_mux_buffer_1_10)) - (portRef C (instanceRef current_mux_buffer_1_7)) - (portRef C (instanceRef current_mux_buffer_1_8)) (portRef C (instanceRef current_mux_buffer_1_6)) - (portRef C (instanceRef current_mux_buffer_1_9)) - (portRef C (instanceRef current_mux_buffer_1_2)) (portRef C (instanceRef current_mux_buffer_1_11)) + (portRef C (instanceRef current_mux_buffer_1_9)) + (portRef C (instanceRef current_mux_buffer_2_16)) (portRef D (instanceRef current_mux_buffer_0_15)) + (portRef C (instanceRef current_mux_buffer_1_10)) + (portRef C (instanceRef current_mux_buffer_1_2)) + (portRef D (instanceRef current_mux_buffer_0_14)) + (portRef C (instanceRef current_mux_buffer_1_7)) + (portRef D (instanceRef current_mux_buffer_0_13)) + (portRef C (instanceRef current_mux_buffer_1_8)) (portRef D (instanceRef loop2_0_un205_buf_int_read_out)) (portRef B (instanceRef real_reading_3_2)) (portRef Z (instanceRef buf_INT_READ_OUT_4_2)) @@ -81611,24 +81832,24 @@ ) ) (net buf_INT_READ_OUT_6 (joined - (portRef (member buf_int_read_out 1) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef (member buf_int_read_out 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef D (instanceRef current_mux_buffer_0_4)) (portRef D (instanceRef current_mux_buffer_1_3)) (portRef D (instanceRef current_mux_buffer_0_5)) - (portRef D (instanceRef current_mux_buffer_1_0)) (portRef D (instanceRef current_mux_buffer_1_1)) - (portRef D (instanceRef current_mux_buffer_1_13)) - (portRef D (instanceRef current_mux_buffer_1_14)) - (portRef D (instanceRef current_mux_buffer_1_16)) + (portRef D (instanceRef current_mux_buffer_1_0)) (portRef D (instanceRef current_mux_buffer_1_12)) - (portRef D (instanceRef current_mux_buffer_1_10)) - (portRef D (instanceRef current_mux_buffer_1_7)) - (portRef D (instanceRef current_mux_buffer_1_8)) (portRef D (instanceRef current_mux_buffer_1_6)) - (portRef D (instanceRef current_mux_buffer_1_9)) - (portRef D (instanceRef current_mux_buffer_1_2)) (portRef D (instanceRef current_mux_buffer_1_11)) + (portRef D (instanceRef current_mux_buffer_1_9)) + (portRef D (instanceRef current_mux_buffer_1_16)) (portRef D (instanceRef current_mux_buffer_1_15)) + (portRef D (instanceRef current_mux_buffer_1_10)) + (portRef D (instanceRef current_mux_buffer_1_2)) + (portRef D (instanceRef current_mux_buffer_1_14)) + (portRef D (instanceRef current_mux_buffer_1_7)) + (portRef D (instanceRef current_mux_buffer_1_13)) + (portRef D (instanceRef current_mux_buffer_1_8)) (portRef B (instanceRef loop6_0_un585_buf_int_read_out_1)) (portRef B (instanceRef real_reading_7_6)) (portRef Z (instanceRef buf_INT_READ_OUT_4_6)) @@ -81677,32 +81898,32 @@ ) ) (net buf_INT_READ_OUT_7 (joined - (portRef (member buf_int_read_out 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef (member buf_int_read_out 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) (portRef C (instanceRef current_mux_buffer_3_4)) (portRef C (instanceRef current_mux_buffer_3_5)) (portRef D (instanceRef current_mux_buffer_2_3)) - (portRef D (instanceRef current_mux_buffer_3_0)) + (portRef D (instanceRef current_mux_buffer_3_18)) (portRef D (instanceRef current_mux_buffer_2_1)) - (portRef D (instanceRef current_mux_buffer_2_13)) - (portRef D (instanceRef current_mux_buffer_2_14)) - (portRef D (instanceRef current_mux_buffer_3_16)) + (portRef D (instanceRef current_mux_buffer_2_0)) (portRef D (instanceRef current_mux_buffer_2_12)) - (portRef D (instanceRef current_mux_buffer_2_10)) - (portRef D (instanceRef current_mux_buffer_2_7)) - (portRef D (instanceRef current_mux_buffer_2_8)) (portRef D (instanceRef current_mux_buffer_2_6)) - (portRef D (instanceRef current_mux_buffer_2_9)) - (portRef D (instanceRef current_mux_buffer_2_2)) (portRef D (instanceRef current_mux_buffer_2_11)) + (portRef D (instanceRef current_mux_buffer_2_9)) + (portRef D (instanceRef current_mux_buffer_2_16)) (portRef D (instanceRef current_mux_buffer_2_15)) + (portRef D (instanceRef current_mux_buffer_2_10)) + (portRef D (instanceRef current_mux_buffer_2_2)) + (portRef D (instanceRef current_mux_buffer_2_14)) + (portRef D (instanceRef current_mux_buffer_2_7)) + (portRef D (instanceRef current_mux_buffer_2_13)) + (portRef D (instanceRef current_mux_buffer_2_8)) (portRef D (instanceRef loop7_0_un680_buf_int_read_out)) (portRef B (instanceRef real_reading_8_7)) (portRef Z (instanceRef buf_INT_READ_OUT_4_7)) ) ) (net buf_INT_PACKET_NUM_IN_20 (joined - (portRef buf_INT_PACKET_NUM_IN_2 (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef A (instanceRef loop6_0_un585_buf_int_read_out_1)) ) ) @@ -81736,8 +81957,8 @@ )) (net buf_INT_READ_OUT_4 (joined (portRef B (instanceRef current_mux_buffer_0_18)) - (portRef B (instanceRef current_mux_buffer_0_0)) (portRef B (instanceRef current_mux_buffer_0_1)) + (portRef B (instanceRef current_mux_buffer_0_0)) (portRef C (instanceRef current_mux_buffer_0_16)) (portRef B (instanceRef current_mux_buffer_0_2)) (portRef D (instanceRef loop4_0_un395_buf_int_read_out)) @@ -81751,7 +81972,7 @@ )) (net buf_INT_PACKET_NUM_IN_3 (joined (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_16)) + (portRef A (instanceRef current_mux_buffer_3_16)) (portRef A (instanceRef loop1_0_un110_buf_int_read_out)) ) ) @@ -81762,7 +81983,7 @@ ) (net buf_INT_PACKET_NUM_IN_5 (joined (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_3_18)) + (portRef A (instanceRef current_mux_buffer_2_18)) (portRef C (instanceRef loop1_0_un110_buf_int_read_out)) ) ) @@ -81772,7 +81993,7 @@ )) (net buf_INT_PACKET_NUM_IN_6 (joined (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_16)) + (portRef A (instanceRef current_mux_buffer_2_16)) (portRef A (instanceRef loop2_0_un205_buf_int_read_out)) ) ) @@ -81783,7 +82004,7 @@ ) (net buf_INT_PACKET_NUM_IN_8 (joined (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_1_18)) + (portRef B (instanceRef current_mux_buffer_2_18)) (portRef C (instanceRef loop2_0_un205_buf_int_read_out)) ) ) @@ -81794,7 +82015,7 @@ )) (net buf_INT_PACKET_NUM_IN_9 (joined (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_3_16)) + (portRef B (instanceRef current_mux_buffer_3_16)) (portRef A (instanceRef loop3_0_un300_buf_int_read_out)) ) ) @@ -81805,7 +82026,7 @@ ) (net buf_INT_PACKET_NUM_IN_11 (joined (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_3_18)) + (portRef A (instanceRef current_mux_buffer_3_18)) (portRef C (instanceRef loop3_0_un300_buf_int_read_out)) ) ) @@ -81816,7 +82037,7 @@ )) (net buf_INT_PACKET_NUM_IN_21 (joined (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_3_16)) + (portRef B (instanceRef current_mux_buffer_2_16)) (portRef A (instanceRef loop7_0_un680_buf_int_read_out)) ) ) @@ -81827,7 +82048,7 @@ ) (net buf_INT_PACKET_NUM_IN_23 (joined (portRef (member buf_int_packet_num_in 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef buf_INT_PACKET_NUM_IN_5 (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_3_18)) (portRef C (instanceRef loop7_0_un680_buf_int_read_out)) ) ) @@ -81845,111 +82066,200 @@ (portRef B (instanceRef loop5_0_un490_buf_int_read_out)) )) (net buf_INT_READ_OUT_5 (joined + (portRef (member med_io_read_in 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef B (instanceRef current_mux_buffer_0_4)) (portRef B (instanceRef current_mux_buffer_0_5)) - (portRef B (instanceRef current_mux_buffer_1_18)) - (portRef B (instanceRef current_mux_buffer_3_0)) (portRef B (instanceRef current_mux_buffer_3_1)) + (portRef B (instanceRef current_mux_buffer_3_0)) + (portRef B (instanceRef current_mux_buffer_0_6)) + (portRef B (instanceRef current_mux_buffer_0_11)) + (portRef B (instanceRef current_mux_buffer_0_9)) (portRef D (instanceRef current_mux_buffer_0_16)) (portRef B (instanceRef current_mux_buffer_0_10)) (portRef B (instanceRef current_mux_buffer_0_7)) (portRef B (instanceRef current_mux_buffer_0_8)) - (portRef B (instanceRef current_mux_buffer_0_6)) - (portRef B (instanceRef current_mux_buffer_0_9)) - (portRef B (instanceRef current_mux_buffer_0_11)) (portRef D (instanceRef loop5_0_un490_buf_int_read_out)) (portRef B (instanceRef real_reading_6_5)) (portRef (member med_io_read_in 0)) (portRef Z (instanceRef buf_INT_READ_OUT_4_5)) )) - (net buf_INT_DATA_IN_15 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_0_15)) + (net MED_IO_DATA_OUT_88 (joined + (portRef MED_IO_DATA_OUT_88) + (portRef A (instanceRef current_mux_buffer_0_8)) + )) + (net buf_INT_DATA_IN_8 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_8)) ) ) - (net buf_INT_DATA_IN_47 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_0_15)) + (net current_mux_buffer_0_0_8 (joined + (portRef Z (instanceRef current_mux_buffer_0_8)) + (portRef current_mux_buffer_0_0_8 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_8)) + )) + (net buf_INT_DATA_IN_40 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_8)) ) ) - (net current_mux_buffer_0_0_15 (joined - (portRef Z (instanceRef current_mux_buffer_0_15)) - (portRef current_mux_buffer_0_0_15 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_15)) + (net buf_INT_DATA_IN_104 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_8)) + ) + ) + (net current_mux_buffer_1_8 (joined + (portRef Z (instanceRef current_mux_buffer_1_8)) + (portRef current_mux_buffer_1_8 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_8)) )) - (net buf_INT_DATA_IN_63 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_15)) + (net buf_INT_DATA_IN_56 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_8)) ) ) - (net buf_INT_DATA_IN_111 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_15)) + (net buf_INT_DATA_IN_120 (joined + (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_8)) ) ) - (net current_mux_buffer_1_15 (joined - (portRef Z (instanceRef current_mux_buffer_1_15)) - (portRef current_mux_buffer_1_15 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_15)) + (net current_mux_buffer_2_8 (joined + (portRef Z (instanceRef current_mux_buffer_2_8)) + (portRef current_mux_buffer_2_8 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_8)) )) - (net buf_INT_DATA_IN_31 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_15)) + (net buf_INT_DATA_IN_13 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_0_13)) ) ) - (net buf_INT_DATA_IN_127 (joined - (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_15)) + (net buf_INT_DATA_IN_45 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_0_13)) ) ) - (net current_mux_buffer_2_15 (joined - (portRef Z (instanceRef current_mux_buffer_2_15)) - (portRef current_mux_buffer_2_15 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_15)) + (net current_mux_buffer_0_0_13 (joined + (portRef Z (instanceRef current_mux_buffer_0_13)) + (portRef current_mux_buffer_0_0_13 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_13)) )) - (net MED_IO_DATA_OUT_91 (joined - (portRef MED_IO_DATA_OUT_91) - (portRef A (instanceRef current_mux_buffer_0_11)) + (net buf_INT_DATA_IN_61 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_13)) + ) + ) + (net buf_INT_DATA_IN_109 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_13)) + ) + ) + (net current_mux_buffer_1_13 (joined + (portRef Z (instanceRef current_mux_buffer_1_13)) + (portRef current_mux_buffer_1_13 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_13)) )) - (net buf_INT_DATA_IN_11 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_11)) + (net buf_INT_DATA_IN_29 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_13)) ) ) - (net current_mux_buffer_0_0_11 (joined - (portRef Z (instanceRef current_mux_buffer_0_11)) - (portRef current_mux_buffer_0_0_11 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_11)) + (net buf_INT_DATA_IN_125 (joined + (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_13)) + ) + ) + (net current_mux_buffer_2_13 (joined + (portRef Z (instanceRef current_mux_buffer_2_13)) + (portRef current_mux_buffer_2_13 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_13)) )) - (net buf_INT_DATA_IN_43 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_11)) + (net MED_IO_DATA_OUT_87 (joined + (portRef MED_IO_DATA_OUT_87) + (portRef A (instanceRef current_mux_buffer_0_7)) + )) + (net buf_INT_DATA_IN_7 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_7)) ) ) - (net buf_INT_DATA_IN_107 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_11)) + (net current_mux_buffer_0_0_7 (joined + (portRef Z (instanceRef current_mux_buffer_0_7)) + (portRef current_mux_buffer_0_0_7 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_7)) + )) + (net buf_INT_DATA_IN_39 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_7)) ) ) - (net current_mux_buffer_1_11 (joined - (portRef Z (instanceRef current_mux_buffer_1_11)) - (portRef current_mux_buffer_1_11 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_11)) + (net buf_INT_DATA_IN_103 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_7)) + ) + ) + (net current_mux_buffer_1_7 (joined + (portRef Z (instanceRef current_mux_buffer_1_7)) + (portRef current_mux_buffer_1_7 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_7)) )) - (net buf_INT_DATA_IN_59 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_11)) + (net buf_INT_DATA_IN_55 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_7)) ) ) - (net buf_INT_DATA_IN_123 (joined - (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_11)) + (net buf_INT_DATA_IN_119 (joined + (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_7)) ) ) - (net current_mux_buffer_2_11 (joined - (portRef Z (instanceRef current_mux_buffer_2_11)) - (portRef current_mux_buffer_2_11 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_11)) + (net current_mux_buffer_2_7 (joined + (portRef Z (instanceRef current_mux_buffer_2_7)) + (portRef current_mux_buffer_2_7 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_7)) + )) + (net buf_INT_DATA_IN_14 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_0_14)) + ) + ) + (net buf_INT_DATA_IN_46 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_0_14)) + ) + ) + (net current_mux_buffer_0_0_14 (joined + (portRef Z (instanceRef current_mux_buffer_0_14)) + (portRef current_mux_buffer_0_0_14 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_14)) + )) + (net buf_INT_DATA_IN_62 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_14)) + ) + ) + (net buf_INT_DATA_IN_110 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_14)) + ) + ) + (net current_mux_buffer_1_14 (joined + (portRef Z (instanceRef current_mux_buffer_1_14)) + (portRef current_mux_buffer_1_14 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_14)) + )) + (net buf_INT_DATA_IN_30 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_14)) + ) + ) + (net buf_INT_DATA_IN_126 (joined + (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_14)) + ) + ) + (net current_mux_buffer_2_14 (joined + (portRef Z (instanceRef current_mux_buffer_2_14)) + (portRef current_mux_buffer_2_14 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_14)) )) (net buf_INT_DATA_IN_2 (joined (portRef (member buf_int_data_in 13) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) @@ -81991,6 +82301,136 @@ (portRef current_mux_buffer_2_2 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_2)) )) + (net MED_IO_DATA_OUT_90 (joined + (portRef MED_IO_DATA_OUT_90) + (portRef A (instanceRef current_mux_buffer_0_10)) + )) + (net buf_INT_DATA_IN_10 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_10)) + ) + ) + (net current_mux_buffer_0_0_10 (joined + (portRef Z (instanceRef current_mux_buffer_0_10)) + (portRef current_mux_buffer_0_0_10 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_10)) + )) + (net buf_INT_DATA_IN_42 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_10)) + ) + ) + (net buf_INT_DATA_IN_106 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_10)) + ) + ) + (net current_mux_buffer_1_10 (joined + (portRef Z (instanceRef current_mux_buffer_1_10)) + (portRef current_mux_buffer_1_10 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_10)) + )) + (net buf_INT_DATA_IN_58 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_10)) + ) + ) + (net buf_INT_DATA_IN_122 (joined + (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_10)) + ) + ) + (net current_mux_buffer_2_10 (joined + (portRef Z (instanceRef current_mux_buffer_2_10)) + (portRef current_mux_buffer_2_10 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_10)) + )) + (net buf_INT_DATA_IN_15 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_0_15)) + ) + ) + (net buf_INT_DATA_IN_47 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_0_15)) + ) + ) + (net current_mux_buffer_0_0_15 (joined + (portRef Z (instanceRef current_mux_buffer_0_15)) + (portRef current_mux_buffer_0_0_15 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_15)) + )) + (net buf_INT_DATA_IN_63 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_15)) + ) + ) + (net buf_INT_DATA_IN_111 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_15)) + ) + ) + (net current_mux_buffer_1_15 (joined + (portRef Z (instanceRef current_mux_buffer_1_15)) + (portRef current_mux_buffer_1_15 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_15)) + )) + (net buf_INT_DATA_IN_31 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_15)) + ) + ) + (net buf_INT_DATA_IN_127 (joined + (portRef (member buf_int_data_in 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_15)) + ) + ) + (net current_mux_buffer_2_15 (joined + (portRef Z (instanceRef current_mux_buffer_2_15)) + (portRef current_mux_buffer_2_15 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_15)) + )) + (net MED_IO_PACKET_NUM_OUT_9 (joined + (portRef MED_IO_PACKET_NUM_OUT_9) + (portRef A (instanceRef current_mux_buffer_0_16)) + (portRef A (instanceRef loop4_0_un395_buf_int_read_out)) + )) + (net MED_IO_PACKET_NUM_OUT_12 (joined + (portRef MED_IO_PACKET_NUM_OUT_12) + (portRef B (instanceRef current_mux_buffer_0_16)) + (portRef A (instanceRef loop5_0_un490_buf_int_read_out)) + )) + (net current_mux_buffer_0_0_16 (joined + (portRef Z (instanceRef current_mux_buffer_0_16)) + (portRef current_mux_buffer_0_0_16 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_16)) + )) + (net buf_INT_PACKET_NUM_IN_0 (joined + (portRef buf_INT_PACKET_NUM_IN_0 (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_16)) + ) + ) + (net buf_INT_PACKET_NUM_IN_18 (joined + (portRef (member buf_int_packet_num_in 2) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef k_1_m5s2)) + (portRef B (instanceRef current_mux_buffer_1_16)) + ) + ) + (net current_mux_buffer_1_16 (joined + (portRef Z (instanceRef current_mux_buffer_1_16)) + (portRef current_mux_buffer_1_16 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_16)) + )) + (net current_mux_buffer_2_16 (joined + (portRef Z (instanceRef current_mux_buffer_2_16)) + (portRef current_mux_buffer_2_16 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_16)) + )) + (net current_mux_buffer_3_16 (joined + (portRef Z (instanceRef current_mux_buffer_3_16)) + (portRef current_mux_buffer_3_16 (instanceRef MUX_SBUF)) + (portRef D (instanceRef current_mux_buffer_16)) + )) (net MED_IO_DATA_OUT_89 (joined (portRef MED_IO_DATA_OUT_89) (portRef A (instanceRef current_mux_buffer_0_9)) @@ -82035,6 +82475,50 @@ (portRef current_mux_buffer_2_9 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_9)) )) + (net MED_IO_DATA_OUT_91 (joined + (portRef MED_IO_DATA_OUT_91) + (portRef A (instanceRef current_mux_buffer_0_11)) + )) + (net buf_INT_DATA_IN_11 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_11)) + ) + ) + (net current_mux_buffer_0_0_11 (joined + (portRef Z (instanceRef current_mux_buffer_0_11)) + (portRef current_mux_buffer_0_0_11 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_11)) + )) + (net buf_INT_DATA_IN_43 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_11)) + ) + ) + (net buf_INT_DATA_IN_107 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_11)) + ) + ) + (net current_mux_buffer_1_11 (joined + (portRef Z (instanceRef current_mux_buffer_1_11)) + (portRef current_mux_buffer_1_11 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_11)) + )) + (net buf_INT_DATA_IN_59 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_11)) + ) + ) + (net buf_INT_DATA_IN_123 (joined + (portRef (member buf_int_data_in 4) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_11)) + ) + ) + (net current_mux_buffer_2_11 (joined + (portRef Z (instanceRef current_mux_buffer_2_11)) + (portRef current_mux_buffer_2_11 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_11)) + )) (net MED_IO_DATA_OUT_86 (joined (portRef MED_IO_DATA_OUT_86) (portRef A (instanceRef current_mux_buffer_0_6)) @@ -82079,138 +82563,6 @@ (portRef current_mux_buffer_2_6 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_6)) )) - (net MED_IO_DATA_OUT_88 (joined - (portRef MED_IO_DATA_OUT_88) - (portRef A (instanceRef current_mux_buffer_0_8)) - )) - (net buf_INT_DATA_IN_8 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_8)) - ) - ) - (net current_mux_buffer_0_0_8 (joined - (portRef Z (instanceRef current_mux_buffer_0_8)) - (portRef current_mux_buffer_0_0_8 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_8)) - )) - (net buf_INT_DATA_IN_40 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_8)) - ) - ) - (net buf_INT_DATA_IN_104 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_8)) - ) - ) - (net current_mux_buffer_1_8 (joined - (portRef Z (instanceRef current_mux_buffer_1_8)) - (portRef current_mux_buffer_1_8 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_8)) - )) - (net buf_INT_DATA_IN_56 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_8)) - ) - ) - (net buf_INT_DATA_IN_120 (joined - (portRef (member buf_int_data_in 7) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_8)) - ) - ) - (net current_mux_buffer_2_8 (joined - (portRef Z (instanceRef current_mux_buffer_2_8)) - (portRef current_mux_buffer_2_8 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_8)) - )) - (net MED_IO_DATA_OUT_87 (joined - (portRef MED_IO_DATA_OUT_87) - (portRef A (instanceRef current_mux_buffer_0_7)) - )) - (net buf_INT_DATA_IN_7 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_7)) - ) - ) - (net current_mux_buffer_0_0_7 (joined - (portRef Z (instanceRef current_mux_buffer_0_7)) - (portRef current_mux_buffer_0_0_7 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_7)) - )) - (net buf_INT_DATA_IN_39 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_7)) - ) - ) - (net buf_INT_DATA_IN_103 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_7)) - ) - ) - (net current_mux_buffer_1_7 (joined - (portRef Z (instanceRef current_mux_buffer_1_7)) - (portRef current_mux_buffer_1_7 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_7)) - )) - (net buf_INT_DATA_IN_55 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_7)) - ) - ) - (net buf_INT_DATA_IN_119 (joined - (portRef (member buf_int_data_in 8) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_7)) - ) - ) - (net current_mux_buffer_2_7 (joined - (portRef Z (instanceRef current_mux_buffer_2_7)) - (portRef current_mux_buffer_2_7 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_7)) - )) - (net MED_IO_DATA_OUT_90 (joined - (portRef MED_IO_DATA_OUT_90) - (portRef A (instanceRef current_mux_buffer_0_10)) - )) - (net buf_INT_DATA_IN_10 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_10)) - ) - ) - (net current_mux_buffer_0_0_10 (joined - (portRef Z (instanceRef current_mux_buffer_0_10)) - (portRef current_mux_buffer_0_0_10 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_10)) - )) - (net buf_INT_DATA_IN_42 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_10)) - ) - ) - (net buf_INT_DATA_IN_106 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_10)) - ) - ) - (net current_mux_buffer_1_10 (joined - (portRef Z (instanceRef current_mux_buffer_1_10)) - (portRef current_mux_buffer_1_10 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_10)) - )) - (net buf_INT_DATA_IN_58 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_10)) - ) - ) - (net buf_INT_DATA_IN_122 (joined - (portRef (member buf_int_data_in 5) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_10)) - ) - ) - (net current_mux_buffer_2_10 (joined - (portRef Z (instanceRef current_mux_buffer_2_10)) - (portRef current_mux_buffer_2_10 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_10)) - )) (net buf_INT_DATA_IN_12 (joined (portRef (member buf_int_data_in 3) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) (portRef A (instanceRef current_mux_buffer_0_12)) @@ -82256,136 +82608,60 @@ (portRef current_mux_buffer_2_12 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_12)) )) - (net MED_IO_PACKET_NUM_OUT_9 (joined - (portRef MED_IO_PACKET_NUM_OUT_9) - (portRef A (instanceRef current_mux_buffer_0_16)) - (portRef A (instanceRef loop4_0_un395_buf_int_read_out)) - )) - (net MED_IO_PACKET_NUM_OUT_12 (joined - (portRef MED_IO_PACKET_NUM_OUT_12) - (portRef B (instanceRef current_mux_buffer_0_16)) - (portRef A (instanceRef loop5_0_un490_buf_int_read_out)) - )) - (net current_mux_buffer_0_0_16 (joined - (portRef Z (instanceRef current_mux_buffer_0_16)) - (portRef current_mux_buffer_0_0_16 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_16)) - )) - (net buf_INT_PACKET_NUM_IN_0 (joined - (portRef buf_INT_PACKET_NUM_IN_0 (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_16)) - ) - ) - (net buf_INT_PACKET_NUM_IN_18 (joined - (portRef buf_INT_PACKET_NUM_IN_0 (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef k_1_m5s2)) - (portRef B (instanceRef current_mux_buffer_1_16)) - ) - ) - (net current_mux_buffer_1_16 (joined - (portRef Z (instanceRef current_mux_buffer_1_16)) - (portRef current_mux_buffer_1_16 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_16)) - )) - (net current_mux_buffer_2_16 (joined - (portRef Z (instanceRef current_mux_buffer_2_16)) - (portRef current_mux_buffer_2_16 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_16)) - )) - (net current_mux_buffer_3_16 (joined - (portRef Z (instanceRef current_mux_buffer_3_16)) - (portRef current_mux_buffer_3_16 (instanceRef MUX_SBUF)) - (portRef D (instanceRef current_mux_buffer_16)) - )) - (net buf_INT_DATA_IN_14 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_0_14)) - ) - ) - (net buf_INT_DATA_IN_46 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_0_14)) - ) - ) - (net current_mux_buffer_0_0_14 (joined - (portRef Z (instanceRef current_mux_buffer_0_14)) - (portRef current_mux_buffer_0_0_14 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_14)) - )) - (net buf_INT_DATA_IN_62 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_14)) - ) - ) - (net buf_INT_DATA_IN_110 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_14)) - ) - ) - (net current_mux_buffer_1_14 (joined - (portRef Z (instanceRef current_mux_buffer_1_14)) - (portRef current_mux_buffer_1_14 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_14)) + (net MED_IO_DATA_OUT_66 (joined + (portRef MED_IO_DATA_OUT_66) + (portRef A (instanceRef current_mux_buffer_0_0)) + (portRef A (instanceRef current_mux_buffer_0_2)) )) - (net buf_INT_DATA_IN_30 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_14)) - ) - ) - (net buf_INT_DATA_IN_126 (joined - (portRef (member buf_int_data_in 1) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_14)) + (net buf_INT_DATA_IN_32 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_0_0)) ) ) - (net current_mux_buffer_2_14 (joined - (portRef Z (instanceRef current_mux_buffer_2_14)) - (portRef current_mux_buffer_2_14 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_14)) + (net current_mux_buffer_0_0_0 (joined + (portRef Z (instanceRef current_mux_buffer_0_0)) + (portRef current_mux_buffer_0_0_0 (instanceRef MUX_SBUF)) + (portRef A (instanceRef current_mux_buffer_0)) )) - (net buf_INT_DATA_IN_13 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_0_13)) + (net buf_INT_DATA_IN_0 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_1_0)) ) ) - (net buf_INT_DATA_IN_45 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_0_13)) + (net buf_INT_DATA_IN_96 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_1_0)) ) ) - (net current_mux_buffer_0_0_13 (joined - (portRef Z (instanceRef current_mux_buffer_0_13)) - (portRef current_mux_buffer_0_0_13 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_13)) + (net current_mux_buffer_1_0 (joined + (portRef Z (instanceRef current_mux_buffer_1_0)) + (portRef current_mux_buffer_1_0 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_0)) )) - (net buf_INT_DATA_IN_61 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_13)) + (net buf_INT_DATA_IN_48 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) + (portRef A (instanceRef current_mux_buffer_2_0)) ) ) - (net buf_INT_DATA_IN_109 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_13)) + (net buf_INT_DATA_IN_112 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef B (instanceRef current_mux_buffer_2_0)) ) ) - (net current_mux_buffer_1_13 (joined - (portRef Z (instanceRef current_mux_buffer_1_13)) - (portRef current_mux_buffer_1_13 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_13)) + (net current_mux_buffer_2_0 (joined + (portRef Z (instanceRef current_mux_buffer_2_0)) + (portRef current_mux_buffer_2_0 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_0)) )) - (net buf_INT_DATA_IN_29 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_13)) - ) - ) - (net buf_INT_DATA_IN_125 (joined - (portRef (member buf_int_data_in 2) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_13)) + (net buf_INT_DATA_IN_16 (joined + (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef current_mux_buffer_3_0)) ) ) - (net current_mux_buffer_2_13 (joined - (portRef Z (instanceRef current_mux_buffer_2_13)) - (portRef current_mux_buffer_2_13 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_13)) + (net current_mux_buffer_3_0 (joined + (portRef Z (instanceRef current_mux_buffer_3_0)) + (portRef current_mux_buffer_3_0 (instanceRef MUX_SBUF)) + (portRef D (instanceRef current_mux_buffer_0)) )) (net MED_IO_DATA_OUT_65 (joined (portRef MED_IO_DATA_OUT_65) @@ -82431,6 +82707,11 @@ (portRef current_mux_buffer_2_1 (instanceRef MUX_SBUF)) (portRef C (instanceRef current_mux_buffer_1)) )) + (net MED_IO_DATA_OUT_81 (joined + (portRef MED_IO_DATA_OUT_81) + (portRef A (instanceRef current_mux_buffer_3_1)) + (portRef A (instanceRef current_mux_buffer_3_0)) + )) (net buf_INT_DATA_IN_49 (joined (portRef (member buf_int_data_in 14) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) (portRef C (instanceRef current_mux_buffer_3_1)) @@ -82441,66 +82722,6 @@ (portRef current_mux_buffer_3_1 (instanceRef MUX_SBUF)) (portRef D (instanceRef current_mux_buffer_1)) )) - (net MED_IO_DATA_OUT_66 (joined - (portRef MED_IO_DATA_OUT_66) - (portRef A (instanceRef current_mux_buffer_0_0)) - (portRef A (instanceRef current_mux_buffer_0_2)) - )) - (net buf_INT_DATA_IN_32 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_2_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_0_0)) - ) - ) - (net current_mux_buffer_0_0_0 (joined - (portRef Z (instanceRef current_mux_buffer_0_0)) - (portRef current_mux_buffer_0_0_0 (instanceRef MUX_SBUF)) - (portRef A (instanceRef current_mux_buffer_0)) - )) - (net buf_INT_DATA_IN_0 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_0_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_1_0)) - ) - ) - (net buf_INT_DATA_IN_96 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_1_0)) - ) - ) - (net current_mux_buffer_1_0 (joined - (portRef Z (instanceRef current_mux_buffer_1_0)) - (portRef current_mux_buffer_1_0 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_0)) - )) - (net buf_INT_DATA_IN_16 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) - (portRef A (instanceRef current_mux_buffer_2_0)) - ) - ) - (net buf_INT_DATA_IN_48 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_3_gen_input_sbuf_THE_SBUF)) - (portRef B (instanceRef current_mux_buffer_2_0)) - ) - ) - (net current_mux_buffer_2_0 (joined - (portRef Z (instanceRef current_mux_buffer_2_0)) - (portRef current_mux_buffer_2_0 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_0)) - )) - (net MED_IO_DATA_OUT_81 (joined - (portRef MED_IO_DATA_OUT_81) - (portRef A (instanceRef current_mux_buffer_3_0)) - (portRef A (instanceRef current_mux_buffer_3_1)) - )) - (net buf_INT_DATA_IN_112 (joined - (portRef (member buf_int_data_in 15) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - (portRef C (instanceRef current_mux_buffer_3_0)) - ) - ) - (net current_mux_buffer_3_0 (joined - (portRef Z (instanceRef current_mux_buffer_3_0)) - (portRef current_mux_buffer_3_0 (instanceRef MUX_SBUF)) - (portRef D (instanceRef current_mux_buffer_0)) - )) (net MED_IO_PACKET_NUM_OUT_11 (joined (portRef MED_IO_PACKET_NUM_OUT_11) (portRef A (instanceRef current_mux_buffer_0_18)) @@ -82516,15 +82737,10 @@ (portRef current_mux_buffer_0_0_18 (instanceRef MUX_SBUF)) (portRef A (instanceRef current_mux_buffer_18)) )) - (net MED_IO_PACKET_NUM_OUT_14 (joined - (portRef MED_IO_PACKET_NUM_OUT_14) - (portRef A (instanceRef current_mux_buffer_1_18)) - (portRef C (instanceRef loop5_0_un490_buf_int_read_out)) - )) - (net current_mux_buffer_1_18 (joined - (portRef Z (instanceRef current_mux_buffer_1_18)) - (portRef current_mux_buffer_1_18 (instanceRef MUX_SBUF)) - (portRef B (instanceRef current_mux_buffer_18)) + (net current_mux_buffer_2_18 (joined + (portRef Z (instanceRef current_mux_buffer_2_18)) + (portRef current_mux_buffer_2_18 (instanceRef MUX_SBUF)) + (portRef C (instanceRef current_mux_buffer_18)) )) (net current_mux_buffer_3_18 (joined (portRef Z (instanceRef current_mux_buffer_3_18)) @@ -82553,7 +82769,7 @@ ) (net tmp_4 (joined (portRef Z (instanceRef or_all_tmp_4)) - (portRef A (instanceRef mux_read_RNO_0)) + (portRef B (instanceRef mux_read_RNO)) )) (net un2_current_mux_packet_number_1 (joined (portRef Z (instanceRef un2_current_mux_packet_number_1)) @@ -82688,7 +82904,7 @@ (portRef B (instanceRef current_mux_buffer_4)) )) (net buf_INT_PACKET_NUM_IN_19 (joined - (portRef buf_INT_PACKET_NUM_IN_1 (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef (member buf_int_packet_num_in 1) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) (portRef B (instanceRef k_1_m5s2)) ) ) @@ -82776,30 +82992,30 @@ (portRef A (instanceRef k_1_1)) (portRef A (instanceRef current_mux_buffer_5)) )) - (net current_mux_buffer_0_16 (joined - (portRef Z (instanceRef current_mux_buffer_16)) - (portRef current_mux_buffer_0_16 (instanceRef MUX_SBUF)) + (net current_mux_buffer_1_18 (joined + (portRef (member current_mux_buffer_1 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef current_mux_buffer_1_18 (instanceRef MUX_SBUF)) + (portRef B (instanceRef current_mux_buffer_18)) + )) + (net current_mux_buffer_0_18 (joined + (portRef Z (instanceRef current_mux_buffer_18)) + (portRef current_mux_buffer_0_18 (instanceRef MUX_SBUF)) )) (net current_mux_buffer_0_13 (joined (portRef Z (instanceRef current_mux_buffer_13)) (portRef current_mux_buffer_0_13 (instanceRef MUX_SBUF)) )) - (net current_mux_buffer_0_0 (joined - (portRef Z (instanceRef current_mux_buffer_0)) - (portRef current_mux_buffer_0_0_d0 (instanceRef MUX_SBUF)) - )) (net current_mux_buffer_0_1 (joined (portRef Z (instanceRef current_mux_buffer_1)) (portRef current_mux_buffer_0_1 (instanceRef MUX_SBUF)) )) - (net current_mux_buffer_2_18 (joined - (portRef (member current_mux_buffer_2 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) - (portRef current_mux_buffer_2_18 (instanceRef MUX_SBUF)) - (portRef C (instanceRef current_mux_buffer_18)) + (net current_mux_buffer_0_0 (joined + (portRef Z (instanceRef current_mux_buffer_0)) + (portRef current_mux_buffer_0_0_d0 (instanceRef MUX_SBUF)) )) - (net current_mux_buffer_0_18 (joined - (portRef Z (instanceRef current_mux_buffer_18)) - (portRef current_mux_buffer_0_18 (instanceRef MUX_SBUF)) + (net current_mux_buffer_0_16 (joined + (portRef Z (instanceRef current_mux_buffer_16)) + (portRef current_mux_buffer_0_16 (instanceRef MUX_SBUF)) )) (net current_mux_buffer_0_5 (joined (portRef Z (instanceRef current_mux_buffer_5)) @@ -82835,31 +83051,31 @@ (portRef current_mux_buffer_0_4 (instanceRef MUX_SBUF)) )) (net real_reading_1 (joined - (portRef B (instanceRef mux_read_RNO_0)) + (portRef A (instanceRef mux_read_RNO_0)) (portRef Z (instanceRef real_reading_2_1)) ) ) + (net real_reading_7 (joined + (portRef B (instanceRef mux_read_RNO_0)) + (portRef Z (instanceRef real_reading_8_7)) + ) + ) (net tmp_0_i_1 (joined (portRef Z (instanceRef mux_read_RNO_0)) (portRef A (instanceRef mux_read_RNO)) )) (net real_reading_4 (joined - (portRef B (instanceRef mux_read_RNO)) + (portRef C (instanceRef mux_read_RNO)) (portRef Z (instanceRef real_reading_5_4)) (portRef (member real_readingZ0Z_5 0)) ) ) (net real_reading_5 (joined - (portRef C (instanceRef mux_read_RNO)) + (portRef D (instanceRef mux_read_RNO)) (portRef Z (instanceRef real_reading_6_5)) (portRef (member real_readingZ0Z_6 0)) ) ) - (net real_reading_7 (joined - (portRef D (instanceRef mux_read_RNO)) - (portRef Z (instanceRef real_reading_8_7)) - ) - ) (net buf_INT_DATAREADY_OUT_3 (joined (portRef (member med_io_dataready_in 0)) (portRef Q (instanceRef G2_3_buf_INT_DATAREADY_OUT_3)) @@ -83224,21 +83440,21 @@ (portRef MED_IO_DATA_OUT_127) (portRef (member med_io_data_out 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) )) - (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3)) - (portRef (member current_output_data_buffer 3) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) - )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2)) - (portRef (member current_output_data_buffer 2) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1)) + (portRef (member current_output_data_buffer_mb 1) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1)) - (portRef (member current_output_data_buffer 1) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0)) + (portRef (member current_output_data_buffer_mb 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) )) (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0)) - (portRef (member current_output_data_buffer 0) (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + (portRef current_output_data_buffer_3) + (portRef current_output_data_buffer_3 (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) + )) + (net current_output_data_buffer_0 (joined + (portRef current_output_data_buffer_0) + (portRef current_output_data_buffer_0 (instanceRef gen_sbuf_7_gen_input_sbuf_THE_SBUF)) )) (net reset_no_link_1 (joined (portRef reset_no_link_1) @@ -83445,6 +83661,11 @@ (portRef fifo_almostfull (instanceRef gen_sbuf_1_gen_input_sbuf_THE_SBUF)) (portRef fifo_almostfull_2) )) + (net MED_IO_PACKET_NUM_OUT_14 (joined + (portRef MED_IO_PACKET_NUM_OUT_14) + (portRef (member med_io_packet_num_out 0) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) + (portRef C (instanceRef loop5_0_un490_buf_int_read_out)) + )) (net MED_IO_DATA_OUT_96 (joined (portRef MED_IO_DATA_OUT_96) (portRef (member med_io_data_out 2) (instanceRef gen_sbuf_6_gen_input_sbuf_THE_SBUF)) @@ -83600,9 +83821,9 @@ (portRef med_dataready_out (instanceRef MUX_SBUF)) (portRef med_dataready_out) )) - (net N_538_i (joined - (portRef N_538_i) - (portRef N_538_i (instanceRef MUX_SBUF)) + (net N_465_i (joined + (portRef N_465_i) + (portRef N_465_i (instanceRef MUX_SBUF)) )) ) (property HGROUP (string "MUX_group")) @@ -83626,8 +83847,8 @@ (port MED_IO_DATA_OUT_19 (direction OUTPUT)) (port MED_IO_DATA_OUT_16 (direction OUTPUT)) (port MED_IO_DATA_OUT_1 (direction OUTPUT)) - (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(17:12)") 6) (direction OUTPUT)) (port (array (rename real_reading_6 "real_reading_6(5:5)") 1) (direction INPUT)) + (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(17:12)") 6) (direction OUTPUT)) (port (array (rename med_io_dataready_out "MED_IO_DATAREADY_OUT(5:4)") 2) (direction OUTPUT)) (port (array (rename med_io_read_in "MED_IO_READ_IN(5:4)") 2) (direction INPUT)) (port reset_no_link_1 (direction INPUT)) @@ -83642,14 +83863,17 @@ (instance buf_MED_INIT_DATA_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) - (instance REPLY_transfer_counter_RNI85HS_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance REPLY_transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))")) ) (instance REPLY_transfer_counter_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance REPLY_transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))")) + (instance REPLY_transfer_counter_RNI85HS_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) (instance send_REPLY_trm_RNIJ2RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) @@ -83729,20 +83953,23 @@ (instance un4_send_reply_trm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) + (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) (instance INIT_transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (!B A+B !A))")) ) (instance un1_med_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance next_send_INIT_ack_0_sqmuxa_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A))")) ) - (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance next_MED_INIT_DATAREADY_OUT_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) (instance saved_packet_type_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) @@ -83768,9 +83995,6 @@ (instance REPLY_transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C !A+C (B !A)))")) ) - (instance next_MED_INIT_DATAREADY_OUT_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) (instance next_MED_INIT_DATA_OUT_3_sqmuxa_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B A+B !A)))")) ) @@ -83789,7 +84013,7 @@ (instance send_REPLY_trm_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (!B+A))")) ) - (instance un4_send_reply_trm_0_RNIAL9D1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un4_send_reply_trm_0_RNIG4DR2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) (instance un4_send_reply_trm_0_RNIUN6R1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -83804,9 +84028,6 @@ (instance buf_MED_REPLY_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B !A))+D !C)")) ) - (instance next_MED_INIT_DATAREADY_OUT_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) (net send_INIT_ack (joined (portRef Q (instanceRef send_INIT_ack)) (portRef C (instanceRef next_MED_INIT_DATA_OUT_3_sqmuxa_1_1_RNIJAKT)) @@ -83832,51 +84053,90 @@ (portRef Z (instanceRef buf_MED_INIT_DATA_OUT_RNO_1)) (portRef SP (instanceRef buf_MED_INIT_DATA_OUT_1)) )) - (net next_MED_REPLY_DATA_OUT_1_sqmuxa (joined - (portRef Z (instanceRef REPLY_transfer_counter_RNI85HS_1)) - (portRef B (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) - (portRef B (instanceRef send_REPLY_trm_RNO)) + (net un1_med_dataready_in (joined + (portRef Z (instanceRef un1_med_dataready_in)) + (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) + (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + )) + (net next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1 (joined + (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0)) + (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + )) + (net saved_packet_type_1_2 (joined + (portRef C (instanceRef saved_packet_type_4_2)) + (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1)) + (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + (portRef Q (instanceRef saved_packet_type_2)) + ) + ) + (net saved_packet_type_1_1 (joined + (portRef C (instanceRef saved_packet_type_4_1)) + (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1)) + (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + (portRef Q (instanceRef saved_packet_type_1)) + ) + ) + (net next_MED_INIT_DATAREADY_OUT_0_sqmuxa (joined + (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_RNI06NF1)) + (portRef A (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) + (portRef A (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) + (portRef B (instanceRef un4_send_reply_trm_0_RNIG4DR2)) + (portRef A (instanceRef send_REPLY_trm_RNO)) + (portRef A (instanceRef buf_MED_REPLY_DATAREADY_OUT_RNO)) + (portRef SP (instanceRef INIT_SEQNR_7)) + (portRef SP (instanceRef INIT_SEQNR_6)) + (portRef SP (instanceRef INIT_SEQNR_5)) + (portRef SP (instanceRef INIT_SEQNR_4)) + (portRef SP (instanceRef INIT_SEQNR_3)) + (portRef SP (instanceRef INIT_SEQNR_2)) + (portRef SP (instanceRef INIT_SEQNR_1)) + (portRef SP (instanceRef INIT_SEQNR_0)) + )) + (net N_92_m (joined + (portRef Z (instanceRef REPLY_transfer_counter_RNO_1)) + (portRef D (instanceRef REPLY_transfer_counter_1)) )) (net MED_IO_PACKET_NUM_OUT_16 (joined (portRef Q (instanceRef REPLY_transfer_counter_1)) (portRef B (instanceRef REPLY_transfer_counter_RNO_0)) (portRef A (instanceRef un4_send_reply_trm_0)) - (portRef A (instanceRef REPLY_transfer_counter_RNO_1)) - (portRef A (instanceRef REPLY_transfer_counter_RNO_0_2)) (portRef D (instanceRef REPLY_transfer_counter_RNI85HS_1)) + (portRef A (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef A (instanceRef REPLY_transfer_counter_RNO_1)) (portRef (member med_io_packet_num_out 1)) )) (net MED_IO_PACKET_NUM_OUT_15 (joined (portRef Q (instanceRef REPLY_transfer_counter_0)) (portRef A (instanceRef un4_send_reply_trm_0_RNIUN6R1)) - (portRef A (instanceRef un4_send_reply_trm_0_RNIAL9D1)) + (portRef A (instanceRef un4_send_reply_trm_0_RNIG4DR2)) (portRef A (instanceRef REPLY_transfer_counter_RNO_0)) - (portRef B (instanceRef REPLY_transfer_counter_RNO_1)) - (portRef B (instanceRef REPLY_transfer_counter_RNO_0_2)) (portRef B (instanceRef REPLY_transfer_counter_RNI85HS_1)) + (portRef B (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef B (instanceRef REPLY_transfer_counter_RNO_1)) (portRef (member med_io_packet_num_out 2)) )) - (net CO1_0 (joined - (portRef Z (instanceRef REPLY_transfer_counter_RNO_0_2)) - (portRef D (instanceRef REPLY_transfer_counter_RNO_2)) - )) (net MED_IO_READ_IN_5 (joined (portRef (member med_io_read_in 0)) (portRef B (instanceRef send_REPLY_trm_RNIJ2RS)) - (portRef C (instanceRef REPLY_transfer_counter_RNO_1)) (portRef C (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef C (instanceRef REPLY_transfer_counter_RNO_1)) )) (net MED_IO_DATAREADY_OUT_5 (joined (portRef Q (instanceRef buf_MED_REPLY_DATAREADY_OUT)) (portRef A (instanceRef REPLY_transfer_counter_RNO_2)) (portRef C (instanceRef send_REPLY_trm_RNIJ2RS)) - (portRef D (instanceRef REPLY_transfer_counter_RNO_1)) (portRef D (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef D (instanceRef REPLY_transfer_counter_RNO_1)) (portRef (member med_io_dataready_out 0)) )) - (net N_92_m (joined - (portRef Z (instanceRef REPLY_transfer_counter_RNO_1)) - (portRef D (instanceRef REPLY_transfer_counter_1)) + (net CO1_0 (joined + (portRef Z (instanceRef REPLY_transfer_counter_RNO_0_2)) + (portRef D (instanceRef REPLY_transfer_counter_RNO_2)) + )) + (net next_MED_REPLY_DATA_OUT_1_sqmuxa (joined + (portRef Z (instanceRef REPLY_transfer_counter_RNI85HS_1)) + (portRef B (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) + (portRef B (instanceRef send_REPLY_trm_RNO)) )) (net send_REPLY_trm (joined (portRef Q (instanceRef send_REPLY_trm)) @@ -83889,7 +84149,7 @@ (portRef Z (instanceRef send_REPLY_trm_RNIJ2RS)) (portRef B (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) (portRef B (instanceRef un4_send_reply_trm_0_RNIUN6R1)) - (portRef C (instanceRef un4_send_reply_trm_0_RNIAL9D1)) + (portRef C (instanceRef un4_send_reply_trm_0_RNIG4DR2)) (portRef SP (instanceRef buf_MED_REPLY_DATA_OUT_11)) (portRef SP (instanceRef buf_MED_REPLY_DATA_OUT_10)) (portRef SP (instanceRef buf_MED_REPLY_DATA_OUT_9)) @@ -83904,7 +84164,7 @@ (portRef D (instanceRef buf_MED_INIT_DATA_OUT_0)) )) (net un1_next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0_i (joined - (portRef Z (instanceRef un4_send_reply_trm_0_RNIAL9D1)) + (portRef Z (instanceRef un4_send_reply_trm_0_RNIG4DR2)) (portRef B (instanceRef buf_MED_REPLY_DATA_OUT_0__fb)) )) (net GND (joined @@ -84149,22 +84409,6 @@ (portRef (member med_io_data_in 7)) (portRef D (instanceRef INIT_SEQNR_0)) )) - (net next_MED_INIT_DATAREADY_OUT_0_sqmuxa (joined - (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) - (portRef A (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) - (portRef A (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) - (portRef B (instanceRef un4_send_reply_trm_0_RNIAL9D1)) - (portRef A (instanceRef send_REPLY_trm_RNO)) - (portRef A (instanceRef buf_MED_REPLY_DATAREADY_OUT_RNO)) - (portRef SP (instanceRef INIT_SEQNR_7)) - (portRef SP (instanceRef INIT_SEQNR_6)) - (portRef SP (instanceRef INIT_SEQNR_5)) - (portRef SP (instanceRef INIT_SEQNR_4)) - (portRef SP (instanceRef INIT_SEQNR_3)) - (portRef SP (instanceRef INIT_SEQNR_2)) - (portRef SP (instanceRef INIT_SEQNR_1)) - (portRef SP (instanceRef INIT_SEQNR_0)) - )) (net MED_IO_DATA_IN_37 (joined (portRef (member med_io_data_in 6)) (portRef D (instanceRef INIT_SEQNR_1)) @@ -84197,7 +84441,11 @@ (portRef Z (instanceRef un4_send_reply_trm_0)) (portRef D (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) (portRef C (instanceRef un4_send_reply_trm_0_RNIUN6R1)) - (portRef D (instanceRef un4_send_reply_trm_0_RNIAL9D1)) + (portRef D (instanceRef un4_send_reply_trm_0_RNIG4DR2)) + )) + (net next_MED_INIT_DATAREADY_OUT_1_sqmuxa_1 (joined + (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1)) + (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) )) (net MED_IO_PACKET_NUM_OUT_12 (joined (portRef Q (instanceRef INIT_transfer_counter_0)) @@ -84229,34 +84477,17 @@ (portRef D (instanceRef buf_MED_INIT_DATA_OUT_0__fb)) ) ) - (net un1_med_dataready_in (joined - (portRef Z (instanceRef un1_med_dataready_in)) - (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) + (net MED_IO_PACKET_NUM_IN_3 (joined + (portRef (member med_io_packet_num_in 2)) + (portRef A (instanceRef un1_med_dataready_in)) )) - (net saved_packet_type_1_1 (joined - (portRef C (instanceRef saved_packet_type_4_1)) - (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2)) - (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - (portRef Q (instanceRef saved_packet_type_1)) - ) - ) - (net saved_packet_type_1_2 (joined - (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) - (portRef C (instanceRef saved_packet_type_4_2)) - (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - (portRef Q (instanceRef saved_packet_type_2)) - ) - ) - (net saved_packet_type_1_3 (joined - (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) - (portRef C (instanceRef saved_packet_type_4_3)) - (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - (portRef Q (instanceRef saved_packet_type_3)) - ) - ) - (net next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2 (joined - (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) + (net MED_IO_PACKET_NUM_IN_4 (joined + (portRef (member med_io_packet_num_in 1)) + (portRef B (instanceRef un1_med_dataready_in)) + )) + (net MED_IO_PACKET_NUM_IN_5 (joined + (portRef (member med_io_packet_num_in 0)) + (portRef C (instanceRef un1_med_dataready_in)) )) (net MED_IO_PACKET_NUM_OUT_14 (joined (portRef Q (instanceRef INIT_transfer_counter_2)) @@ -84271,20 +84502,23 @@ (portRef Z (instanceRef next_send_INIT_ack_0_sqmuxa_0_0)) (portRef C (instanceRef send_INIT_ack_RNO)) )) - (net MED_IO_DATAREADY_IN_2 (joined - (portRef (member med_io_dataready_in 0)) - (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2)) - (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa_2)) - )) (net saved_packet_type_1_0 (joined (portRef C (instanceRef saved_packet_type_4_0)) - (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2)) + (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0)) (portRef Q (instanceRef saved_packet_type_0)) ) ) - (net next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2 (joined - (portRef Z (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_2)) - (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa)) + (net saved_packet_type_1_3 (joined + (portRef C (instanceRef saved_packet_type_4_3)) + (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) + (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0)) + (portRef Q (instanceRef saved_packet_type_3)) + ) + ) + (net MED_IO_DATAREADY_IN_2 (joined + (portRef (member med_io_dataready_in 0)) + (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) + (portRef A (instanceRef next_MED_INIT_DATAREADY_OUT_0_sqmuxa_1_0)) )) (net MED_IO_DATA_IN_32 (joined (portRef (member med_io_data_in 11)) @@ -84332,21 +84566,6 @@ (portRef Z (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0_0)) (portRef C (instanceRef buf_MED_REPLY_DATA_OUT_RNO_0)) )) - (net MED_IO_PACKET_NUM_IN_5 (joined - (portRef (member med_io_packet_num_in 0)) - (portRef B (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) - (portRef C (instanceRef un1_med_dataready_in)) - )) - (net MED_IO_PACKET_NUM_IN_4 (joined - (portRef (member med_io_packet_num_in 1)) - (portRef C (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) - (portRef B (instanceRef un1_med_dataready_in)) - )) - (net MED_IO_PACKET_NUM_IN_3 (joined - (portRef (member med_io_packet_num_in 2)) - (portRef D (instanceRef next_MED_INIT_DATAREADY_OUT_1_sqmuxa)) - (portRef A (instanceRef un1_med_dataready_in)) - )) ) (property HGROUP (string "TRMBUF_group")) ) @@ -84360,13 +84579,13 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename onewire_data "ONEWIRE_DATA(15:0)") 16) (direction OUTPUT)) (port reset_i_rep2 (direction INPUT)) - (port TEMPSENS_in (direction INPUT)) (port buf_IDRAM_WR_IN_0_i (direction OUTPUT)) - (port reset_i_fast_r10 (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port reset_i_fast_1_i (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) + (port TEMPSENS_in (direction INPUT)) (port GND (direction INPUT)) ) (contents @@ -84377,15 +84596,21 @@ (instance send_rom_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance state_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance skip_rom_RNIAJDE (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance state_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B !A)+D (C (!B !A)))")) ) (instance send_bit_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) + (instance statec_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance state_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C (!B+A)))")) + ) + (instance recv_bit_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) (instance word_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance word_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) @@ -84476,11 +84701,11 @@ ) (instance state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance state_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance state_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance state_2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_3 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance state_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance state_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -84488,13 +84713,13 @@ ) (instance state_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance state_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance state_7 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance state_8 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance state_9 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance state_10 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance state_10 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance state_11 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) @@ -84576,110 +84801,122 @@ (instance state_srsts_i_o2_5_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_skip_rom_2_0_a6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_skip_rom_2_0_a6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance state_srsts_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_srsts_i_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance state_srsts_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance state_srsts_i_i_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) ) - (instance next_recv_bit_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance un1_next_recv_bit_0_sqmuxa_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance state_srsts_0_a6_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance state_srsts_0_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance next_send_rom_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance reset_timecounter_0_a6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reset_timecounter_0_a6_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance state_srsts_i_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance state_srsts_i_o2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_srsts_i_o2_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance ram_wr_RNI4K55 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_srsts_i_o2_i_a2_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance state_RNI3V49_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance state_srsts_i_i_a2_1_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance ram_wr_RNIOK0H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) (instance ram_addr_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A+B A)")) ) - (instance un8_recv_bit_ready (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) - ) - (instance recv_bit_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance state_srsts_i_i_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C (!B !A))")) ) - (instance next_send_rom_0_sqmuxa_1_0_a6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance recv_bit_ready_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance un1_next_state_0_sqmuxa_0_a6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B+A))")) ) - (instance un1_state_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) (instance state_srsts_i_a2_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) (instance state_srsts_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance send_bit_3_iv_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) (instance reset_timecounter_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance state_srsts_i_o2_3_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_srsts_i_o2_i_o2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+!A))")) + ) + (instance next_send_rom_0_sqmuxa_1_0_a6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) + (instance ram_wr_2_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance buf_TEMP_OUT_0_sqmuxa_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) (instance reset_bitcounter_0_a6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance reset_bitcounter_0_a6_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)+C !B))")) ) - (instance buf_TEMP_OUT_0_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance ram_wr_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) (instance state_srsts_i_a6_0_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) + (instance recv_bit_ready_RNIQJLR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) + ) + (instance send_bit_3_iv_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D (!C A+C (B A)))")) + ) + (instance un1_next_recv_bit_0_sqmuxa_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) (instance next_output_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C A))")) ) - (instance is_time_reached_un11_periodlto9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B !A)))")) + (instance state_srsts_0_i_tz_tz_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A))+D (C B))")) ) - (instance state_srsts_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A))+D (!C (B A)+C A))")) + (instance buf_TEMP_OUT_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance state_srsts_0_tz_tz_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (!B !A))+D !A)")) + (instance ram_wr_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance state_srsts_0_a6_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance state_srsts_i_o2_i_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) (instance reset_bitcounter_0_a6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance reset_timecounter_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (!B !A)))")) - ) (instance state_srsts_0_a2_0_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance state_srsts_0_tz_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (property lut_function (string "(!D !B+D (!C (!B A)+C !B))")) + ) + (instance state_srsts_i_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C B)+D (!C !A))")) + ) + (instance state_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B))")) ) (instance output_tmp_3_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D C+D (C+(B A)))")) @@ -84687,71 +84924,47 @@ (instance output_tmp_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (C+(B+A)))")) ) - (instance recv_bit_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (C+(B+A)))")) - ) - (instance state_srsts_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)+C !A)+D (!C B+C (B !A)))")) - ) (instance un1_inc_bitcounter_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+!A))")) - ) - (instance statec_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A)))")) - ) - (instance statec_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A)))")) - ) - (instance next_send_bit_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D (!C A+C (B A)))")) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance state_srsts_i_a6_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (C !B))")) + (instance statec_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A)))")) ) (instance state_srsts_i_o2_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B+A)))")) ) - (instance ram_wr_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance state_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A)))")) ) - (instance buf_TEMP_OUT_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance state_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B !A)))")) ) - (instance send_bit_3_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (!B !A))+D (!C (!B !A)+C !A))")) + (instance state_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)+C B))")) ) - (instance state_srsts_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))")) + (instance statec_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance reset_timecounter_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !B)+D (C (!B A)))")) ) - (instance state_srsts_i_a6_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance send_bit_3_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) + (instance state_srsts_i_o2_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C (B+A)+C B))")) ) (instance reset_bitcounter_0_a6_4_RNICA7R (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (!C (B A)+C A))")) ) - (instance state_nss_0_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance state_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)))")) ) - (instance state_nss_0_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A)))")) - ) - (instance state_srsts_i_o2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B !A)))")) + (instance state_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A)))")) ) - (instance state_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !A)+D !A)")) - ) - (instance state_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B A)))")) + (instance state_srsts_i_a6_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) (instance state_srsts_0_a6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C B+C (B !A)))")) + (property lut_function (string "(!D (C !B)+D (C (!B+A)))")) ) (instance next_conv_temp_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) @@ -84762,11 +84975,17 @@ (instance state_nss_0_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B A)))")) ) - (instance state_srsts_i_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C !A)")) + (instance state_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B !A))")) ) - (instance state_srsts_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D (!C (B+A)+C B))")) + (instance state_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) + ) + (instance send_bit_3_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) + ) + (instance send_bit_3_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A))+D (!C (B+!A)+C !A))")) ) (instance statec_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A))+D (!C (!B+A)+C !B))")) @@ -84774,8 +84993,14 @@ (instance statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A))")) ) - (instance state_srsts_i_o2_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (!C (!B+A)))")) + (instance state_srsts_i_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A)+C !B)+D !B)")) + ) + (instance state_srsts_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D (!C B+C (B+A)))")) + ) + (instance reset_timecounter_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C (B !A)))")) ) (instance timecounter_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -84903,10 +85128,10 @@ )) (net state_2 (joined (portRef Q (instanceRef state_2)) + (portRef C (instanceRef state_RNO_1_12)) (portRef B (instanceRef state_nss_0_i_11)) (portRef C (instanceRef reading_temp_RNO)) (portRef B (instanceRef next_conv_temp_0_sqmuxa)) - (portRef C (instanceRef state_RNO_0_12)) (portRef A (instanceRef state_srsts_i_a6_0_1_12)) (portRef A (instanceRef reset_bitcounter_0_a6_3)) (portRef A (instanceRef conv_temp_RNO)) @@ -84920,19 +85145,19 @@ )) (net state_4 (joined (portRef Q (instanceRef state_4)) - (portRef C (instanceRef state_srsts_i_o2_1_12)) (portRef B (instanceRef statec_1_0)) (portRef C (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) (portRef B (instanceRef reset_bitcounter_0_a6_3)) (portRef B (instanceRef next_send_rom_0_sqmuxa_0_a2)) + (portRef C (instanceRef state_RNO_0_12)) (portRef C (instanceRef conv_temp_RNO)) )) (net bitcounter_6 (joined (portRef Q (instanceRef bitcounter_6)) (portRef A1 (instanceRef un1_inc_bitcounter_cry_5_0)) - (portRef D (instanceRef state_srsts_i_o2_1_12)) (portRef A (instanceRef statec_1_0)) (portRef A (instanceRef next_send_rom_0_sqmuxa_0_a2)) + (portRef D (instanceRef state_RNO_0_12)) (portRef D (instanceRef conv_temp_RNO)) )) (net un1_next_send_rom_0_sqmuxa_2_0_i (joined @@ -84948,26 +85173,28 @@ (net un1_inc_bitcounter_axb_3 (joined (portRef Q (instanceRef bitcounter_3)) (portRef A0 (instanceRef un1_inc_bitcounter_cry_3_0)) - (portRef D (instanceRef state_srsts_i_7)) - (portRef D (instanceRef recv_bit_RNO)) - (portRef C (instanceRef ram_wr_2_2)) - (portRef C (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) + (portRef C (instanceRef reset_timecounter_0_1)) + (portRef C (instanceRef state_RNO_7)) + (portRef C (instanceRef statec_2)) + (portRef C (instanceRef ram_wr_2_0_a2)) + (portRef C (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2)) + (portRef C (instanceRef state_srsts_0_i_tz_tz_3)) + (portRef D (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) (portRef D (instanceRef reset_bitcounter_0_a6_4)) - (portRef C (instanceRef next_send_rom_0_sqmuxa_1_0_a6)) - (portRef B (instanceRef reset_timecounter_0_a6_0)) - (portRef B (instanceRef state_srsts_0_a6_2_1)) - (portRef B (instanceRef state_srsts_i_a2_0)) - (portRef A (instanceRef next_skip_rom_2_0_a6)) + (portRef C (instanceRef next_send_rom_0_sqmuxa_1_0_a6_0_a2)) + (portRef B (instanceRef reset_timecounter_0_a6_0_0_a2)) + (portRef B (instanceRef state_srsts_i_i_a2_0_0)) + (portRef A (instanceRef next_skip_rom_2_0_a6_0_a2)) (portRef B (instanceRef send_rom_RNO)) )) (net un1_inc_bitcounter_axb_2 (joined (portRef Q (instanceRef bitcounter_2)) (portRef A1 (instanceRef un1_inc_bitcounter_cry_1_0)) - (portRef D (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef B (instanceRef ram_wr_2_2)) - (portRef B (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) + (portRef D (instanceRef send_bit_3_iv_i_a2)) (portRef C (instanceRef reset_bitcounter_0_a6_4)) - (portRef B (instanceRef next_send_rom_0_sqmuxa_1_0_a6)) + (portRef C (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef C (instanceRef ram_wr_2_0_a2_3)) + (portRef B (instanceRef next_send_rom_0_sqmuxa_1_0_a6_0_a2)) (portRef C (instanceRef send_rom_RNO)) )) (net state_0 (joined @@ -84975,98 +85202,139 @@ (portRef A (instanceRef statec)) (portRef B (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) (portRef A (instanceRef reset_bitcounter_0_a6_4)) - (portRef A (instanceRef next_send_rom_0_sqmuxa_1_0_a6)) + (portRef A (instanceRef next_send_rom_0_sqmuxa_1_0_a6_0_a2)) (portRef D (instanceRef send_rom_RNO)) )) (net un1_next_send_rom_0_sqmuxa_0_i (joined (portRef Z (instanceRef send_rom_RNO)) (portRef SP (instanceRef send_rom)) )) - (net N_376 (joined - (portRef Z (instanceRef state_srsts_0_a2_0_2)) - (portRef B (instanceRef state_srsts_0_a6_1_2)) - (portRef B (instanceRef state_srsts_i_a6_12)) - (portRef A (instanceRef state_RNO_1_12)) + (net state_9 (joined + (portRef Q (instanceRef state_9)) + (portRef B (instanceRef send_bit_3_iv_i)) + (portRef C (instanceRef state_RNO_4)) + (portRef A (instanceRef statec_2)) + (portRef D (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) + (portRef B (instanceRef state_srsts_0_i_tz_tz_3)) + (portRef SP (instanceRef skip_rom)) + (portRef A (instanceRef send_bit_RNO)) + (portRef A (instanceRef state_RNO_0_7)) )) - (net timecounter_21 (joined - (portRef Q (instanceRef timecounter_21)) - (portRef C0 (instanceRef timecounter_cry_0_21)) - (portRef C (instanceRef state_srsts_i_a2_0_12)) - (portRef B (instanceRef state_RNO_1_12)) + (net N_4715 (joined + (portRef Z (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_o2)) + (portRef A (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) + (portRef A (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) + (portRef B (instanceRef state_RNO_0_7)) )) - (net timecounter_20 (joined - (portRef Q (instanceRef timecounter_20)) - (portRef C1 (instanceRef timecounter_cry_0_19)) - (portRef B (instanceRef state_srsts_i_a2_0_12)) - (portRef C (instanceRef state_RNO_1_12)) + (net timecounter_13 (joined + (portRef Q (instanceRef timecounter_13)) + (portRef C0 (instanceRef timecounter_cry_0_13)) + (portRef D (instanceRef state_srsts_i_6)) + (portRef C (instanceRef state_srsts_i_o2_i_12)) + (portRef C (instanceRef state_RNO_8)) + (portRef D (instanceRef state_srsts_i_o2_i_a2_12)) + (portRef C (instanceRef un1_next_state_0_sqmuxa_0_a6)) + (portRef B (instanceRef state_srsts_i_o2_0_a2_7)) + (portRef B (instanceRef state_srsts_i_i_o2_4)) + (portRef C (instanceRef state_RNO_0_7)) )) - (net timecounter_19 (joined - (portRef Q (instanceRef timecounter_19)) - (portRef C0 (instanceRef timecounter_cry_0_19)) - (portRef A (instanceRef state_srsts_i_a2_0_12)) - (portRef D (instanceRef state_RNO_1_12)) + (net state_7 (joined + (portRef Q (instanceRef state_7)) + (portRef B (instanceRef state_srsts_i_6)) + (portRef B (instanceRef state_RNO_7)) + (portRef C (instanceRef output_tmp_RNO)) + (portRef B (instanceRef reset_bitcounter_0_a6_5)) + (portRef C (instanceRef next_output_iv)) + (portRef A (instanceRef un1_next_state_0_sqmuxa_0_a6)) + (portRef A (instanceRef state_srsts_i_o2_0_a2_7)) + (portRef D (instanceRef state_RNO_0_7)) )) - (net state_srsts_i_a6_0_2_12 (joined - (portRef Z (instanceRef state_RNO_1_12)) - (portRef D (instanceRef state_RNO_12)) + (net N_4749 (joined + (portRef Z (instanceRef state_RNO_0_7)) + (portRef A (instanceRef state_RNO_7)) + )) + (net state_3 (joined + (portRef Q (instanceRef state_3)) + (portRef D (instanceRef reset_timecounter_0_1)) + (portRef B (instanceRef send_bit_3_iv_i_1)) + (portRef A (instanceRef reset_timecounter_0_a6_0_0_a2)) + (portRef B (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_o2)) + (portRef B (instanceRef recv_bit_RNO)) + (portRef B (instanceRef send_bit_RNO)) + )) + (net state_1 (joined + (portRef Q (instanceRef state_1)) + (portRef C (instanceRef state_RNO_0)) + (portRef A (instanceRef send_bit_3_iv_i_a2)) + (portRef A (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_o2)) + (portRef C (instanceRef recv_bit_RNO)) + (portRef C (instanceRef send_bit_RNO)) + )) + (net N_370_i (joined + (portRef Z (instanceRef send_bit_RNO)) + (portRef SP (instanceRef send_bit)) + )) + (net reading_temp_Q (joined + (portRef Q (instanceRef reading_temp)) + (portRef D (instanceRef state_srsts_i_1_6)) + (portRef C (instanceRef recv_bit_ready_RNIQJLR)) + (portRef D (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef statec_2_RNO)) )) (net skip_rom_Q (joined (portRef Q (instanceRef skip_rom)) - (portRef C (instanceRef state_srsts_i_1_9)) - (portRef D (instanceRef state_srsts_0_tz_tz_3)) - (portRef A (instanceRef skip_rom_RNIAJDE)) + (portRef C (instanceRef state_srsts_i_1_6)) + (portRef D (instanceRef state_srsts_0_i_tz_tz_3)) + (portRef B (instanceRef state_srsts_i_i_a2_1_0_9)) + (portRef B (instanceRef statec_2_RNO)) )) - (net conv_temp_Q (joined - (portRef Q (instanceRef conv_temp)) - (portRef C (instanceRef state_nss_0_i_10)) - (portRef D (instanceRef state_srsts_0_tz_0_2)) - (portRef B (instanceRef state_srsts_0_o2_1)) - (portRef B (instanceRef skip_rom_RNIAJDE)) + (net timecounter_7 (joined + (portRef Q (instanceRef timecounter_7)) + (portRef C0 (instanceRef timecounter_cry_0_7)) + (portRef B (instanceRef state_srsts_i_1_6)) + (portRef D (instanceRef output_tmp_RNO)) + (portRef D (instanceRef output_tmp_3_0_i)) + (portRef B (instanceRef state_RNI3V49_6)) + (portRef B (instanceRef state_srsts_i_o2_i_a2_1_12)) + (portRef C (instanceRef statec_2_RNO)) )) - (net send_rom_Q (joined - (portRef Q (instanceRef send_rom)) - (portRef B (instanceRef state_srsts_i_1_9)) - (portRef B (instanceRef state_nss_0_i_10)) - (portRef D (instanceRef send_bit_3_iv_1)) - (portRef C (instanceRef statec_0)) - (portRef C (instanceRef state_srsts_0_tz_0_2)) - (portRef D (instanceRef ram_wr_2_2)) - (portRef B (instanceRef un8_recv_bit_ready)) - (portRef A (instanceRef state_srsts_0_o2_1)) - (portRef B (instanceRef next_skip_rom_2_0_a6)) - (portRef C (instanceRef skip_rom_RNIAJDE)) + (net state_6 (joined + (portRef Q (instanceRef state_6)) + (portRef A (instanceRef state_srsts_i_6)) + (portRef A (instanceRef state_srsts_i_i_0_9)) + (portRef C (instanceRef reset_bitcounter_0_a6_3)) + (portRef A (instanceRef state_RNI3V49_6)) + (portRef D (instanceRef statec_2_RNO)) )) - (net N_306_0 (joined - (portRef Z (instanceRef skip_rom_RNIAJDE)) - (portRef A (instanceRef state_srsts_i_a6_0_6)) - (portRef A (instanceRef state_srsts_0_a6_0_1_1)) + (net state_srsts_0_i_a2_0_1_1 (joined + (portRef Z (instanceRef statec_2_RNO)) + (portRef B (instanceRef statec_2)) )) - (net state_9 (joined - (portRef Q (instanceRef state_9)) - (portRef B (instanceRef send_bit_3_iv_1)) - (portRef B (instanceRef statec_0)) - (portRef C (instanceRef un1_state_i_a2)) - (portRef A (instanceRef state_srsts_0_a6_2_1)) - (portRef SP (instanceRef skip_rom)) - (portRef A (instanceRef send_bit_RNO)) + (net timecounter_16 (joined + (portRef Q (instanceRef timecounter_16)) + (portRef C1 (instanceRef timecounter_cry_0_15)) + (portRef D (instanceRef reset_timecounter_0)) + (portRef C (instanceRef state_RNO_10)) + (portRef C (instanceRef state_srsts_i_i_0_9)) + (portRef C (instanceRef state_srsts_0_a2_0_3_2)) + (portRef D (instanceRef state_srsts_i_a6_0_1_12)) + (portRef SP (instanceRef state_11)) + (portRef A (instanceRef state_RNO_0_12)) )) - (net state_3 (joined - (portRef Q (instanceRef state_3)) - (portRef C (instanceRef recv_bit_RNO)) - (portRef A (instanceRef send_bit_3_iv_1_RNO)) - (portRef B (instanceRef un1_state_i_a2)) - (portRef C (instanceRef recv_bit_3)) - (portRef A (instanceRef reset_timecounter_0_a6_0)) - (portRef B (instanceRef send_bit_RNO)) + (net state_12 (joined + (portRef Q (instanceRef state_12)) + (portRef B (instanceRef state_srsts_i_a6_0_1_12)) + (portRef C (instanceRef reset_timecounter_0_o2)) + (portRef D (instanceRef state_11)) + (portRef B (instanceRef state_RNO_0_12)) )) - (net state_1 (joined - (portRef Q (instanceRef state_1)) - (portRef A (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef C (instanceRef statec_1)) - (portRef B (instanceRef recv_bit_RNO)) - (portRef A (instanceRef un1_state_i_a2)) - (portRef B (instanceRef recv_bit_3)) - (portRef C (instanceRef send_bit_RNO)) + (net N_379_0 (joined + (portRef Z (instanceRef state_RNO_0_12)) + (portRef B (instanceRef state_RNO_12)) + )) + (net TEMPSENS_in (joined + (portRef TEMPSENS_in) + (portRef A (instanceRef recv_bit_RNO)) )) (net GND (joined (portRef GND) @@ -85122,14 +85390,12 @@ (portRef C0 (instanceRef timecounter_cry_0_0)) (portRef A0 (instanceRef timecounter_cry_0_0)) )) - (net N_370_i (joined - (portRef Z (instanceRef send_bit_RNO)) - (portRef SP (instanceRef send_bit)) + (net recv_bit_3 (joined + (portRef Z (instanceRef recv_bit_RNO)) + (portRef D (instanceRef recv_bit)) )) - (net un8_recv_bit_ready (joined - (portRef Z (instanceRef un8_recv_bit_ready)) - (portRef C (instanceRef buf_TEMP_OUT_0_sqmuxa)) - (portRef C (instanceRef ram_wr_2)) + (net N_4701_i (joined + (portRef Z (instanceRef recv_bit_ready_RNIQJLR)) (portRef SP (instanceRef ram_addr_1)) (portRef SP (instanceRef ram_addr_0)) (portRef SP (instanceRef word_15)) @@ -85270,10 +85536,6 @@ (portRef CD (instanceRef skip_rom)) (portRef CD (instanceRef state_12)) (portRef CD (instanceRef state_11)) - (portRef CD (instanceRef state_10)) - (portRef CD (instanceRef state_9)) - (portRef CD (instanceRef state_8)) - (portRef CD (instanceRef state_7)) (portRef CD (instanceRef state_6)) (portRef CD (instanceRef word_15)) (portRef CD (instanceRef word_14)) @@ -85479,24 +85741,12 @@ (net timecounter_6 (joined (portRef Q (instanceRef timecounter_6)) (portRef C1 (instanceRef timecounter_cry_0_5)) - (portRef A (instanceRef is_time_reached_un11_periodlto9)) + (portRef A (instanceRef state_srsts_i_o2_i_a2_1_12)) )) (net timecounter_s_7 (joined (portRef S0 (instanceRef timecounter_cry_0_7)) (portRef D (instanceRef timecounter_7)) )) - (net timecounter_7 (joined - (portRef Q (instanceRef timecounter_7)) - (portRef C0 (instanceRef timecounter_cry_0_7)) - (portRef A (instanceRef state_srsts_i_1_9)) - (portRef C (instanceRef state_srsts_i_a6_0_6)) - (portRef D (instanceRef output_tmp_RNO)) - (portRef D (instanceRef output_tmp_3_0_i)) - (portRef D (instanceRef reset_timecounter_0_1)) - (portRef C (instanceRef state_srsts_0_a6_0_1_1)) - (portRef C (instanceRef state_srsts_0_tz_tz_3)) - (portRef B (instanceRef is_time_reached_un11_periodlto9)) - )) (net timecounter_s_8 (joined (portRef S1 (instanceRef timecounter_cry_0_7)) (portRef D (instanceRef timecounter_8)) @@ -85504,7 +85754,7 @@ (net timecounter_8 (joined (portRef Q (instanceRef timecounter_8)) (portRef C1 (instanceRef timecounter_cry_0_7)) - (portRef C (instanceRef is_time_reached_un11_periodlto9)) + (portRef B (instanceRef state_srsts_i_o2_i_a2_12)) )) (net timecounter_s_9 (joined (portRef S0 (instanceRef timecounter_cry_0_9)) @@ -85513,7 +85763,7 @@ (net timecounter_9 (joined (portRef Q (instanceRef timecounter_9)) (portRef C0 (instanceRef timecounter_cry_0_9)) - (portRef D (instanceRef is_time_reached_un11_periodlto9)) + (portRef C (instanceRef state_srsts_i_o2_i_a2_12)) )) (net timecounter_s_10 (joined (portRef S1 (instanceRef timecounter_cry_0_9)) @@ -85523,9 +85773,10 @@ (portRef Q (instanceRef timecounter_10)) (portRef C1 (instanceRef timecounter_cry_0_9)) (portRef D (instanceRef statec_1_0)) - (portRef C (instanceRef state_srsts_i_8)) - (portRef A (instanceRef state_srsts_i_o2_3_1_12)) - (portRef B (instanceRef next_recv_bit_0_sqmuxa_0_o2)) + (portRef C (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) + (portRef A (instanceRef state_srsts_i_o2_i_o2_12)) + (portRef B (instanceRef recv_bit_ready_RNO)) + (portRef C (instanceRef state_srsts_i_i_a2_8)) )) (net timecounter_s_11 (joined (portRef S0 (instanceRef timecounter_cry_0_11)) @@ -85534,7 +85785,7 @@ (net timecounter_11 (joined (portRef Q (instanceRef timecounter_11)) (portRef C0 (instanceRef timecounter_cry_0_11)) - (portRef B (instanceRef state_srsts_i_o2_3_1_12)) + (portRef B (instanceRef state_srsts_i_o2_i_o2_12)) )) (net timecounter_s_12 (joined (portRef S1 (instanceRef timecounter_cry_0_11)) @@ -85543,23 +85794,12 @@ (net timecounter_12 (joined (portRef Q (instanceRef timecounter_12)) (portRef C1 (instanceRef timecounter_cry_0_11)) - (portRef C (instanceRef state_srsts_i_o2_3_1_12)) + (portRef C (instanceRef state_srsts_i_o2_i_o2_12)) )) (net timecounter_s_13 (joined (portRef S0 (instanceRef timecounter_cry_0_13)) (portRef D (instanceRef timecounter_13)) )) - (net timecounter_13 (joined - (portRef Q (instanceRef timecounter_13)) - (portRef C0 (instanceRef timecounter_cry_0_13)) - (portRef C (instanceRef state_srsts_i_o2_12)) - (portRef D (instanceRef state_srsts_i_6)) - (portRef C (instanceRef state_srsts_i_7)) - (portRef D (instanceRef state_srsts_i_8)) - (portRef C (instanceRef un1_next_state_0_sqmuxa_0_a6)) - (portRef B (instanceRef state_srsts_i_o2_7)) - (portRef B (instanceRef state_srsts_i_o2_4)) - )) (net timecounter_s_14 (joined (portRef S1 (instanceRef timecounter_cry_0_13)) (portRef D (instanceRef timecounter_14)) @@ -85567,7 +85807,7 @@ (net timecounter_14 (joined (portRef Q (instanceRef timecounter_14)) (portRef C1 (instanceRef timecounter_cry_0_13)) - (portRef D (instanceRef state_srsts_i_o2_12)) + (portRef D (instanceRef state_srsts_i_o2_i_12)) )) (net timecounter_s_15 (joined (portRef S0 (instanceRef timecounter_cry_0_15)) @@ -85583,17 +85823,6 @@ (portRef S1 (instanceRef timecounter_cry_0_15)) (portRef D (instanceRef timecounter_16)) )) - (net timecounter_16 (joined - (portRef Q (instanceRef timecounter_16)) - (portRef C1 (instanceRef timecounter_cry_0_15)) - (portRef A (instanceRef state_srsts_i_o2_1_12)) - (portRef D (instanceRef state_srsts_i_9)) - (portRef D (instanceRef reset_timecounter_0)) - (portRef C (instanceRef state_srsts_0_a2_0_3_2)) - (portRef D (instanceRef state_srsts_i_a6_0_1_12)) - (portRef SP (instanceRef state_11)) - (portRef SP (instanceRef state_10)) - )) (net timecounter_s_17 (joined (portRef S0 (instanceRef timecounter_cry_0_17)) (portRef D (instanceRef timecounter_17)) @@ -85616,14 +85845,29 @@ (portRef S0 (instanceRef timecounter_cry_0_19)) (portRef D (instanceRef timecounter_19)) )) + (net timecounter_19 (joined + (portRef Q (instanceRef timecounter_19)) + (portRef C0 (instanceRef timecounter_cry_0_19)) + (portRef A (instanceRef state_srsts_i_a2_0_12)) + )) (net timecounter_s_20 (joined (portRef S1 (instanceRef timecounter_cry_0_19)) (portRef D (instanceRef timecounter_20)) )) + (net timecounter_20 (joined + (portRef Q (instanceRef timecounter_20)) + (portRef C1 (instanceRef timecounter_cry_0_19)) + (portRef B (instanceRef state_srsts_i_a2_0_12)) + )) (net timecounter_s_21 (joined (portRef S0 (instanceRef timecounter_cry_0_21)) (portRef D (instanceRef timecounter_21)) )) + (net timecounter_21 (joined + (portRef Q (instanceRef timecounter_21)) + (portRef C0 (instanceRef timecounter_cry_0_21)) + (portRef C (instanceRef state_srsts_i_a2_0_12)) + )) (net timecounter_s_22 (joined (portRef S1 (instanceRef timecounter_cry_0_21)) (portRef D (instanceRef timecounter_22)) @@ -85678,37 +85922,55 @@ (portRef C0 (instanceRef timecounter_s_0_27)) (portRef D (instanceRef state_srsts_0_a2_0_2)) )) - (net statec_1 (joined - (portRef Z (instanceRef statec_1)) + (net statec_3_i (joined + (portRef Z (instanceRef state_RNO_0)) (portRef D (instanceRef state_0)) )) - (net N_369 (joined - (portRef Z (instanceRef state_srsts_i_a2_0)) - (portRef CD (instanceRef state_4)) + (net N_4757 (joined + (portRef Z (instanceRef state_srsts_i_i_a2_0_0)) + (portRef B (instanceRef state_RNO_4)) (portRef CD (instanceRef state_0)) )) - (net state_nss_0_i_12 (joined - (portRef Z (instanceRef state_nss_0_i_12)) + (net statec_2 (joined + (portRef Z (instanceRef statec_2)) (portRef D (instanceRef state_1)) )) + (net N_4716 (joined + (portRef Z (instanceRef state_srsts_0_i_o2_1)) + (portRef A (instanceRef state_srsts_i_1_6)) + (portRef B (instanceRef state_RNO_0)) + (portRef CD (instanceRef state_1)) + )) (net state_nss_0_i_11 (joined (portRef Z (instanceRef state_nss_0_i_11)) (portRef D (instanceRef state_2)) )) - (net state_nss_0_i_10 (joined - (portRef Z (instanceRef state_nss_0_i_10)) + (net statec_1 (joined + (portRef Z (instanceRef statec_1)) (portRef D (instanceRef state_3)) )) - (net statec_0 (joined - (portRef Z (instanceRef statec_0)) + (net send_rom_Q (joined + (portRef Q (instanceRef send_rom)) + (portRef D (instanceRef send_bit_3_iv_i)) + (portRef D (instanceRef state_srsts_i_i_0_9)) + (portRef C (instanceRef state_srsts_0_tz_0_2)) + (portRef B (instanceRef recv_bit_ready_RNIQJLR)) + (portRef D (instanceRef ram_wr_2_0_a2_3)) + (portRef A (instanceRef state_srsts_0_i_o2_1)) + (portRef B (instanceRef next_skip_rom_2_0_a6_0_a2)) (portRef D (instanceRef state_4)) + (portRef CD (instanceRef state_3)) + )) + (net statec_0_i_i (joined + (portRef Z (instanceRef state_RNO_4)) + (portRef CD (instanceRef state_4)) )) (net statec (joined (portRef Z (instanceRef statec)) (portRef D (instanceRef state_5)) )) (net next_send_rom_0_sqmuxa_1 (joined - (portRef Z (instanceRef next_send_rom_0_sqmuxa_1_0_a6)) + (portRef Z (instanceRef next_send_rom_0_sqmuxa_1_0_a6_0_a2)) (portRef B (instanceRef reading_temp_RNO)) (portRef D (instanceRef send_rom)) (portRef D (instanceRef state_13)) @@ -85717,74 +85979,55 @@ (net state_5 (joined (portRef Q (instanceRef state_5)) (portRef C (instanceRef statec_1_0)) + (portRef B (instanceRef state_RNO_8)) (portRef B (instanceRef output_tmp_RNO)) (portRef C (instanceRef output_tmp_3_0_i)) - (portRef A (instanceRef state_srsts_i_8)) (portRef B (instanceRef next_output_iv)) + (portRef B (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) (portRef B (instanceRef reset_bitcounter_0_a6_4)) - (portRef A (instanceRef next_recv_bit_0_sqmuxa_0_o2)) + (portRef A (instanceRef recv_bit_ready_RNO)) + (portRef A (instanceRef state_srsts_i_i_a2_8)) )) (net N_267_0 (joined (portRef Z (instanceRef state_srsts_i_6)) (portRef D (instanceRef state_6)) )) - (net state_6 (joined - (portRef Q (instanceRef state_6)) - (portRef A (instanceRef state_srsts_i_9)) - (portRef B (instanceRef state_srsts_i_6)) - (portRef B (instanceRef reset_timecounter_0_1)) - (portRef B (instanceRef state_srsts_0_a6_0_1_1)) - (portRef B (instanceRef state_srsts_0_tz_tz_3)) - (portRef C (instanceRef reset_bitcounter_0_a6_3)) - )) - (net N_269_0 (joined - (portRef Z (instanceRef state_srsts_i_7)) + (net N_4710_i (joined + (portRef Z (instanceRef state_RNO_7)) (portRef D (instanceRef state_7)) )) - (net state_7 (joined - (portRef Q (instanceRef state_7)) - (portRef C (instanceRef state_srsts_i_6)) - (portRef B (instanceRef state_srsts_i_a6_0_6)) - (portRef B (instanceRef state_srsts_i_7)) - (portRef C (instanceRef output_tmp_RNO)) - (portRef B (instanceRef reset_bitcounter_0_a6_5)) - (portRef C (instanceRef next_output_iv)) - (portRef A (instanceRef un1_next_state_0_sqmuxa_0_a6)) - (portRef A (instanceRef state_srsts_i_o2_7)) - )) - (net N_271_0 (joined - (portRef Z (instanceRef state_srsts_i_8)) + (net N_4711_i (joined + (portRef Z (instanceRef state_RNO_8)) (portRef D (instanceRef state_8)) )) (net state_8 (joined (portRef Q (instanceRef state_8)) (portRef C (instanceRef reset_bitcounter_0_a6_5)) - (portRef B (instanceRef state_srsts_i_8)) (portRef B (instanceRef un1_next_state_0_sqmuxa_0_a6)) - (portRef A (instanceRef state_srsts_i_o2_4)) - (portRef A (instanceRef state_srsts_i_a2_0)) + (portRef B (instanceRef state_srsts_i_i_a2_8)) + (portRef A (instanceRef state_srsts_i_i_o2_4)) + (portRef A (instanceRef state_srsts_i_i_a2_0_0)) )) - (net N_273_0 (joined - (portRef Z (instanceRef state_srsts_i_9)) + (net N_4712_i (joined + (portRef Z (instanceRef state_RNO_9)) (portRef D (instanceRef state_9)) )) - (net state_11 (joined - (portRef Q (instanceRef state_11)) - (portRef D (instanceRef next_output_iv)) - (portRef B (instanceRef reset_timecounter_0_o2)) + (net N_4706_i (joined + (portRef Z (instanceRef state_RNO_10)) (portRef D (instanceRef state_10)) )) (net state_10 (joined (portRef Q (instanceRef state_10)) - (portRef B (instanceRef state_srsts_i_9)) + (portRef B (instanceRef state_RNO_9)) + (portRef A (instanceRef state_RNO_10)) (portRef A (instanceRef reset_timecounter_0_o2)) + (portRef A (instanceRef state_srsts_i_i_a2_1_0_9)) )) - (net state_12 (joined - (portRef Q (instanceRef state_12)) - (portRef B (instanceRef state_srsts_i_o2_1_12)) - (portRef B (instanceRef state_srsts_i_a6_0_1_12)) - (portRef C (instanceRef reset_timecounter_0_o2)) - (portRef D (instanceRef state_11)) + (net state_11 (joined + (portRef Q (instanceRef state_11)) + (portRef B (instanceRef state_RNO_10)) + (portRef D (instanceRef next_output_iv)) + (portRef B (instanceRef reset_timecounter_0_o2)) )) (net state_RNO_12 (joined (portRef Z (instanceRef state_RNO_12)) @@ -85796,38 +86039,34 @@ )) (net state_13 (joined (portRef Q (instanceRef state_13)) - (portRef D (instanceRef state_RNO_0_12)) - (portRef D (instanceRef state_srsts_i_a6_12)) - (portRef C (instanceRef reset_timecounter_0_1)) + (portRef A (instanceRef reset_timecounter_0_1)) + (portRef C (instanceRef state_RNO_12)) (portRef D (instanceRef reset_bitcounter_0_a6_3)) )) (net next_skip_rom_2 (joined - (portRef Z (instanceRef next_skip_rom_2_0_a6)) + (portRef Z (instanceRef next_skip_rom_2_0_a6_0_a2)) (portRef D (instanceRef skip_rom)) )) - (net send_bit_3_0_i (joined - (portRef Z (instanceRef send_bit_3_0_i)) + (net send_bit_3_iv_i (joined + (portRef Z (instanceRef send_bit_3_iv_i)) (portRef D (instanceRef send_bit)) )) (net send_bit (joined (portRef Q (instanceRef send_bit)) (portRef B (instanceRef output_tmp_3_0_i)) )) - (net N_303_0 (joined - (portRef Z (instanceRef next_recv_bit_0_sqmuxa_0_o2)) - (portRef A (instanceRef recv_bit_RNO)) + (net N_4717_i (joined + (portRef Z (instanceRef recv_bit_ready_RNO)) (portRef D (instanceRef recv_bit_ready)) )) (net recv_bit_ready (joined (portRef Q (instanceRef recv_bit_ready)) - (portRef A (instanceRef un8_recv_bit_ready)) - )) - (net recv_bit_3 (joined - (portRef Z (instanceRef recv_bit_3)) - (portRef D (instanceRef recv_bit)) + (portRef A (instanceRef recv_bit_ready_RNIQJLR)) + (portRef A (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef ram_wr_2_0_a2_3)) )) - (net un1_next_recv_bit_0_sqmuxa_0_i (joined - (portRef Z (instanceRef recv_bit_RNO)) + (net un1_next_recv_bit_0_sqmuxa_0_i_s (joined + (portRef Z (instanceRef un1_next_recv_bit_0_sqmuxa_0_i_s)) (portRef SP (instanceRef recv_bit)) )) (net next_conv_temp_0_sqmuxa (joined @@ -85838,15 +86077,8 @@ (portRef Z (instanceRef reading_temp_RNO)) (portRef SP (instanceRef reading_temp)) )) - (net reading_temp_Q (joined - (portRef Q (instanceRef reading_temp)) - (portRef D (instanceRef state_srsts_i_a6_0_6)) - (portRef D (instanceRef state_srsts_0_a6_0_1_1)) - (portRef D (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) - (portRef C (instanceRef un8_recv_bit_ready)) - )) (net ram_wr_2 (joined - (portRef Z (instanceRef ram_wr_2)) + (portRef Z (instanceRef ram_wr_2_0_a2)) (portRef D (instanceRef ram_wr)) )) (net reset_i_fast_1_i (joined @@ -85857,7 +86089,7 @@ )) (net ONEWIRE_WRITE (joined (portRef Q (instanceRef ram_wr)) - (portRef A (instanceRef ram_wr_RNI4K55)) + (portRef A (instanceRef ram_wr_RNIOK0H)) )) (net ONEWIRE_ADDR_0 (joined (portRef Q (instanceRef ram_addr_0)) @@ -85891,8 +86123,14 @@ (portRef Q (instanceRef output)) (portRef (member un1_the_endpoint_1_0 0)) )) + (net conv_temp_Q (joined + (portRef Q (instanceRef conv_temp)) + (portRef B (instanceRef statec_1)) + (portRef D (instanceRef state_srsts_0_tz_0_2)) + (portRef B (instanceRef state_srsts_0_i_o2_1)) + )) (net buf_TEMP_OUT_0_sqmuxa (joined - (portRef Z (instanceRef buf_TEMP_OUT_0_sqmuxa)) + (portRef Z (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2)) (portRef SP (instanceRef buf_TEMP_OUT_11)) (portRef SP (instanceRef buf_TEMP_OUT_10)) (portRef SP (instanceRef buf_TEMP_OUT_9)) @@ -85971,10 +86209,10 @@ (net un1_inc_bitcounter_axb_0 (joined (portRef Q (instanceRef bitcounter_0)) (portRef A1 (instanceRef un1_inc_bitcounter_cry_0_0)) - (portRef B (instanceRef buf_TEMP_OUT_0_sqmuxa)) - (portRef B (instanceRef ram_wr_2)) - (portRef B (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef B (instanceRef send_bit_3_iv_1_RNO)) + (portRef C (instanceRef send_bit_3_iv_i_1)) + (portRef B (instanceRef send_bit_3_iv_i_a2)) + (portRef B (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef B (instanceRef ram_wr_2_0_a2_3)) )) (net un1_inc_bitcounter_cry_1_0_S0 (joined (portRef S0 (instanceRef un1_inc_bitcounter_cry_1_0)) @@ -85983,11 +86221,11 @@ (net un1_inc_bitcounter_axb_1 (joined (portRef Q (instanceRef bitcounter_1)) (portRef A0 (instanceRef un1_inc_bitcounter_cry_1_0)) - (portRef C (instanceRef send_bit_3_iv_1)) - (portRef C (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef A (instanceRef ram_wr_2_2)) - (portRef A (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) - (portRef C (instanceRef send_bit_3_iv_1_RNO)) + (portRef C (instanceRef send_bit_3_iv_i)) + (portRef D (instanceRef send_bit_3_iv_i_1)) + (portRef B (instanceRef ram_wr_2_0_a2)) + (portRef B (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2)) + (portRef C (instanceRef send_bit_3_iv_i_a2)) )) (net un1_inc_bitcounter_cry_1_0_S1 (joined (portRef S1 (instanceRef un1_inc_bitcounter_cry_1_0)) @@ -86018,70 +86256,79 @@ (portRef Z (instanceRef state_srsts_i_o2_5_12)) (portRef A (instanceRef state_srsts_i_o2_0_12)) )) - (net N_295_0 (joined - (portRef Z (instanceRef state_srsts_0_o2_1)) - (portRef A (instanceRef state_nss_0_i_12)) - (portRef A (instanceRef statec_1)) - )) - (net N_337_2 (joined - (portRef Z (instanceRef state_srsts_0_a6_2_1)) - (portRef B (instanceRef state_nss_0_i_12)) - (portRef A (instanceRef state_srsts_0_tz_tz_3)) + (net N_4714 (joined + (portRef Z (instanceRef state_srsts_i_i_o2_4)) + (portRef A (instanceRef state_RNO_4)) + (portRef A (instanceRef state_RNO_0)) + (portRef A (instanceRef state_srsts_0_tz_0_2)) )) (net N_357 (joined - (portRef Z (instanceRef reset_timecounter_0_a6_0)) + (portRef Z (instanceRef reset_timecounter_0_a6_0_0_a2)) (portRef B (instanceRef state_srsts_0_tz_0_2)) - (portRef A (instanceRef reset_timecounter_0_1)) - )) - (net N_297_0 (joined - (portRef Z (instanceRef state_srsts_i_o2_4)) - (portRef A (instanceRef statec_0)) - (portRef B (instanceRef statec_1)) - (portRef A (instanceRef state_srsts_0_tz_0_2)) )) (net N_302_0 (joined - (portRef Z (instanceRef state_srsts_i_o2_7)) + (portRef Z (instanceRef state_srsts_i_o2_0_a2_7)) (portRef A (instanceRef output_tmp_3_0_i)) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef B (instanceRef ram_wr_RNI4K55)) + (net N_4760 (joined + (portRef Z (instanceRef state_srsts_i_o2_i_a2_1_12)) + (portRef A (instanceRef state_srsts_i_o2_i_a2_12)) + )) + (net N_298_0_i_0 (joined + (portRef Z (instanceRef state_RNI3V49_6)) + (portRef B (instanceRef reset_timecounter_0_1)) + (portRef A (instanceRef state_RNO_9)) + (portRef A (instanceRef state_srsts_0_i_tz_tz_3)) + )) + (net state_srsts_i_i_a2_1_0_9 (joined + (portRef Z (instanceRef state_srsts_i_i_a2_1_0_9)) + (portRef B (instanceRef state_srsts_i_i_0_9)) + )) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef B (instanceRef ram_wr_RNIOK0H)) )) (net buf_IDRAM_WR_IN_0_i (joined - (portRef Z (instanceRef ram_wr_RNI4K55)) + (portRef Z (instanceRef ram_wr_RNIOK0H)) (portRef buf_IDRAM_WR_IN_0_i) )) - (net TEMPSENS_in (joined - (portRef TEMPSENS_in) - (portRef A (instanceRef recv_bit_3)) + (net N_4750 (joined + (portRef Z (instanceRef state_srsts_i_i_a2_8)) + (portRef A (instanceRef state_RNO_8)) )) (net N_330 (joined (portRef Z (instanceRef un1_next_state_0_sqmuxa_0_a6)) (portRef B (instanceRef reset_timecounter_0)) (portRef A (instanceRef output_tmp_RNO)) )) - (net un1_state_i_a2 (joined - (portRef Z (instanceRef un1_state_i_a2)) - (portRef A (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) - (portRef A (instanceRef state_srsts_i_7)) - )) (net N_377 (joined (portRef Z (instanceRef state_srsts_i_a2_0_12)) + (portRef C (instanceRef state_srsts_i_a6_0_12)) (portRef B (instanceRef state_srsts_i_o2_0_12)) (portRef A (instanceRef state_srsts_0_a2_0_3_2)) )) - (net next_send_bit_5_m (joined - (portRef Z (instanceRef send_bit_3_iv_1_RNO)) - (portRef A (instanceRef send_bit_3_iv_1)) + (net N_376 (joined + (portRef Z (instanceRef state_srsts_0_a2_0_2)) + (portRef B (instanceRef state_RNO_1_12)) + (portRef C (instanceRef state_srsts_0_a6_1_2)) + (portRef B (instanceRef state_srsts_i_a6_0_12)) )) (net N_305_0 (joined (portRef Z (instanceRef reset_timecounter_0_o2)) (portRef A (instanceRef reset_bitcounter_0_a6_4_RNICA7R)) (portRef A (instanceRef reset_timecounter_0)) )) - (net state_srsts_i_o2_3_1_12 (joined - (portRef Z (instanceRef state_srsts_i_o2_3_1_12)) - (portRef B (instanceRef state_srsts_i_o2_12)) + (net N_4725 (joined + (portRef Z (instanceRef state_srsts_i_o2_i_o2_12)) + (portRef A (instanceRef state_srsts_i_o2_i_12)) + )) + (net ram_wr_2_0_a2_3 (joined + (portRef Z (instanceRef ram_wr_2_0_a2_3)) + (portRef A (instanceRef ram_wr_2_0_a2)) + )) + (net buf_TEMP_OUT_0_sqmuxa_0_a2_3 (joined + (portRef Z (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef buf_TEMP_OUT_0_sqmuxa_0_a2)) )) (net reset_bitcounter_0_a6_3 (joined (portRef Z (instanceRef reset_bitcounter_0_a6_3)) @@ -86091,38 +86338,26 @@ (portRef Z (instanceRef reset_bitcounter_0_a6_4)) (portRef C (instanceRef reset_bitcounter_0_a6_4_RNICA7R)) )) - (net buf_TEMP_OUT_0_sqmuxa_1_0 (joined - (portRef Z (instanceRef buf_TEMP_OUT_0_sqmuxa_1_0)) - (portRef A (instanceRef buf_TEMP_OUT_0_sqmuxa)) - )) - (net ram_wr_2_2 (joined - (portRef Z (instanceRef ram_wr_2_2)) - (portRef A (instanceRef ram_wr_2)) - )) (net state_srsts_i_a6_0_1_12 (joined (portRef Z (instanceRef state_srsts_i_a6_0_1_12)) - (portRef C (instanceRef state_RNO_12)) + (portRef D (instanceRef state_srsts_i_a6_0_12)) )) - (net un11_periodlt12 (joined - (portRef Z (instanceRef is_time_reached_un11_periodlto9)) - (portRef A (instanceRef state_srsts_i_o2_12)) + (net N_4742 (joined + (portRef Z (instanceRef send_bit_3_iv_i_a2)) + (portRef A (instanceRef send_bit_3_iv_i_1)) )) - (net state_nss_0_tz_tz_10 (joined - (portRef Z (instanceRef state_srsts_0_tz_tz_3)) - (portRef A (instanceRef state_nss_0_i_10)) + (net N_4705_tz_tz (joined + (portRef Z (instanceRef state_srsts_0_i_tz_tz_3)) + (portRef A (instanceRef statec_1)) )) - (net state_srsts_0_a6_0_1_1 (joined - (portRef Z (instanceRef state_srsts_0_a6_0_1_1)) - (portRef C (instanceRef state_nss_0_i_12)) + (net N_4737 (joined + (portRef Z (instanceRef state_srsts_i_o2_i_a2_12)) + (portRef B (instanceRef state_srsts_i_o2_i_12)) )) (net reset_bitcounter_0_a6_5 (joined (portRef Z (instanceRef reset_bitcounter_0_a6_5)) (portRef D (instanceRef reset_bitcounter_0_a6_4_RNICA7R)) )) - (net reset_timecounter_0_1 (joined - (portRef Z (instanceRef reset_timecounter_0_1)) - (portRef C (instanceRef reset_timecounter_0)) - )) (net state_srsts_0_a2_0_2_2 (joined (portRef Z (instanceRef state_srsts_0_a2_0_3_2)) (portRef D (instanceRef state_srsts_0_a6_1_2)) @@ -86131,6 +86366,10 @@ (portRef Z (instanceRef state_srsts_0_tz_0_2)) (portRef C (instanceRef state_nss_0_i_11)) )) + (net state_srsts_i_i_0_9 (joined + (portRef Z (instanceRef state_srsts_i_i_0_9)) + (portRef C (instanceRef state_RNO_9)) + )) (net N_325_i (joined (portRef Z (instanceRef un1_inc_bitcounter_cry_0_0_RNO)) (portRef B0 (instanceRef un1_inc_bitcounter_cry_0_0)) @@ -86139,27 +86378,23 @@ (portRef reset_i_rep2) (portRef C (instanceRef statec)) (portRef D (instanceRef state_nss_0_i_11)) - (portRef D (instanceRef state_nss_0_i_12)) - (portRef D (instanceRef state_nss_0_i_10)) - (portRef D (instanceRef statec_0)) - (portRef D (instanceRef statec_1)) - )) - (net next_send_bit_1_sqmuxa_1 (joined - (portRef Z (instanceRef next_send_bit_1_sqmuxa_1)) - (portRef A (instanceRef send_bit_3_0_i)) - )) - (net N_343 (joined - (portRef Z (instanceRef state_srsts_i_a6_0_6)) - (portRef A (instanceRef state_srsts_i_6)) + (portRef D (instanceRef state_RNO_7)) + (portRef D (instanceRef state_RNO_9)) + (portRef C (instanceRef statec_1)) + (portRef D (instanceRef state_RNO_4)) + (portRef D (instanceRef state_RNO_0)) + (portRef D (instanceRef state_RNO_8)) + (portRef D (instanceRef statec_2)) + (portRef D (instanceRef state_RNO_10)) )) (net N_292_0 (joined (portRef Z (instanceRef state_srsts_i_o2_0_12)) - (portRef A (instanceRef state_srsts_0_a6_1_2)) - (portRef A (instanceRef state_srsts_i_a6_12)) + (portRef A (instanceRef state_RNO_1_12)) + (portRef B (instanceRef state_srsts_0_a6_1_2)) )) - (net send_bit_3_iv_1 (joined - (portRef Z (instanceRef send_bit_3_iv_1)) - (portRef B (instanceRef send_bit_3_0_i)) + (net reset_timecounter_0_1 (joined + (portRef Z (instanceRef reset_timecounter_0_1)) + (portRef C (instanceRef reset_timecounter_0)) )) (net timecounter (joined (portRef Z (instanceRef reset_timecounter_0)) @@ -86193,32 +86428,31 @@ (portRef A1 (instanceRef timecounter_cry_0_0)) (portRef B0 (instanceRef timecounter_cry_0_0)) )) - (net N_379_0 (joined - (portRef Z (instanceRef state_srsts_i_o2_1_12)) - (portRef B (instanceRef state_RNO_0_12)) - (portRef C (instanceRef state_srsts_i_a6_12)) - )) - (net N_351 (joined - (portRef Z (instanceRef state_srsts_i_a6_12)) - (portRef A (instanceRef state_RNO_0_12)) + (net N_4707 (joined + (portRef Z (instanceRef state_srsts_i_o2_i_12)) + (portRef A (instanceRef state_srsts_0_a6_1_2)) + (portRef A (instanceRef state_srsts_i_a6_0_12)) )) - (net un11_periodlt16_0 (joined - (portRef Z (instanceRef state_srsts_i_o2_12)) - (portRef C (instanceRef state_srsts_0_a6_1_2)) + (net N_352 (joined + (portRef Z (instanceRef state_srsts_i_a6_0_12)) (portRef A (instanceRef state_RNO_12)) )) - (net state_srsts_i_381_0 (joined - (portRef Z (instanceRef state_RNO_0_12)) - (portRef B (instanceRef state_RNO_12)) + (net state_srsts_i_369_1 (joined + (portRef Z (instanceRef state_RNO_1_12)) + (portRef D (instanceRef state_RNO_12)) )) - (net state_srsts_i_1_9 (joined - (portRef Z (instanceRef state_srsts_i_1_9)) - (portRef C (instanceRef state_srsts_i_9)) + (net send_bit_3_iv_i_1 (joined + (portRef Z (instanceRef send_bit_3_iv_i_1)) + (portRef A (instanceRef send_bit_3_iv_i)) )) (net statec_1_0 (joined (portRef Z (instanceRef statec_1_0)) (portRef B (instanceRef statec)) )) + (net state_srsts_i_1_6 (joined + (portRef Z (instanceRef state_srsts_i_1_6)) + (portRef C (instanceRef state_srsts_i_6)) + )) (net timecounter_cry_0 (joined (portRef COUT (instanceRef timecounter_cry_0_0)) (portRef CIN (instanceRef timecounter_cry_0_1)) @@ -86311,34 +86545,17 @@ (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction OUTPUT)) (port (array (rename buf_idram_data_in "buf_IDRAM_DATA_IN(15:0)") 16) (direction INPUT)) (port (array (rename buf_idram_addr_in "buf_IDRAM_ADDR_IN(1:0)") 2) (direction INPUT)) - (port (array (rename un14_i_a3_1 "un14_i_a3_1(1:1)") 1) (direction INPUT)) + (port (array (rename un1_the_endpoint "un1_THE_ENDPOINT(6:6)") 1) (direction OUTPUT)) + (port (array (rename un14_i_a3_0 "un14_i_a3_0(1:1)") 1) (direction INPUT)) (port (array (rename trg_type_i "trg_type_i(3:0)") 4) (direction INPUT)) (port (array (rename link_and_reset_status "link_and_reset_status(3:0)") 4) (direction INPUT)) - (port (array (rename trg_information_i "trg_information_i(15:0)") 16) (direction INPUT)) (port (array (rename trg_number_i "trg_number_i(3:0)") 4) (direction INPUT)) (port (array (rename trg_code_i "trg_code_i(7:0)") 8) (direction INPUT)) + (port (array (rename trg_information_i "trg_information_i(15:0)") 16) (direction INPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction INPUT)) - (port common_stat_reg_i_0 (direction INPUT)) - (port common_stat_reg_i_2 (direction INPUT)) - (port common_stat_reg_i_57 (direction INPUT)) - (port common_stat_reg_i_56 (direction INPUT)) - (port common_stat_reg_i_52 (direction INPUT)) - (port common_stat_reg_i_60 (direction INPUT)) - (port common_stat_reg_i_48 (direction INPUT)) - (port common_stat_reg_i_47 (direction INPUT)) - (port common_stat_reg_i_49 (direction INPUT)) - (port common_stat_reg_i_50 (direction INPUT)) - (port common_stat_reg_i_51 (direction INPUT)) - (port common_stat_reg_i_61 (direction INPUT)) - (port common_stat_reg_i_55 (direction INPUT)) - (port common_stat_reg_i_53 (direction INPUT)) - (port common_stat_reg_i_54 (direction INPUT)) - (port common_stat_reg_i_59 (direction INPUT)) - (port common_stat_reg_i_62 (direction INPUT)) - (port common_stat_reg_i_58 (direction INPUT)) - (port (array (rename temperature "temperature(11:0)") 12) (direction INPUT)) (port (array (rename common_ctrl_reg_strobe "COMMON_CTRL_REG_STROBE(1:1)") 1) (direction OUTPUT)) (port (array (rename regio_data_in_i "regio_data_in_i(31:0)") 32) (direction INPUT)) + (port ctrl_reg_12 (direction OUTPUT)) (port ctrl_reg_36 (direction OUTPUT)) (port ctrl_reg_37 (direction OUTPUT)) (port ctrl_reg_38 (direction OUTPUT)) @@ -86389,68 +86606,37 @@ (port ctrl_reg_93 (direction OUTPUT)) (port ctrl_reg_94 (direction OUTPUT)) (port ctrl_reg_95 (direction OUTPUT)) - (port common_ctrl_reg_32 (direction OUTPUT)) - (port common_ctrl_reg_33 (direction OUTPUT)) - (port common_ctrl_reg_34 (direction OUTPUT)) - (port common_ctrl_reg_35 (direction OUTPUT)) - (port common_ctrl_reg_36 (direction OUTPUT)) - (port common_ctrl_reg_37 (direction OUTPUT)) - (port common_ctrl_reg_38 (direction OUTPUT)) - (port common_ctrl_reg_39 (direction OUTPUT)) - (port common_ctrl_reg_40 (direction OUTPUT)) - (port common_ctrl_reg_41 (direction OUTPUT)) - (port common_ctrl_reg_42 (direction OUTPUT)) - (port common_ctrl_reg_43 (direction OUTPUT)) - (port common_ctrl_reg_44 (direction OUTPUT)) - (port common_ctrl_reg_45 (direction OUTPUT)) - (port common_ctrl_reg_46 (direction OUTPUT)) - (port common_ctrl_reg_47 (direction OUTPUT)) - (port common_ctrl_reg_93 (direction OUTPUT)) - (port common_ctrl_reg_95 (direction OUTPUT)) - (port common_ctrl_reg_16 (direction OUTPUT)) - (port common_ctrl_reg_15 (direction OUTPUT)) - (port common_ctrl_reg_10 (direction OUTPUT)) - (port common_ctrl_reg_5 (direction OUTPUT)) - (port common_ctrl_reg_4 (direction OUTPUT)) - (port common_ctrl_reg_2 (direction OUTPUT)) - (port common_ctrl_reg_1 (direction OUTPUT)) (port (array (rename regio_data_out_i "regio_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename buf_apl_dtype_in "buf_APL_DTYPE_IN(15:12)") 4) (direction OUTPUT)) - (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(63:48)") 16) (direction INPUT)) (port buf_APL_ERROR_PATTERN_IN_0 (direction OUTPUT)) (port buf_APL_ERROR_PATTERN_IN_14 (direction OUTPUT)) (port buf_APL_ERROR_PATTERN_IN_12 (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename timer_ticks "timer_ticks(1:0)") 2) (direction OUTPUT)) - (port stat_lvl1_handler_61 (direction INPUT)) (port stat_lvl1_handler_40 (direction INPUT)) - (port stat_lvl1_handler_63 (direction INPUT)) - (port stat_lvl1_handler_59 (direction INPUT)) - (port stat_lvl1_handler_60 (direction INPUT)) (port stat_lvl1_handler_35 (direction INPUT)) - (port stat_lvl1_handler_41 (direction INPUT)) + (port stat_lvl1_handler_63 (direction INPUT)) (port stat_lvl1_handler_62 (direction INPUT)) + (port stat_lvl1_handler_60 (direction INPUT)) + (port stat_lvl1_handler_61 (direction INPUT)) + (port stat_lvl1_handler_59 (direction INPUT)) (port stat_lvl1_handler_42 (direction INPUT)) (port stat_lvl1_handler_47 (direction INPUT)) (port stat_lvl1_handler_15 (direction INPUT)) + (port stat_lvl1_handler_32 (direction INPUT)) + (port stat_lvl1_handler_0 (direction INPUT)) (port stat_lvl1_handler_44 (direction INPUT)) (port stat_lvl1_handler_12 (direction INPUT)) - (port stat_lvl1_handler_39 (direction INPUT)) - (port stat_lvl1_handler_7 (direction INPUT)) + (port stat_lvl1_handler_46 (direction INPUT)) + (port stat_lvl1_handler_14 (direction INPUT)) (port stat_lvl1_handler_45 (direction INPUT)) (port stat_lvl1_handler_13 (direction INPUT)) - (port stat_lvl1_handler_38 (direction INPUT)) - (port stat_lvl1_handler_6 (direction INPUT)) - (port stat_lvl1_handler_43 (direction INPUT)) - (port stat_lvl1_handler_11 (direction INPUT)) (port stat_lvl1_handler_50 (direction INPUT)) (port stat_lvl1_handler_18 (direction INPUT)) (port stat_lvl1_handler_48 (direction INPUT)) (port stat_lvl1_handler_16 (direction INPUT)) (port stat_lvl1_handler_51 (direction INPUT)) (port stat_lvl1_handler_19 (direction INPUT)) - (port stat_lvl1_handler_55 (direction INPUT)) - (port stat_lvl1_handler_23 (direction INPUT)) (port stat_lvl1_handler_49 (direction INPUT)) (port stat_lvl1_handler_17 (direction INPUT)) (port stat_lvl1_handler_53 (direction INPUT)) @@ -86461,76 +86647,103 @@ (port stat_lvl1_handler_22 (direction INPUT)) (port stat_lvl1_handler_57 (direction INPUT)) (port stat_lvl1_handler_25 (direction INPUT)) - (port stat_lvl1_handler_46 (direction INPUT)) - (port stat_lvl1_handler_14 (direction INPUT)) - (port stat_lvl1_handler_32 (direction INPUT)) - (port stat_lvl1_handler_0 (direction INPUT)) + (port stat_lvl1_handler_39 (direction INPUT)) + (port stat_lvl1_handler_7 (direction INPUT)) + (port stat_lvl1_handler_43 (direction INPUT)) + (port stat_lvl1_handler_11 (direction INPUT)) + (port stat_lvl1_handler_38 (direction INPUT)) + (port stat_lvl1_handler_6 (direction INPUT)) + (port stat_lvl1_handler_36 (direction INPUT)) + (port stat_lvl1_handler_4 (direction INPUT)) (port stat_lvl1_handler_34 (direction INPUT)) (port stat_lvl1_handler_2 (direction INPUT)) (port stat_lvl1_handler_33 (direction INPUT)) (port stat_lvl1_handler_1 (direction INPUT)) (port stat_lvl1_handler_52 (direction INPUT)) (port stat_lvl1_handler_20 (direction INPUT)) + (port stat_lvl1_handler_55 (direction INPUT)) + (port stat_lvl1_handler_23 (direction INPUT)) (port stat_lvl1_handler_58 (direction INPUT)) (port stat_lvl1_handler_26 (direction INPUT)) - (port stat_lvl1_handler_36 (direction INPUT)) - (port stat_lvl1_handler_4 (direction INPUT)) + (port stat_lvl1_handler_41 (direction INPUT)) (port stat_lvl1_handler_5 (direction INPUT)) (port stat_lvl1_handler_37 (direction INPUT)) - (port (array (rename regio_addr_i_2 "regio_addr_i_2(1:1)") 1) (direction OUTPUT)) - (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(11:9)") 3) (direction INPUT)) - (port stat_reg_40 (direction INPUT)) - (port stat_reg_61 (direction INPUT)) - (port stat_reg_63 (direction INPUT)) + (port regio_addr_i_1_1 (direction OUTPUT)) + (port common_ctrl_reg_32 (direction OUTPUT)) + (port common_ctrl_reg_33 (direction OUTPUT)) + (port common_ctrl_reg_34 (direction OUTPUT)) + (port common_ctrl_reg_35 (direction OUTPUT)) + (port common_ctrl_reg_36 (direction OUTPUT)) + (port common_ctrl_reg_37 (direction OUTPUT)) + (port common_ctrl_reg_38 (direction OUTPUT)) + (port common_ctrl_reg_39 (direction OUTPUT)) + (port common_ctrl_reg_40 (direction OUTPUT)) + (port common_ctrl_reg_41 (direction OUTPUT)) + (port common_ctrl_reg_42 (direction OUTPUT)) + (port common_ctrl_reg_43 (direction OUTPUT)) + (port common_ctrl_reg_44 (direction OUTPUT)) + (port common_ctrl_reg_45 (direction OUTPUT)) + (port common_ctrl_reg_46 (direction OUTPUT)) + (port common_ctrl_reg_47 (direction OUTPUT)) + (port common_ctrl_reg_93 (direction OUTPUT)) + (port common_ctrl_reg_95 (direction OUTPUT)) + (port common_ctrl_reg_16 (direction OUTPUT)) + (port common_ctrl_reg_15 (direction OUTPUT)) + (port common_ctrl_reg_10 (direction OUTPUT)) + (port common_ctrl_reg_5 (direction OUTPUT)) + (port common_ctrl_reg_2 (direction OUTPUT)) + (port common_ctrl_reg_1 (direction OUTPUT)) + (port common_ctrl_reg_4 (direction OUTPUT)) + (port (array (rename regio_addr_i_3 "regio_addr_i_3(0:0)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i_5 "regio_addr_i_5(0:0)") 1) (direction OUTPUT)) (port stat_reg_59 (direction INPUT)) + (port stat_reg_60 (direction INPUT)) + (port stat_reg_653 (direction INPUT)) + (port stat_reg_651 (direction INPUT)) + (port stat_reg_643 (direction INPUT)) + (port stat_reg_46 (direction INPUT)) (port stat_reg_640 (direction INPUT)) - (port stat_reg_44 (direction INPUT)) (port stat_reg_642 (direction INPUT)) - (port stat_reg_661 (direction INPUT)) - (port stat_reg_656 (direction INPUT)) - (port stat_reg_46 (direction INPUT)) - (port stat_reg_650 (direction INPUT)) (port stat_reg_662 (direction INPUT)) - (port stat_reg_647 (direction INPUT)) (port stat_reg_649 (direction INPUT)) + (port stat_reg_660 (direction INPUT)) + (port stat_reg_655 (direction INPUT)) (port stat_reg_654 (direction INPUT)) - (port stat_reg_641 (direction INPUT)) - (port stat_reg_646 (direction INPUT)) - (port stat_reg_652 (direction INPUT)) (port stat_reg_648 (direction INPUT)) - (port stat_reg_645 (direction INPUT)) + (port stat_reg_44 (direction INPUT)) (port stat_reg_47 (direction INPUT)) - (port stat_reg_643 (direction INPUT)) + (port stat_reg_641 (direction INPUT)) + (port stat_reg_650 (direction INPUT)) + (port stat_reg_645 (direction INPUT)) + (port stat_reg_652 (direction INPUT)) + (port stat_reg_45 (direction INPUT)) + (port stat_reg_661 (direction INPUT)) (port stat_reg_644 (direction INPUT)) - (port stat_reg_655 (direction INPUT)) - (port stat_reg_52 (direction INPUT)) - (port stat_reg_54 (direction INPUT)) - (port stat_reg_41 (direction INPUT)) - (port stat_reg_48 (direction INPUT)) - (port stat_reg_37 (direction INPUT)) - (port stat_reg_51 (direction INPUT)) - (port stat_reg_53 (direction INPUT)) + (port stat_reg_646 (direction INPUT)) + (port stat_reg_647 (direction INPUT)) + (port stat_reg_49 (direction INPUT)) (port stat_reg_50 (direction INPUT)) - (port stat_reg_42 (direction INPUT)) - (port stat_reg_39 (direction INPUT)) + (port stat_reg_37 (direction INPUT)) (port stat_reg_55 (direction INPUT)) (port stat_reg_38 (direction INPUT)) - (port stat_reg_49 (direction INPUT)) - (port stat_reg_651 (direction INPUT)) - (port stat_reg_653 (direction INPUT)) + (port stat_reg_42 (direction INPUT)) + (port stat_reg_48 (direction INPUT)) + (port stat_reg_40 (direction INPUT)) + (port stat_reg_51 (direction INPUT)) + (port stat_reg_54 (direction INPUT)) + (port stat_reg_39 (direction INPUT)) + (port stat_reg_41 (direction INPUT)) + (port stat_reg_53 (direction INPUT)) + (port stat_reg_52 (direction INPUT)) (port stat_reg_658 (direction INPUT)) (port stat_reg_657 (direction INPUT)) - (port stat_reg_660 (direction INPUT)) + (port stat_reg_656 (direction INPUT)) (port stat_reg_659 (direction INPUT)) (port stat_reg_663 (direction INPUT)) (port stat_reg_302 (direction INPUT)) (port stat_reg_270 (direction INPUT)) (port stat_reg_366 (direction INPUT)) (port stat_reg_334 (direction INPUT)) - (port stat_reg_430 (direction INPUT)) - (port stat_reg_398 (direction INPUT)) - (port stat_reg_494 (direction INPUT)) - (port stat_reg_462 (direction INPUT)) (port stat_reg_168 (direction INPUT)) (port stat_reg_136 (direction INPUT)) (port stat_reg_232 (direction INPUT)) @@ -86539,10 +86752,12 @@ (port stat_reg_264 (direction INPUT)) (port stat_reg_360 (direction INPUT)) (port stat_reg_328 (direction INPUT)) + (port stat_reg_424 (direction INPUT)) + (port stat_reg_392 (direction INPUT)) + (port stat_reg_488 (direction INPUT)) + (port stat_reg_456 (direction INPUT)) (port stat_reg_552 (direction INPUT)) (port stat_reg_520 (direction INPUT)) - (port stat_reg_616 (direction INPUT)) - (port stat_reg_584 (direction INPUT)) (port stat_reg_172 (direction INPUT)) (port stat_reg_140 (direction INPUT)) (port stat_reg_300 (direction INPUT)) @@ -86557,8 +86772,6 @@ (port stat_reg_524 (direction INPUT)) (port stat_reg_171 (direction INPUT)) (port stat_reg_139 (direction INPUT)) - (port stat_reg_235 (direction INPUT)) - (port stat_reg_203 (direction INPUT)) (port stat_reg_299 (direction INPUT)) (port stat_reg_267 (direction INPUT)) (port stat_reg_363 (direction INPUT)) @@ -86567,8 +86780,6 @@ (port stat_reg_459 (direction INPUT)) (port stat_reg_555 (direction INPUT)) (port stat_reg_523 (direction INPUT)) - (port stat_reg_619 (direction INPUT)) - (port stat_reg_587 (direction INPUT)) (port stat_reg_427 (direction INPUT)) (port stat_reg_395 (direction INPUT)) (port stat_reg_170 (direction INPUT)) @@ -86579,6 +86790,10 @@ (port stat_reg_266 (direction INPUT)) (port stat_reg_362 (direction INPUT)) (port stat_reg_330 (direction INPUT)) + (port stat_reg_426 (direction INPUT)) + (port stat_reg_394 (direction INPUT)) + (port stat_reg_490 (direction INPUT)) + (port stat_reg_458 (direction INPUT)) (port stat_reg_554 (direction INPUT)) (port stat_reg_522 (direction INPUT)) (port stat_reg_175 (direction INPUT)) @@ -86597,10 +86812,10 @@ (port stat_reg_142 (direction INPUT)) (port stat_reg_558 (direction INPUT)) (port stat_reg_526 (direction INPUT)) + (port stat_reg_622 (direction INPUT)) + (port stat_reg_590 (direction INPUT)) (port stat_reg_173 (direction INPUT)) (port stat_reg_141 (direction INPUT)) - (port stat_reg_237 (direction INPUT)) - (port stat_reg_205 (direction INPUT)) (port stat_reg_301 (direction INPUT)) (port stat_reg_269 (direction INPUT)) (port stat_reg_365 (direction INPUT)) @@ -86609,8 +86824,6 @@ (port stat_reg_461 (direction INPUT)) (port stat_reg_557 (direction INPUT)) (port stat_reg_525 (direction INPUT)) - (port stat_reg_621 (direction INPUT)) - (port stat_reg_589 (direction INPUT)) (port stat_reg_429 (direction INPUT)) (port stat_reg_397 (direction INPUT)) (port stat_reg_34 (direction INPUT)) @@ -86665,14 +86878,16 @@ (port stat_reg_133 (direction INPUT)) (port stat_reg_229 (direction INPUT)) (port stat_reg_197 (direction INPUT)) + (port stat_reg_293 (direction INPUT)) + (port stat_reg_261 (direction INPUT)) (port stat_reg_357 (direction INPUT)) (port stat_reg_325 (direction INPUT)) (port stat_reg_421 (direction INPUT)) (port stat_reg_389 (direction INPUT)) (port stat_reg_485 (direction INPUT)) (port stat_reg_453 (direction INPUT)) - (port stat_reg_36 (direction INPUT)) - (port stat_reg_4 (direction INPUT)) + (port stat_reg_549 (direction INPUT)) + (port stat_reg_517 (direction INPUT)) (port stat_reg_164 (direction INPUT)) (port stat_reg_132 (direction INPUT)) (port stat_reg_228 (direction INPUT)) @@ -86681,10 +86896,8 @@ (port stat_reg_260 (direction INPUT)) (port stat_reg_356 (direction INPUT)) (port stat_reg_324 (direction INPUT)) - (port stat_reg_484 (direction INPUT)) - (port stat_reg_452 (direction INPUT)) - (port stat_reg_420 (direction INPUT)) - (port stat_reg_388 (direction INPUT)) + (port stat_reg_548 (direction INPUT)) + (port stat_reg_516 (direction INPUT)) (port stat_reg_612 (direction INPUT)) (port stat_reg_580 (direction INPUT)) (port stat_reg_35 (direction INPUT)) @@ -86693,6 +86906,10 @@ (port stat_reg_131 (direction INPUT)) (port stat_reg_227 (direction INPUT)) (port stat_reg_195 (direction INPUT)) + (port stat_reg_291 (direction INPUT)) + (port stat_reg_259 (direction INPUT)) + (port stat_reg_355 (direction INPUT)) + (port stat_reg_323 (direction INPUT)) (port stat_reg_419 (direction INPUT)) (port stat_reg_387 (direction INPUT)) (port stat_reg_483 (direction INPUT)) @@ -86723,12 +86940,22 @@ (port stat_reg_327 (direction INPUT)) (port stat_reg_551 (direction INPUT)) (port stat_reg_519 (direction INPUT)) + (port stat_reg_615 (direction INPUT)) + (port stat_reg_583 (direction INPUT)) (port stat_reg_425 (direction INPUT)) (port stat_reg_393 (direction INPUT)) (port stat_reg_489 (direction INPUT)) (port stat_reg_457 (direction INPUT)) - (port stat_reg_56 (direction INPUT)) - (port stat_reg_58 (direction INPUT)) + (port stat_reg_169 (direction INPUT)) + (port stat_reg_137 (direction INPUT)) + (port stat_reg_233 (direction INPUT)) + (port stat_reg_201 (direction INPUT)) + (port stat_reg_297 (direction INPUT)) + (port stat_reg_265 (direction INPUT)) + (port stat_reg_361 (direction INPUT)) + (port stat_reg_329 (direction INPUT)) + (port stat_reg_553 (direction INPUT)) + (port stat_reg_521 (direction INPUT)) (port stat_reg_178 (direction INPUT)) (port stat_reg_146 (direction INPUT)) (port stat_reg_242 (direction INPUT)) @@ -86757,12 +86984,20 @@ (port stat_reg_465 (direction INPUT)) (port stat_reg_561 (direction INPUT)) (port stat_reg_529 (direction INPUT)) + (port stat_reg_176 (direction INPUT)) + (port stat_reg_144 (direction INPUT)) + (port stat_reg_240 (direction INPUT)) + (port stat_reg_208 (direction INPUT)) + (port stat_reg_432 (direction INPUT)) + (port stat_reg_400 (direction INPUT)) + (port stat_reg_496 (direction INPUT)) + (port stat_reg_464 (direction INPUT)) (port stat_reg_560 (direction INPUT)) (port stat_reg_528 (direction INPUT)) - (port stat_reg_624 (direction INPUT)) - (port stat_reg_592 (direction INPUT)) (port stat_reg_304 (direction INPUT)) (port stat_reg_272 (direction INPUT)) + (port stat_reg_368 (direction INPUT)) + (port stat_reg_336 (direction INPUT)) (port stat_reg_181 (direction INPUT)) (port stat_reg_149 (direction INPUT)) (port stat_reg_245 (direction INPUT)) @@ -86785,10 +87020,8 @@ (port stat_reg_276 (direction INPUT)) (port stat_reg_372 (direction INPUT)) (port stat_reg_340 (direction INPUT)) - (port stat_reg_500 (direction INPUT)) - (port stat_reg_468 (direction INPUT)) - (port stat_reg_436 (direction INPUT)) - (port stat_reg_404 (direction INPUT)) + (port stat_reg_564 (direction INPUT)) + (port stat_reg_532 (direction INPUT)) (port stat_reg_628 (direction INPUT)) (port stat_reg_596 (direction INPUT)) (port stat_reg_179 (direction INPUT)) @@ -86833,63 +87066,42 @@ (port stat_reg_471 (direction INPUT)) (port stat_reg_567 (direction INPUT)) (port stat_reg_535 (direction INPUT)) - (port stat_reg_57 (direction INPUT)) - (port stat_reg_617 (direction INPUT)) - (port stat_reg_585 (direction INPUT)) - (port stat_reg_553 (direction INPUT)) - (port stat_reg_521 (direction INPUT)) - (port stat_reg_355 (direction INPUT)) - (port stat_reg_323 (direction INPUT)) - (port stat_reg_291 (direction INPUT)) - (port stat_reg_259 (direction INPUT)) - (port stat_reg_368 (direction INPUT)) - (port stat_reg_336 (direction INPUT)) - (port stat_reg_240 (direction INPUT)) - (port stat_reg_208 (direction INPUT)) - (port stat_reg_176 (direction INPUT)) - (port stat_reg_144 (direction INPUT)) - (port stat_reg_361 (direction INPUT)) - (port stat_reg_329 (direction INPUT)) - (port stat_reg_297 (direction INPUT)) - (port stat_reg_265 (direction INPUT)) - (port stat_reg_233 (direction INPUT)) - (port stat_reg_201 (direction INPUT)) - (port stat_reg_169 (direction INPUT)) - (port stat_reg_137 (direction INPUT)) - (port stat_reg_549 (direction INPUT)) - (port stat_reg_517 (direction INPUT)) - (port stat_reg_293 (direction INPUT)) - (port stat_reg_261 (direction INPUT)) - (port stat_reg_43 (direction INPUT)) - (port stat_reg_45 (direction INPUT)) + (port stat_reg_619 (direction INPUT)) + (port stat_reg_587 (direction INPUT)) (port stat_reg_239 (direction INPUT)) (port stat_reg_207 (direction INPUT)) + (port stat_reg_621 (direction INPUT)) + (port stat_reg_589 (direction INPUT)) (port stat_reg_610 (direction INPUT)) (port stat_reg_578 (direction INPUT)) + (port stat_reg_237 (direction INPUT)) + (port stat_reg_205 (direction INPUT)) (port stat_reg_609 (direction INPUT)) (port stat_reg_577 (direction INPUT)) (port stat_reg_613 (direction INPUT)) (port stat_reg_581 (direction INPUT)) + (port stat_reg_36 (direction INPUT)) + (port stat_reg_4 (direction INPUT)) (port stat_reg_623 (direction INPUT)) (port stat_reg_591 (direction INPUT)) (port stat_reg_618 (direction INPUT)) (port stat_reg_586 (direction INPUT)) (port stat_reg_611 (direction INPUT)) (port stat_reg_579 (direction INPUT)) - (port stat_reg_548 (direction INPUT)) - (port stat_reg_516 (direction INPUT)) + (port stat_reg_235 (direction INPUT)) + (port stat_reg_203 (direction INPUT)) (port stat_reg_608 (direction INPUT)) (port stat_reg_576 (direction INPUT)) - (port stat_reg_615 (direction INPUT)) - (port stat_reg_583 (direction INPUT)) (port stat_reg_238 (direction INPUT)) (port stat_reg_206 (direction INPUT)) - (port stat_reg_622 (direction INPUT)) - (port stat_reg_590 (direction INPUT)) + (port stat_reg_617 (direction INPUT)) + (port stat_reg_585 (direction INPUT)) (port stat_reg_236 (direction INPUT)) (port stat_reg_204 (direction INPUT)) (port stat_reg_614 (direction INPUT)) (port stat_reg_582 (direction INPUT)) + (port stat_reg_616 (direction INPUT)) + (port stat_reg_584 (direction INPUT)) (port stat_reg_620 (direction INPUT)) (port stat_reg_588 (direction INPUT)) (port stat_reg_626 (direction INPUT)) @@ -86900,8 +87112,8 @@ (port stat_reg_597 (direction INPUT)) (port stat_reg_627 (direction INPUT)) (port stat_reg_595 (direction INPUT)) - (port stat_reg_564 (direction INPUT)) - (port stat_reg_532 (direction INPUT)) + (port stat_reg_624 (direction INPUT)) + (port stat_reg_592 (direction INPUT)) (port stat_reg_631 (direction INPUT)) (port stat_reg_599 (direction INPUT)) (port stat_reg_630 (direction INPUT)) @@ -86914,29 +87126,70 @@ (port stat_reg_423 (direction INPUT)) (port stat_reg_455 (direction INPUT)) (port stat_reg_487 (direction INPUT)) - (port stat_reg_400 (direction INPUT)) - (port stat_reg_432 (direction INPUT)) - (port stat_reg_464 (direction INPUT)) - (port stat_reg_496 (direction INPUT)) - (port stat_reg_394 (direction INPUT)) - (port stat_reg_426 (direction INPUT)) - (port stat_reg_458 (direction INPUT)) - (port stat_reg_490 (direction INPUT)) - (port stat_reg_392 (direction INPUT)) - (port stat_reg_424 (direction INPUT)) - (port stat_reg_456 (direction INPUT)) - (port stat_reg_488 (direction INPUT)) + (port stat_reg_388 (direction INPUT)) + (port stat_reg_420 (direction INPUT)) + (port stat_reg_452 (direction INPUT)) + (port stat_reg_484 (direction INPUT)) + (port stat_reg_398 (direction INPUT)) + (port stat_reg_430 (direction INPUT)) + (port stat_reg_462 (direction INPUT)) + (port stat_reg_494 (direction INPUT)) + (port stat_reg_404 (direction INPUT)) + (port stat_reg_436 (direction INPUT)) + (port stat_reg_468 (direction INPUT)) + (port stat_reg_500 (direction INPUT)) + (port stat_reg_57 (direction INPUT)) + (port stat_reg_58 (direction INPUT)) + (port stat_reg_56 (direction INPUT)) + (port stat_reg_43 (direction INPUT)) (port stat_reg_62 (direction INPUT)) - (port stat_reg_60 (direction INPUT)) + (port stat_reg_61 (direction INPUT)) + (port stat_reg_63 (direction INPUT)) + (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction OUTPUT)) (port buf_APL_PACKET_NUM_IN_0 (direction OUTPUT)) (port buf_APL_PACKET_NUM_IN_2 (direction OUTPUT)) (port (array (rename adr_packet_num_out "ADR_PACKET_NUM_OUT(2:2)") 1) (direction OUTPUT)) - (port (array (rename un1_the_endpoint "un1_THE_ENDPOINT(6:6)") 1) (direction OUTPUT)) - (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction OUTPUT)) - (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(63:48)") 16) (direction OUTPUT)) - (port (array (rename buf_api_stat_fifo_to_apl "buf_api_stat_fifo_to_apl(106:106)") 1) (direction INPUT)) + (port (array (rename buf_api_data_out_rnigu9q "buf_API_DATA_OUT_RNIGU9Q(9:9)") 1) (direction OUTPUT)) + (port buf_APL_DATA_IN_8 (direction OUTPUT)) + (port buf_APL_DATA_IN_2 (direction OUTPUT)) + (port buf_APL_DATA_IN_14 (direction OUTPUT)) + (port buf_APL_DATA_IN_0 (direction OUTPUT)) + (port buf_APL_DATA_IN_1 (direction OUTPUT)) + (port buf_APL_DATA_IN_10 (direction OUTPUT)) + (port buf_APL_DATA_IN_11 (direction OUTPUT)) + (port buf_APL_DATA_IN_13 (direction OUTPUT)) + (port buf_APL_DATA_IN_15 (direction OUTPUT)) + (port buf_APL_DATA_IN_3 (direction OUTPUT)) + (port buf_APL_DATA_IN_5 (direction OUTPUT)) + (port buf_APL_DATA_IN_6 (direction OUTPUT)) + (port buf_APL_DATA_IN_12 (direction OUTPUT)) + (port buf_APL_DATA_IN_7 (direction OUTPUT)) + (port buf_APL_DATA_IN_4 (direction OUTPUT)) + (port (array (rename temperature "temperature(11:0)") 12) (direction INPUT)) + (port common_stat_reg_i_52 (direction INPUT)) + (port common_stat_reg_i_56 (direction INPUT)) + (port common_stat_reg_i_60 (direction INPUT)) + (port common_stat_reg_i_47 (direction INPUT)) + (port common_stat_reg_i_50 (direction INPUT)) + (port common_stat_reg_i_49 (direction INPUT)) + (port common_stat_reg_i_48 (direction INPUT)) + (port common_stat_reg_i_2 (direction INPUT)) + (port common_stat_reg_i_0 (direction INPUT)) + (port common_stat_reg_i_51 (direction INPUT)) + (port common_stat_reg_i_62 (direction INPUT)) + (port common_stat_reg_i_61 (direction INPUT)) + (port common_stat_reg_i_54 (direction INPUT)) + (port common_stat_reg_i_53 (direction INPUT)) + (port common_stat_reg_i_55 (direction INPUT)) + (port common_stat_reg_i_59 (direction INPUT)) + (port common_stat_reg_i_58 (direction INPUT)) + (port common_stat_reg_i_57 (direction INPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction INPUT)) + (port (array (rename buf_api_stat_fifo_to_apl "buf_api_stat_fifo_to_apl(106:106)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(110:110)") 1) (direction INPUT)) + (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(11:9)") 3) (direction INPUT)) + (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(63:48)") 16) (direction INPUT)) + (port (array (rename reg_enable_pattern "reg_enable_pattern(1:1)") 1) (direction OUTPUT)) (port regio_addr_i_15 (direction OUTPUT)) (port regio_addr_i_14 (direction OUTPUT)) (port regio_addr_i_13 (direction OUTPUT)) @@ -86945,40 +87198,33 @@ (port regio_addr_i_10 (direction OUTPUT)) (port regio_addr_i_9 (direction OUTPUT)) (port regio_addr_i_8 (direction OUTPUT)) - (port regio_addr_i_0 (direction OUTPUT)) - (port regio_addr_i_2_d0 (direction OUTPUT)) - (port regio_addr_i_3 (direction OUTPUT)) + (port regio_addr_i_2 (direction OUTPUT)) + (port regio_addr_i_5_d0 (direction OUTPUT)) + (port regio_addr_i_3_d0 (direction OUTPUT)) (port regio_addr_i_4 (direction OUTPUT)) - (port regio_addr_i_5 (direction OUTPUT)) (port regio_addr_i_6 (direction OUTPUT)) (port regio_addr_i_7 (direction OUTPUT)) - (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:0)") 2) (direction OUTPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port buf_IDRAM_WR_IN_0_i (direction INPUT)) (port trg_timing_valid_i (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port un1_timing_trg_found_1_axb_8 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_3 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_6 (direction INPUT)) (port un1_tmg_edge_found_i_axb_10 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_9 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_3 (direction INPUT)) (port un1_tmg_edge_found_i_axb_11 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_13 (direction INPUT)) (port un1_tmg_edge_found_i_axb_12 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_9 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_14 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_13 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_7 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_6 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) (port un1_tmg_edge_found_i_axb_0 (direction INPUT)) (port un1_tmg_edge_found_i_axb_1 (direction INPUT)) (port un1_tmg_edge_found_i_axb_2 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_4 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_15 (direction INPUT)) (port un1_tmg_edge_found_i_axb_5 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_15 (direction INPUT)) + (port un14_dat_addr_in_4 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port lvl1_tmg_trg_missing_flag (direction INPUT)) - (port un20_dat_addr_in_1 (direction OUTPUT)) - (port N_1700 (direction INPUT)) + (port un16_dat_addr_in_4 (direction INPUT)) (port un1_invalid_trg_axb_8 (direction INPUT)) (port un1_prev_trg_reg_1_axb_4 (direction INPUT)) (port un1_invalid_trg_axb_4 (direction INPUT)) @@ -86986,68 +87232,70 @@ (port un1_invalid_trg_axb_2 (direction INPUT)) (port un1_prev_trg_reg_1_axb_15 (direction INPUT)) (port un1_invalid_trg_axb_15 (direction INPUT)) - (port un1_prev_trg_reg_1_axb_0 (direction INPUT)) - (port un1_invalid_trg_axb_0 (direction INPUT)) (port un1_prev_trg_reg_1_axb_3 (direction INPUT)) (port un1_invalid_trg_axb_3 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_12 (direction INPUT)) + (port un1_invalid_trg_axb_12 (direction INPUT)) (port un1_prev_trg_reg_1_axb_14 (direction INPUT)) (port un1_invalid_trg_axb_14 (direction INPUT)) (port un1_prev_trg_reg_1_axb_7 (direction INPUT)) (port un1_invalid_trg_axb_7 (direction INPUT)) (port un1_prev_trg_reg_1_axb_1 (direction INPUT)) (port un1_invalid_trg_axb_1 (direction INPUT)) - (port un1_prev_trg_reg_1_axb_6 (direction INPUT)) - (port un1_invalid_trg_axb_6 (direction INPUT)) (port un1_prev_trg_reg_1_axb_13 (direction INPUT)) (port un1_invalid_trg_axb_13 (direction INPUT)) - (port un1_prev_trg_reg_1_axb_11 (direction INPUT)) - (port un1_invalid_trg_axb_11 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_8 (direction INPUT)) + (port N_228_1 (direction OUTPUT)) (port un1_timing_trg_found_1_axb_4 (direction INPUT)) (port un1_spurious_trg_1_axb_4 (direction INPUT)) (port un1_timing_trg_found_1_axb_2 (direction INPUT)) (port un1_spurious_trg_1_axb_2 (direction INPUT)) + (port un1_timing_trg_found_1_axb_15 (direction INPUT)) + (port un1_spurious_trg_1_axb_15 (direction INPUT)) (port un1_timing_trg_found_1_axb_0 (direction INPUT)) (port un1_spurious_trg_1_axb_0 (direction INPUT)) (port un1_timing_trg_found_1_axb_3 (direction INPUT)) (port un1_spurious_trg_1_axb_3 (direction INPUT)) (port un1_timing_trg_found_1_axb_14 (direction INPUT)) (port un1_spurious_trg_1_axb_14 (direction INPUT)) + (port un1_timing_trg_found_1_axb_7 (direction INPUT)) + (port un1_spurious_trg_1_axb_7 (direction INPUT)) (port un1_timing_trg_found_1_axb_1 (direction INPUT)) (port un1_spurious_trg_1_axb_1 (direction INPUT)) - (port un1_timing_trg_found_1_axb_5 (direction INPUT)) - (port un1_spurious_trg_1_axb_5 (direction INPUT)) - (port un1_timing_trg_found_1_axb_13 (direction INPUT)) - (port un1_spurious_trg_1_axb_13 (direction INPUT)) - (port un1_spurious_trg_1_axb_8 (direction INPUT)) (port un1_timing_trg_found_1_axb_6 (direction INPUT)) (port un1_spurious_trg_1_axb_6 (direction INPUT)) - (port un1_prev_trg_reg_1_axb_12 (direction INPUT)) - (port un1_invalid_trg_axb_12 (direction INPUT)) + (port un1_timing_trg_found_1_axb_13 (direction INPUT)) + (port un1_spurious_trg_1_axb_13 (direction INPUT)) + (port regio_write_ack_i (direction INPUT)) (port un1_timing_trg_found_1_axb_9 (direction INPUT)) (port un1_spurious_trg_1_axb_9 (direction INPUT)) - (port un1_timing_trg_found_1_axb_12 (direction INPUT)) - (port un1_spurious_trg_1_axb_12 (direction INPUT)) + (port un1_spurious_trg_1_axb_8 (direction INPUT)) + (port un1_timing_trg_found_1_axb_5 (direction INPUT)) + (port un1_spurious_trg_1_axb_5 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_11 (direction INPUT)) + (port un1_invalid_trg_axb_11 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_6 (direction INPUT)) + (port un1_invalid_trg_axb_6 (direction INPUT)) (port un1_prev_trg_reg_1_axb_10 (direction INPUT)) (port un1_invalid_trg_axb_10 (direction INPUT)) (port un1_timing_trg_found_1_axb_10 (direction INPUT)) (port un1_spurious_trg_1_axb_10 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_8 (direction INPUT)) + (port un1_timing_trg_found_1_axb_8 (direction INPUT)) (port link_error_i (direction INPUT)) - (port un1_prev_trg_reg_1_axb_8 (direction INPUT)) - (port un1_timing_trg_found_1_axb_15 (direction INPUT)) - (port un1_spurious_trg_1_axb_15 (direction INPUT)) + (port un1_timing_trg_found_1_axb_12 (direction INPUT)) + (port un1_spurious_trg_1_axb_12 (direction INPUT)) (port un1_timing_trg_found_1_axb_11 (direction INPUT)) (port un1_spurious_trg_1_axb_11 (direction INPUT)) - (port un1_timing_trg_found_1_axb_7 (direction INPUT)) - (port un1_spurious_trg_1_axb_7 (direction INPUT)) + (port un1_prev_trg_reg_1_axb_0 (direction INPUT)) + (port un1_invalid_trg_axb_0 (direction INPUT)) (port un1_prev_trg_reg_1_axb_9 (direction INPUT)) (port un1_invalid_trg_axb_9 (direction INPUT)) - (port reset_i_fast_r7 (direction INPUT)) - (port N_2135_2 (direction OUTPUT)) - (port un12_dat_addr_in_2 (direction OUTPUT)) - (port N_1956 (direction OUTPUT)) - (port regio_addr_i_0_rep1_1 (direction OUTPUT)) + (port reset_i_fast_r9 (direction INPUT)) + (port N_5861 (direction OUTPUT)) + (port regio_unknown_addr_i (direction INPUT)) + (port regio_nomoredata_i (direction INPUT)) (port buf_API_SHORT_TRANSFER_OUT_Q (direction OUTPUT)) + (port regio_dataready_i (direction INPUT)) (port regio_read_enable_i (direction OUTPUT)) (port regio_write_enable_i (direction OUTPUT)) (port reset_i_fast_1_i (direction INPUT)) @@ -87056,182 +87304,249 @@ (port clk_100_i_c (direction INPUT)) (port un1_invalid_trg_axb_5 (direction INPUT)) (port un1_prev_trg_reg_1_axb_5 (direction INPUT)) - (port un1_tmg_edge_found_i_axb_7 (direction INPUT)) - (port regio_write_ack_i (direction INPUT)) - (port N_2069_0_1 (direction OUTPUT)) + (port un1_tmg_edge_found_i_axb_14 (direction INPUT)) + (port un1_tmg_edge_found_i_axb_4 (direction INPUT)) + (port N_1958 (direction OUTPUT)) + (port un1_tmg_edge_found_i_axb_8 (direction INPUT)) (port buf_API_DATAREADY_OUT (direction OUTPUT)) - (port N_180 (direction OUTPUT)) + (port N_5867 (direction OUTPUT)) + (port N_93 (direction OUTPUT)) (port GND (direction INPUT)) - (port regio_dataready_i (direction INPUT)) - (port regio_nomoredata_i (direction INPUT)) - (port regio_unknown_addr_i (direction INPUT)) + (port N_87 (direction OUTPUT)) ) (contents (instance local_time_i_RNO_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance COMMON_REGISTERS_OUT_write_enable_RNIRAG8_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance timeout_counter_RNO_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance DAT_DATAREADY_IN_before_RNIHUV01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance REGISTERS_OUT_write_enable_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance REGISTERS_OUT_write_enable_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) (instance next_API_DATA_OUT_20_am_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A+C !B)+D !A)")) ) - (instance next_API_DATA_OUT_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A)+D A)")) + (instance next_API_DATA_OUT_20_am_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C !B)+D !A)")) ) - (instance next_API_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C A)+D A)")) ) - (instance fsm_un5_api_typ_in_RNI0R181 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_ns_i_0_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance current_state_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C+(B A)))")) + ) + (instance fsm_un230_next_api_data_out_m11_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance current_state_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance REGISTERS_OUT_write_enable_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance COMMON_REGISTERS_OUT_write_enable_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance addr_counter_enable_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (C A))")) + (instance current_state_RNISA4M1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance address_RNIS84Q_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance current_state_ns_0_i_s_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance current_state_ns_0_a2_0_o2_RNIEG9I_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance current_state_ns_0_a2_0_o2_RNIK67T_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance rom_read_addr_1_sqmuxa_RNIAA2U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !A)+D !A)")) + ) + (instance buf_API_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) ) (instance buf_DAT_WRITE_ENABLE_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B+A))")) ) - (instance next_API_DATA_OUT_17_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_20_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_20_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_17_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_am_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_17_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_17_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance next_API_DATA_OUT_17_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_20_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D A)")) + ) + (instance next_API_DATA_OUT_17_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) (instance next_API_DATA_OUT_17_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D A)")) ) - (instance buf_API_READ_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (!B !A))")) + (instance packet_counter_RNIHNC81_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+A))+D (C+(!B+A)))")) ) - (instance packet_counter_RNIHNC81_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (B !A)))")) + (instance current_state_ns_0_i_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) + ) + (instance next_global_time_write_3_sqmuxa_0_RNIBN9D (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance address_RNI0T3Q_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_global_time_write_3_sqmuxa_0_RNIC9AD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)))")) ) - (instance next_API_DATA_OUT_12_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D B)")) + (instance fsm_un22_api_dataready_in_RNILM2I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) ) - (instance current_state_RNI20CG_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) + (instance current_state_ns_0_i_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance address_RNIGEKU_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_18_i_1_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) + ) + (instance buf_API_PACKET_NUM_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))")) + ) + (instance current_state_ns_i_i_a2_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) + ) + (instance fsm_un169_next_api_data_out_m264_6_03_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) + ) + (instance fsm_un29_next_api_data_out_m10_6_03_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance address_RNIGEKU_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B A))")) ) - (instance current_state_ns_0_o4_1_RNI8P211_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance next_address_1_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNI8U9Q_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D B)")) + (instance N_88_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance buf_API_DATA_OUT_RNI6U9Q_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D B)")) + (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance buf_API_DATA_OUT_RNI2U9Q_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI6U9Q_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIEU9Q_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNICU9Q_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_READ_OUT_RNIVRB4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNIGU9Q_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_SEND_OUT_RNI0FRI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A)+D A)")) - ) - (instance buf_API_PACKET_NUM_OUT_RNI7RAK_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI45LF_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIUT9Q_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNIAU9Q_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI0U9Q_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI8U9Q_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) (instance buf_API_DATA_OUT_RNI4U9Q_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIAU9Q_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNIATLF_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNICU9Q_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI6DLF_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIGU9Q_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI2TKF_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) (instance buf_API_DATA_OUT_RNI0LKF_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI45LF_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI0U9Q_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI6DLF_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNIUT9Q_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI8LLF_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_PACKET_NUM_OUT_RNI7RAK_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNIATLF_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_READ_OUT_RNIVRB4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance buf_API_DATA_OUT_RNI2TKF_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNI8LLF_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance current_state_ns_i_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C A)+D A)")) + (instance buf_API_DATA_OUT_RNI2U9Q_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance current_state_ns_i_a3_0_5_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance buf_API_DATA_OUT_RNIEU9Q_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance current_state_ns_i_a3_0_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance buf_API_PACKET_NUM_OUT_RNIRHV91_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) ) - (instance current_state_ns_i_a3_0_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un104_next_api_data_out_m15_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un104_next_api_data_out_m12_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m13_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance fsm_un104_next_api_data_out_m14_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un169_next_api_data_out_m263_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance fsm_un230_next_api_data_out_m11_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance fsm_un104_next_api_data_out_m8_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance fsm_un104_next_api_data_out_m10_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance fsm_un104_next_api_data_out_m9_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance current_state_ns_0_a3_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance fsm_un29_next_api_data_out_m10_6_03_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance address_RNI6G2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B+A)))")) ) - (instance dont_understand_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_rom_read_addr_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(!B+!A)))")) + ) + (instance rom_read_addr_1_sqmuxa_RNIAA2U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C A)")) ) (instance next_API_DATA_OUT_18_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_18_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) + (property lut_function (string "(!D (B A)+D (!C (B+!A)+C (B A)))")) ) (instance next_API_DATA_OUT_18_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C (!B !A)+C (!B+A)))")) + (property lut_function (string "(!D (B A)+D (!C (B A)+C (B+!A)))")) + ) + (instance next_API_DATA_OUT_14_i_m2_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C (B A))+D (B+!A))")) + ) + (instance next_API_DATA_OUT_14_i_m2_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) ) (instance next_API_DATA_OUT_14_i_m2_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_14_i_m2_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -87254,54 +87569,40 @@ (instance next_API_DATA_OUT_14_i_m2_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) ) - (instance next_API_DATA_OUT_14_i_m2_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)+C (B A))+D (B+!A))")) - ) - (instance next_API_DATA_OUT_14_i_m2_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) - ) - (instance next_API_DATA_OUT_14_i_m2_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)+C (B A))+D (B+!A))")) - ) - (instance next_API_DATA_OUT_14_i_m2_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (C A))")) - ) - (instance current_state_ns_i_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_state_ns_i_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C !A)")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance current_state_ns_i_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D (C+(!B+!A)))")) ) (instance next_API_DATA_OUT_13_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_13_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C (B A)))")) ) (instance next_API_DATA_OUT_13_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) + (property lut_function (string "(!D (C B)+D A)")) ) - (instance next_API_DATA_OUT_13_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_13_i_m2_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_13_i_m2_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C (B A)))")) ) - (instance next_API_DATA_OUT_13_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) + (instance next_API_DATA_OUT_13_i_m2_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D A)")) ) - (instance next_API_DATA_OUT_13_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C (B A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) - (instance next_API_DATA_OUT_13_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (C+B))")) ) - (instance next_API_DATA_OUT_13_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C (B A)))")) + (instance next_API_DATA_OUT_18_i_0_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_0_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C !B))")) ) - (instance next_API_DATA_OUT_13_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (B+A)))")) + (instance next_API_DATA_OUT_18_i_0_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C !B))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -87310,25 +87611,25 @@ (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m264_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un230_next_api_data_out_m264_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m260_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un104_next_api_data_out_m260_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!B+!A))")) ) - (instance fsm_un230_next_api_data_out_m264_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m260_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (B+!A)+C !A))")) ) - (instance fsm_un230_next_api_data_out_m266_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un230_next_api_data_out_m266_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m270_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un230_next_api_data_out_m270_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!B+!A))")) ) - (instance fsm_un230_next_api_data_out_m266_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A))+D (!C (B+!A)+C !A))")) + (instance fsm_un230_next_api_data_out_m270_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!B+!A))")) ) - (instance fsm_un104_next_api_data_out_m256_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un104_next_api_data_out_m256_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m260_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un230_next_api_data_out_m260_6_03_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!A)+D (!B+!A))")) ) - (instance fsm_un104_next_api_data_out_m256_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m260_6_03_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (B+!A)+C !A))")) ) (instance fsm_un230_next_api_data_out_m263_6_03_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) @@ -87516,37 +87817,37 @@ ) (instance local_time_i_7 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance length_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_12 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_13 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_14 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance length_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance length_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance global_time_write (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -88252,27 +88553,21 @@ ) (instance buf_API_DATAREADY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance address_fast_1_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) - (instance address_fast_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) - (instance address_0_rep2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_3_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance address_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_2_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_5_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_1_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_4_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_0_rep1_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_2_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_1_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance address_1_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance address_0_rep2_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) - (instance address_0_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance address_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance address_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -88330,70 +88625,70 @@ (instance un225_next_api_data_out_1_p4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance current_state_ns_0_o4_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_next_STAT_REG_STROBE_2_m_1_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance un10_current_state_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_state_ns_0_o4_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_PACKET_NUM_OUT_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance fsm_un38_api_dataready_in_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance address_RNI5G1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m0_6_03_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance current_state_ns_i_o4_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) (instance fsm_un104_next_api_data_out_m0_6_03_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance fsm_un104_next_api_data_out_m0_6_03_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance current_state_ns_i_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance current_state_ns_0_a2_0_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance fsm_un2_timeout_counter_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance buf_API_PACKET_NUM_OUT_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance current_state_ns_i_0_o2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance un10_current_state_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_18_i_a2_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance next_API_DATA_OUT_18_i_a2_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance next_STAT_REG_STROBE_1_sqmuxa_1_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance rom_read_addr_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance fsm_or_all_tmp_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance fsm_op_eq_next_state60_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance fsm_un38_api_dataready_in_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) ) - (instance fsm_op_eq_next_state60_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance address_RNI5G1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance fsm_op_eq_next_state60_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_ns_0_o4_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance fsm_un104_next_api_data_out_m0_6_03_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m72_4_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance fsm_un104_next_api_data_out_m0_6_03_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance fsm_un104_next_api_data_out_m0_8_03_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance fsm_un104_next_api_data_out_m0_8_03_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance fsm_un104_next_api_data_out_m0_8_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance fsm_un104_next_api_data_out_m74_4_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance current_state_RNISS3Q_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_RNI2T4Q_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) (instance un2_next_timeout_counter_axbxc1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -88402,79 +88697,70 @@ (instance un2_next_timeout_counter_axbxc4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance un225_next_api_data_out_1_ac0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_fsm_unknown_3_iv_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance next_state_2_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance next_COMMON_CTRL_REG_STROBEc_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance current_state_ns_0_a2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_next_address_0_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance proc_us_tick_un1_local_time_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance current_state_ns_0_a3_0_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance current_state_ns_0_a2_0_o2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance fsm_un59_next_api_data_out_m296 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance next_API_DATA_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un59_next_api_data_out_m324 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance next_API_DATA_OUT_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_API_DATA_OUT_6_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance fsm_un59_next_api_data_out_m407 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance next_API_DATA_OUT_4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un59_next_api_data_out_m407 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) - ) - (instance next_API_DATA_OUT_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_i_m2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_i_m2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -88483,164 +88769,122 @@ (instance next_API_DATA_OUT_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_6_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_4_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un59_next_api_data_out_m238 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_m324 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un59_next_api_data_out_m128 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_m238 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_m128 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un193_next_api_data_out_m324 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_m296 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) - ) (instance fsm_un193_next_api_data_out_m238 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_m128 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance global_time_ie_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance fsm_un254_next_api_data_out_m78_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance reg_fsm_nomoredata_3_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) - ) - (instance current_state_ns_0_o4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) - (instance current_state_ns_0_o4_1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (B+!A))")) - ) - (instance next_address_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance fsm_un59_next_api_data_out_m12_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_m68_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un59_next_api_data_out_m15_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_m71_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un230_next_api_data_out_m9_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance current_state_ns_i_o2_i_o2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) - (instance fsm_un104_next_api_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance next_address_1_sqmuxa_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+!A))")) + ) + (instance ADR_READ_IN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C !A)")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) - (instance fsm_un193_next_api_data_out_m15_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C !B)")) - ) - (instance fsm_un29_next_api_data_out_m199_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C !A)")) - ) - (instance fsm_un29_next_api_data_out_m11_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C !B)")) - ) - (instance fsm_un29_next_api_data_out_m203_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C !A)")) - ) (instance fsm_un59_next_api_data_out_m5_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un254_next_api_data_out_m73_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance fsm_un254_next_api_data_out_m9_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance fsm_un104_next_api_data_out_m9_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un5_api_typ_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance packet_counter_RNIBUIU_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B+A))")) ) - (instance fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C !B)")) ) (instance address_RNITT_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance packet_counter_RNIBUIU_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B+A))")) + (instance fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance current_state_RNI9UQC_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance reg_fsm_timeout_3_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) - ) - (instance ADR_READ_IN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) - ) (instance fsm_un104_next_api_data_out_m582_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un104_next_api_data_out_m583_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un104_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un104_next_api_data_out_m516_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m576_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un104_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) (instance fsm_un104_next_api_data_out_m579_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un104_next_api_data_out_m6_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m3_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m4_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m5_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m581_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un104_next_api_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) (instance fsm_un104_next_api_data_out_m577_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un104_next_api_data_out_m1_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m2_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un104_next_api_data_out_m10_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un104_next_api_data_out_m8_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m578_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) @@ -88663,65 +88907,74 @@ (instance fsm_un59_next_api_data_out_m0_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un59_next_api_data_out_m15_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) + (instance fsm_un59_next_api_data_out_m12_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) (instance fsm_un59_next_api_data_out_m3_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un59_next_api_data_out_m4_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un29_next_api_data_out_m203_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C !A)")) + ) + (instance fsm_un29_next_api_data_out_m11_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C !B)")) + ) (instance fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance fsm_un29_next_api_data_out_m10_6_03_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) - (instance fsm_un29_next_api_data_out_m68_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m71_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) - (instance fsm_un29_next_api_data_out_m207_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m204_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !B+C !A)")) ) - (instance fsm_un29_next_api_data_out_m15_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m68_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) - (instance fsm_un254_next_api_data_out_m8_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance fsm_un254_next_api_data_out_m72_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance fsm_un29_next_api_data_out_m12_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C !B)")) ) - (instance fsm_un254_next_api_data_out_m77_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_m11_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_m13_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_m75_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un230_next_api_data_out_m588_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un230_next_api_data_out_m584_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) (instance fsm_un230_next_api_data_out_m582_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m204_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un230_next_api_data_out_m590_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m9_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance fsm_un230_next_api_data_out_m585_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m206_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un230_next_api_data_out_m583_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m576_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un230_next_api_data_out_m576_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m203_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance fsm_un230_next_api_data_out_m516_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) + (property lut_function (string "(D (!C (B A)))")) ) (instance fsm_un230_next_api_data_out_m579_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) @@ -88733,7 +88986,10 @@ (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m6_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance fsm_un230_next_api_data_out_m4_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un230_next_api_data_out_m5_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) @@ -88744,28 +89000,31 @@ (instance fsm_un230_next_api_data_out_m577_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) + (instance fsm_un230_next_api_data_out_m205_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) (instance fsm_un230_next_api_data_out_m10_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(D (!C (B A)))")) ) - (instance fsm_un230_next_api_data_out_m578_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) + (instance fsm_un230_next_api_data_out_m8_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance fsm_un230_next_api_data_out_m207_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m578_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m589_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m207_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m587_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -88774,29 +89033,32 @@ (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un193_next_api_data_out_m4_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) - (instance fsm_un169_next_api_data_out_m8_6_03_i_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) - ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C !B)")) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C !B)")) + ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+!A)+C (!B !A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C !B)")) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance un2_next_timeout_counter_axbxc2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) @@ -88804,56 +89066,59 @@ (instance un2_next_timeout_counter_axbxc5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (!B A+B !A))")) ) - (instance fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) (instance fsm_un22_api_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un104_next_api_data_out_m11_8_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance current_state_ns_0_i_a2_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B+!A))")) ) - (instance next_dont_understand_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance current_state_ns_0_i_o2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) - (instance current_state_ns_i_a3_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) + (instance fsm_un24_api_dataready_in_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+A))")) ) - (instance fsm_un29_next_api_data_out_m9_6_03_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance fsm_un24_api_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) + (instance fsm_un29_next_api_data_out_m9_6_03_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance un1_current_state_6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_dont_understand_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m13_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance fsm_un230_next_api_data_out_m11_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) (instance fsm_un169_next_api_data_out_m8_6_03_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance current_state_ns_0_a2_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance proc_us_tick_un1_local_time_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_m264_6_03_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance current_state_ns_0_a2_0_o2_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance un1_current_state_5_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance TIMER_MS_TICK_0_sqmuxa_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -88864,134 +89129,101 @@ (instance TIMER_MS_TICK_0_sqmuxa_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) - (instance un1_current_state_4_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance fsm_op_eq_next_state60_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un2_timeout_counter_i_o2_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance fsm_op_eq_next_state60_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un2_timeout_counter_i_o2_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance fsm_or_all_tmp_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un2_timeout_counter_i_o2_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance fsm_op_gt_op_gt_un6_api_read_inlto15_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance fsm_un2_timeout_counter_i_o2_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance fsm_op_gt_op_gt_un6_api_read_inlto15_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_or_all_tmp_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rom_read_addr_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) + (instance next_COMMON_CTRL_REG_STROBEc_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) + ) (instance next_COMMON_CTRL_REG_STROBEc_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (property lut_function (string "(!C (!B A))")) + ) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C (B !A)+C (!B+!A)))")) ) - (instance API_PACKET_NUM_OUT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A)+D (C+(B+A)))")) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C (B !A)+C (!B+!A)))")) ) (instance fsm_un29_next_api_data_out_m10_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_m10_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) + (instance timeout_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) ) - (instance address_0_rep1_RNIKOAD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance nomoredata_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C !B)")) ) - (instance next_operation_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance current_state_ns_0_o4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance current_state_ns_i_o2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance current_state_ns_0_a3_0_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un29_next_api_data_out_m12_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) - (instance fsm_un29_next_api_data_out_m12_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C !A))")) - ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (instance fsm_un29_next_api_data_out_m8_6_03_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (C A))")) ) (instance fsm_un29_next_api_data_out_m9_6_03_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) - (instance fsm_un29_next_api_data_out_m7_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C !A))")) - ) - (instance address_RNIGEKU_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance fsm_un230_next_api_data_out_m261_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) - ) - (instance fsm_un230_next_api_data_out_m517_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) - ) - (instance fsm_un230_next_api_data_out_m9_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m9_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m265_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) - ) - (instance fsm_un230_next_api_data_out_m265_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un104_next_api_data_out_m0_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un104_next_api_data_out_m0_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un104_next_api_data_out_m256_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m259_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) - ) - (instance fsm_un230_next_api_data_out_m259_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m521_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance fsm_un230_next_api_data_out_m521_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + (instance current_state_ns_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (C B))")) + (instance current_state_ns_i_i_o2_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D A)")) ) - (instance fsm_un29_next_api_data_out_m6_6_03_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C A))")) + (instance un1_next_nomoredata_1_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance fsm_un29_next_api_data_out_m6_6_03_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C !A))")) + (instance packet_counter_RNIHNC81_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C+(!B+A)))")) ) - (instance next_address_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un104_next_api_data_out_m9_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance packet_counter_RNIHNC81_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C+(!B+A)))")) + (instance fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance address_RNIGEKU_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) (instance fsm_un104_next_api_data_out_m519_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) @@ -89059,11 +89291,8 @@ (instance fsm_un104_next_api_data_out_m516_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un104_next_api_data_out_m260_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un104_next_api_data_out_m260_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + (instance fsm_un104_next_api_data_out_m516_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) (instance fsm_un104_next_api_data_out_m260_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) @@ -89098,15 +89327,27 @@ (instance fsm_un104_next_api_data_out_m5_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) + (instance fsm_un104_next_api_data_out_m256_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un104_next_api_data_out_m256_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) - (instance fsm_un104_next_api_data_out_m512_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un104_next_api_data_out_m512_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) + (instance fsm_un104_next_api_data_out_m256_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un104_next_api_data_out_m256_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un104_next_api_data_out_m0_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un104_next_api_data_out_m0_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un104_next_api_data_out_m513_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) @@ -89149,17 +89390,11 @@ (instance fsm_un104_next_api_data_out_m2_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un104_next_api_data_out_m10_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) - ) - (instance fsm_un104_next_api_data_out_m8_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) - ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un29_next_api_data_out_m8_6_03_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (C A))")) + (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un29_next_api_data_out_m9_6_03_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) @@ -89167,18 +89402,18 @@ (instance fsm_un29_next_api_data_out_m13_6_03_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) + (instance fsm_un29_next_api_data_out_m6_6_03_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (C !A))")) + ) + (instance fsm_un29_next_api_data_out_m6_6_03_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (C A))")) + ) (instance fsm_un29_next_api_data_out_m6_6_03_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) (instance fsm_un29_next_api_data_out_m8_6_03_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C A))")) - ) (instance fsm_un29_next_api_data_out_m5_6_03_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) @@ -89188,8 +89423,11 @@ (instance fsm_un29_next_api_data_out_m1_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) - (instance fsm_un29_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) + (instance fsm_un29_next_api_data_out_m7_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (C A))")) + ) + (instance fsm_un29_next_api_data_out_m7_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (C !A))")) ) (instance fsm_un29_next_api_data_out_m14_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) @@ -89209,6 +89447,12 @@ (instance fsm_un29_next_api_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) + (instance fsm_un29_next_api_data_out_m15_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (C !A))")) + ) + (instance fsm_un29_next_api_data_out_m15_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (C A))")) + ) (instance fsm_un29_next_api_data_out_m2_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C A))")) ) @@ -89221,12 +89465,30 @@ (instance fsm_un29_next_api_data_out_m4_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (C !A))")) ) + (instance fsm_un230_next_api_data_out_m521_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + ) + (instance fsm_un230_next_api_data_out_m265_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m265_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + ) + (instance fsm_un230_next_api_data_out_m9_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m9_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un230_next_api_data_out_m265_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) (instance fsm_un230_next_api_data_out_m265_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) + (instance fsm_un230_next_api_data_out_m519_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un230_next_api_data_out_m519_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) @@ -89272,6 +89534,12 @@ (instance fsm_un230_next_api_data_out_m259_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) + (instance fsm_un230_next_api_data_out_m259_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m259_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + ) (instance fsm_un230_next_api_data_out_m3_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89284,11 +89552,8 @@ (instance fsm_un230_next_api_data_out_m516_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m260_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m260_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + (instance fsm_un230_next_api_data_out_m516_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) (instance fsm_un230_next_api_data_out_m260_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) @@ -89302,7 +89567,7 @@ (instance fsm_un230_next_api_data_out_m4_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m4_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m517_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) (instance fsm_un230_next_api_data_out_m261_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89314,6 +89579,9 @@ (instance fsm_un230_next_api_data_out_m261_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) + (instance fsm_un230_next_api_data_out_m261_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) + ) (instance fsm_un230_next_api_data_out_m5_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89395,9 +89663,6 @@ (instance fsm_un230_next_api_data_out_m269_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m525_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m525_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) @@ -89410,10 +89675,10 @@ (instance fsm_un230_next_api_data_out_m269_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) - (instance fsm_un230_next_api_data_out_m13_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m13_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m13_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m526_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) (instance fsm_un230_next_api_data_out_m526_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89443,6 +89708,12 @@ (instance fsm_un230_next_api_data_out_m522_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) + (instance fsm_un230_next_api_data_out_m266_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m266_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un230_next_api_data_out_m266_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89458,9 +89729,6 @@ (instance fsm_un230_next_api_data_out_m267_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m523_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m523_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) @@ -89473,9 +89741,6 @@ (instance fsm_un230_next_api_data_out_m267_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) - (instance fsm_un230_next_api_data_out_m11_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m11_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89497,12 +89762,15 @@ (instance fsm_un230_next_api_data_out_m12_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m520_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m520_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) + (instance fsm_un230_next_api_data_out_m264_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance fsm_un230_next_api_data_out_m264_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) (instance fsm_un230_next_api_data_out_m264_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) @@ -89515,36 +89783,24 @@ (instance fsm_un230_next_api_data_out_m8_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) - (instance fsm_un230_next_api_data_out_m270_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) - (instance fsm_un230_next_api_data_out_m270_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) - ) (instance fsm_un230_next_api_data_out_m270_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) ) (instance fsm_un230_next_api_data_out_m270_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (C B))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B !A))+D (!C (!B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) + (instance fsm_un169_next_api_data_out_m8_6_03_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C !B+C (B A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (C B))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) - ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (C A))")) ) @@ -89554,13 +89810,16 @@ (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C A))")) ) - (instance fsm_un169_next_api_data_out_m7_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_m7_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89572,12 +89831,12 @@ (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (C B))")) - ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C A))")) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C A))")) + ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) @@ -89593,7 +89852,7 @@ (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A))+D (!C (B !A)+C !A))")) ) (instance fsm_un229_next_api_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89603,205 +89862,183 @@ (property lut_function (string "(!D (C (B A))+D (!C+(!B+!A)))")) ) (instance un225_next_api_data_out_1_axbxc3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C (!B !A))+D !C)")) - ) - (instance current_state_ns_0_o4_tz_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)))")) + (property lut_function (string "(!D (C+(B+A))+D (!C (!B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_m10_6_03_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C !B))")) ) - (instance fsm_un29_next_api_data_out_m3_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) + ) + (instance fsm_un29_next_api_data_out_m1_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) ) - (instance fsm_un29_next_api_data_out_m14_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m11_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) + (instance fsm_un29_next_api_data_out_m2_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m13_6_03_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) + (instance fsm_un29_next_api_data_out_m12_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) ) - (instance fsm_un29_next_api_data_out_m2_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) + (instance fsm_un29_next_api_data_out_m14_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (!B !A)+C !A))")) + (instance fsm_un29_next_api_data_out_m15_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+!A))+D (C+(B !A)))")) ) - (instance fsm_un169_next_api_data_out_m7_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C (!B !A)+C !A))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))")) ) - (instance fsm_un29_next_api_data_out_m0_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m3_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) ) - (instance fsm_un29_next_api_data_out_m12_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) - ) - (instance fsm_un29_next_api_data_out_m1_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m0_6_03_0_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A))+D (!C (B+!A)+C B))")) ) - (instance fsm_un29_next_api_data_out_m11_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) - ) - (instance fsm_un29_next_api_data_out_m15_6_03_0_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B+!A)+C B))")) - ) (instance proc_us_tick_un1_local_time_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance next_global_time_write_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance current_state_ns_i_o2_i_o2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(B+A)))")) ) - (instance current_state_ns_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance current_state_ns_0_a2_0_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance next_API_READ_OUT_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance next_API_READ_OUT_i_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) + ) + (instance next_global_time_write_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) (instance fsm_or_all_tmp (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) (instance fsm_un104_next_api_data_out_m519_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance fsm_un104_next_api_data_out_m515_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m516_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (instance fsm_un104_next_api_data_out_m512_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance fsm_un104_next_api_data_out_m513_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance fsm_un104_next_api_data_out_m514_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance fsm_un230_next_api_data_out_m525_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance fsm_un230_next_api_data_out_m523_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance next_state_5_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C+(B !A)))")) - ) - (instance fsm_op_eq_next_state60_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance fsm_op_gt_op_gt_un6_api_read_inlto15_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance fsm_op_gt_op_gt_un6_api_read_inlto15_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance next_COMMON_CTRL_REG_STROBEc_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m1_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m4_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m6_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m5_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m7_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m9_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) (instance fsm_un230_next_api_data_out_m7_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m10_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m6_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m2_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m3_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m5_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m8_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m3_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m0_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m5_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m10_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m0_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m6_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m9_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m7_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m6_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m5_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un104_next_api_data_out_m4_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m2_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + ) + (instance fsm_un104_next_api_data_out_m1_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) + (instance un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) + ) (instance fsm_un169_next_api_data_out_m8_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) - (instance current_state_ns_i_a3_0_5_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance next_unknown_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (property lut_function (string "(D (C (B !A)))")) ) - (instance current_state_ns_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (!C !B+C (!B A)))")) + (instance current_state_ns_0_i_s_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B+!A))")) - ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C B+C !A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C B+C !A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (instance next_API_DATA_OUT_15_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) @@ -89812,26 +90049,23 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance buf_API_PACKET_NUM_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (B+A))+D (B+A))")) - ) + (instance next_API_DATA_OUT_15_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_15_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) @@ -89839,30 +90073,40 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_15_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_15_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_15_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_API_DATA_OUT_15_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_API_DATA_OUT_15_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (!C (B+!A)+C (!B !A)))")) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) @@ -89871,34 +90115,41 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) @@ -89927,13 +90178,13 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -89948,6 +90199,13 @@ (instance fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) @@ -89955,20 +90213,20 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) @@ -90006,145 +90264,154 @@ (instance buf_API_SEND_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(C+(B+!A)))")) ) - (instance next_Reg_low_1_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance current_state_ns_i_o4_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance current_state_ns_i_i_a2_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance current_state_ns_0_o4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance current_state_ns_i_o2_i_o2_RNI1KDC_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance packet_counter_RNIHNC81_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C (!B+A)+C (B !A)))")) ) (instance current_state_RNIQS091_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance packet_counter_RNIHNC81_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C (!B+A)))")) - ) - (instance current_state_ns_i_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A))+D (C (B !A)))")) + (instance current_state_ns_0_i_a2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_m8_6_03_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+!A)))")) + (instance next_address_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) (instance fsm_un229_next_api_data_out_m0_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B !A))")) ) - (instance fsm_un29_next_api_data_out_m13_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C B+C (B+A)))")) - ) - (instance current_state_ns_i_o2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance next_global_time_write_3_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance next_global_time_write_6_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance next_state_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance fsm_un24_api_dataready_in_RNIQQ1Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance fsm_un2_timeout_counter_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance TIMER_MS_TICK_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance fsm_op_eq_next_state60 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_op_gt_op_gt_un6_api_read_inlto15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance fsm_un230_next_api_data_out_m527_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance fsm_un230_next_api_data_out_m519_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un29_next_api_data_out_m7_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + (instance fsm_un230_next_api_data_out_m518_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m516_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m515_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m517_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m15_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m13_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m517_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m3_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) + ) + (instance fsm_un230_next_api_data_out_m524_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un29_next_api_data_out_m4_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + (instance fsm_un230_next_api_data_out_m4_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m520_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m517_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m524_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + ) + (instance fsm_un230_next_api_data_out_m522_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m518_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m513_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m4_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m1_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m3_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) + (instance fsm_un230_next_api_data_out_m15_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m513_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (instance fsm_un230_next_api_data_out_m12_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m526_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (instance fsm_un29_next_api_data_out_m4_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m521_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m520_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + (instance fsm_un29_next_api_data_out_m6_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance fsm_un230_next_api_data_out_m519_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m526_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + ) + (instance fsm_un230_next_api_data_out_m527_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un29_next_api_data_out_m6_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (B+A)))")) + (instance fsm_un104_next_api_data_out_m516_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + (instance fsm_un230_next_api_data_out_m521_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) (instance fsm_un104_next_api_data_out_m518_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m0_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) + (instance fsm_un230_next_api_data_out_m514_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m522_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m512_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m14_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m2_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) + (instance fsm_un29_next_api_data_out_m7_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m512_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m515_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m2_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m517_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m523_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m514_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m525_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m12_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m512_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m1_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m0_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -90153,6 +90420,9 @@ (instance fsm_un29_next_api_data_out_m13_6_03_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (C !A))")) ) + (instance next_timeout_counter_0_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) + ) (instance fsm_un169_next_api_data_out_m8_6_03_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B A))+D C)")) ) @@ -90160,85 +90430,87 @@ (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A))+D (!C (!B !A)+C !A))")) - ) - (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C (B !A)+C B))")) + (property lut_function (string "(!C (B !A)+C !A)")) ) (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C (B !A)+C B))")) + (property lut_function (string "(B !A)")) ) (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C (B !A)+C B))")) + (property lut_function (string "(B !A)")) + ) + (instance fsm_un29_next_api_data_out_m8_6_03_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C B+C A))")) ) - (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (B+!A)))")) + (instance current_state_ns_0_i_s_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B A))+D C)")) ) - (instance next_state_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance current_state_RNIJ5GL1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance current_state_ns_i_a2_RNILBLQ_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A))")) + (instance next_API_DATA_OUT_18_i_a2_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B !A))+D (!C (B A)))")) ) - (instance current_state_ns_0_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance next_address_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B+A)+C A))")) ) - (instance next_state_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance current_state_ns_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B+A))")) ) - (instance next_API_DATA_OUT_1_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance current_state_ns_0_o2_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance next_API_DATA_OUT_1_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_1_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance next_state_1_sqmuxa_1_0_a2_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) ) - (instance next_API_DATA_OUT_1_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_1_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance current_state_ns_0_i_s_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) ) - (instance next_API_DATA_OUT_1_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_DAT_READ_ENABLE_OUT_3_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance current_state_RNIME6J2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D (B+A))")) + ) + (instance current_state_RNINQ5J2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D (B+A))")) ) - (instance next_DAT_READ_ENABLE_OUT_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance current_state_ns_i_a2_RNIMNKQ_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A))")) + (instance next_API_DATA_OUT_1_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_1_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) + (instance next_API_DATA_OUT_1_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance current_state_RNI7LPA1_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B+C (!B+A)))")) ) - (instance current_state_ns_0_a3_0_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) - ) (instance current_state_ns_0_i_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C B+C (B+!A)))")) ) - (instance next_COMMON_CTRL_REG_STROBEc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance reg_fsm_unknown_3_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !B)+D !B)")) ) - (instance current_state_ns_0_a3_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance current_state_RNI54RK1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance fsm_un29_next_api_data_out_m7_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A))+D (B !A))")) + (instance next_global_time_write_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance fsm_un230_next_api_data_out_m261_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_COMMON_CTRL_REG_STROBEc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+A)))")) ) - (instance fsm_un230_next_api_data_out_m265_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance current_state_ns_i_i_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) ) - (instance fsm_un230_next_api_data_out_m259_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance current_state_RNIK5KI2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A+B !A)))")) + ) + (instance fsm_un2_timeout_counter_i_o2_1_RNIAGPT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+A))+D (!B+A))")) ) (instance rom_read_addr_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance current_state_RNIK5KI2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A+B !A)))")) + (instance un1_next_state_5_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (B !A)))")) ) (instance fsm_un104_next_api_data_out_m519_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) @@ -90255,13 +90527,13 @@ (instance fsm_un104_next_api_data_out_m259_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m516_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)))")) - ) - (instance fsm_un104_next_api_data_out_m260_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m261_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_un104_next_api_data_out_m261_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un104_next_api_data_out_m512_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) + ) + (instance fsm_un104_next_api_data_out_m256_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance fsm_un104_next_api_data_out_m513_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -90276,13 +90548,25 @@ (instance fsm_un104_next_api_data_out_m258_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance fsm_un29_next_api_data_out_m7_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (B !A))")) + ) (instance fsm_un29_next_api_data_out_m4_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A))+D (B !A))")) ) + (instance fsm_un230_next_api_data_out_m265_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance fsm_un230_next_api_data_out_m262_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m260_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m259_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance fsm_un230_next_api_data_out_m4_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A)))")) + ) + (instance fsm_un230_next_api_data_out_m261_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance fsm_un230_next_api_data_out_m256_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -90300,92 +90584,97 @@ (instance fsm_un230_next_api_data_out_m271_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance fsm_un230_next_api_data_out_m266_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance fsm_un230_next_api_data_out_m267_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance fsm_un230_next_api_data_out_m11_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) + ) (instance fsm_un230_next_api_data_out_m268_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m270_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m264_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance un1_next_nomoredata_1_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B A))+D !B)")) + (instance fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance fsm_un29_next_api_data_out_m11_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+!A)+D (!C (B !A)+C B))")) + (instance fsm_un29_next_api_data_out_m12_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C (B+A)))")) ) - (instance fsm_un29_next_api_data_out_m15_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un29_next_api_data_out_m11_6_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C (B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_m10_6_03_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A+C B)+D (C B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C (B+A)+C B))")) + (instance current_state_ns_i_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) + ) + (instance fsm_un169_next_api_data_out_m8_6_03_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D B)")) + ) + (instance next_unknown_1_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (C B))")) ) (instance fsm_un29_next_api_data_out_m8_6_03_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D B)")) ) - (instance fsm_un29_next_api_data_out_m5_6_03_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) (instance fsm_un29_next_api_data_out_m9_6_03_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance fsm_un29_next_api_data_out_m5_6_03_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance next_unknown_1_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (C B))")) + (instance current_state_ns_0_i_s_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (B !A)))")) ) - (instance current_state_ns_0_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !B+C (!B !A)))")) + (instance current_state_ns_i_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B+A)+C A))")) ) (instance current_state_RNI5RJ72_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C !A)")) ) - (instance fsm_un29_next_api_data_out_m10_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance current_state_RNIIULQ_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) - ) - (instance fsm_un230_next_api_data_out_m14_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) - ) - (instance COMMON_REGISTERS_OUT_write_enable_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance next_API_DATA_OUT_1_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C B+C (B+A)))")) ) - (instance REGISTERS_OUT_write_enable_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) + (instance next_API_DATA_OUT_1_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_1_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C B+C (B+A)))")) ) - (instance current_state_ns_0_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance next_API_DATA_OUT_1_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance current_state_nss_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+!A)))")) ) - (instance fsm_un104_next_api_data_out_m0_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m6_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) - (instance fsm_un104_next_api_data_out_m0_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(!B+A)))")) + (instance fsm_un230_next_api_data_out_m6_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m5_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + (instance next_API_DATA_OUT_18_i_a2_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B !A))+D (!C (!B A)))")) ) - (instance fsm_un230_next_api_data_out_m5_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (instance current_state_ns_i_i_a2_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A)+C !A)")) ) - (instance current_state_ns_0_a3_6_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance REGISTERS_OUT_write_enable_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance fsm_un230_next_api_data_out_m9_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + (instance COMMON_REGISTERS_OUT_write_enable_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance reg_fsm_length_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C+(!B+!A)))")) + (instance fsm_un230_next_api_data_out_m9_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) - (instance current_state_ns_0_a3_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance COMMON_REGISTERS_OUT_write_enable_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) (instance fsm_un104_next_api_data_out_m7_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) @@ -90399,6 +90688,9 @@ (instance fsm_un104_next_api_data_out_m3_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) + (instance fsm_un104_next_api_data_out_m4_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(!B+A)))")) + ) (instance fsm_un104_next_api_data_out_m4_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) @@ -90408,131 +90700,116 @@ (instance fsm_un104_next_api_data_out_m5_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B+A)+C !B))")) ) + (instance fsm_un104_next_api_data_out_m0_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+!A)))")) + ) (instance fsm_un104_next_api_data_out_m1_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) (instance fsm_un104_next_api_data_out_m2_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C+(B+!A)))")) ) - (instance fsm_un230_next_api_data_out_m7_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (instance fsm_un230_next_api_data_out_m9_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+!A)))")) ) (instance fsm_un230_next_api_data_out_m7_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D A)")) ) (instance fsm_un230_next_api_data_out_m7_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) - ) - (instance fsm_un230_next_api_data_out_m6_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) - ) - (instance fsm_un230_next_api_data_out_m6_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + (property lut_function (string "(D (C+(B+!A)))")) ) (instance fsm_un230_next_api_data_out_m3_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m3_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(!B+A)))")) + ) + (instance fsm_un230_next_api_data_out_m4_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D A)")) ) - (instance fsm_un230_next_api_data_out_m4_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C (B !A)))")) + (instance fsm_un230_next_api_data_out_m5_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+!A)))")) ) - (instance fsm_un230_next_api_data_out_m4_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (instance fsm_un230_next_api_data_out_m5_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m0_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m0_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (C+(!B+A)))")) ) (instance fsm_un230_next_api_data_out_m1_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m1_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (C+(!B+A)))")) ) (instance fsm_un230_next_api_data_out_m2_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (C+(!B+A)))")) ) (instance fsm_un230_next_api_data_out_m2_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m13_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m13_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) + ) + (instance fsm_un230_next_api_data_out_m14_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B A))+D A)")) ) - (instance fsm_un230_next_api_data_out_m14_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (instance fsm_un230_next_api_data_out_m14_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m15_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m15_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m10_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) - ) - (instance fsm_un230_next_api_data_out_m10_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m10_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + (property lut_function (string "(D (C+(B+!A)))")) ) (instance fsm_un230_next_api_data_out_m11_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) - ) - (instance fsm_un230_next_api_data_out_m11_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m12_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) (instance fsm_un230_next_api_data_out_m12_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A))+D (!C B))")) - ) - (instance fsm_un230_next_api_data_out_m8_8_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D A)")) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) - (instance current_state_ns_0_i_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D C)")) + (instance fsm_un230_next_api_data_out_m8_8_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B+A)+C !B))")) ) - (instance current_state_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) + (instance fsm_un230_next_api_data_out_m8_8_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+!A)))")) ) - (instance buf_rom_read_addr_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B+!A)))")) + (instance next_state_2_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance un1_next_length_1_sqmuxa_0_a (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance fsm_un29_next_api_data_out_m9_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance COMMON_REGISTERS_OUT_write_enable_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance fsm_un29_next_api_data_out_m13_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance next_global_time_write_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance REGISTERS_OUT_write_enable_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance fsm_un169_next_api_data_out_m7_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance fsm_un29_next_api_data_out_m12_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (B !A)))")) - ) - (instance fsm_un29_next_api_data_out_m9_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) (instance next_DAT_READ_ENABLE_OUT_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !A+C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance current_state_ns_i_a3_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_state_ns_0_a3_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance un1_next_nomoredata_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D C)")) + (instance next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) (instance fsm_un29_next_api_data_out_m1_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) @@ -90546,81 +90823,29 @@ (instance fsm_un29_next_api_data_out_m0_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) ) + (instance fsm_un29_next_api_data_out_m15_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C (B !A)))")) + ) (instance fsm_un29_next_api_data_out_m2_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance fsm_un169_next_api_data_out_m8_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance current_state_ns_i_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D !C)")) - ) - (instance un1_next_address_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C (!B+!A)+C !A))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_m10_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance reg_fsm_length_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance reg_fsm_length_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance current_state_ns_0_i_s_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !A+C (B !A)))")) ) - (instance current_state_ns_i_m4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (!B+!A)))")) + (instance rom_read_addr_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) ) (instance rom_read_addr_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance rom_read_addr_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance current_state_ns_i_m4_i_m2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)+C (!B+A)))")) ) - (instance current_state_RNI188F2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B !A))+D (!C (B+A)))")) + (instance current_state_RNI2BP74_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C (B A)+C A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C+(!B !A)))")) @@ -90629,13 +90854,13 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+A))+D (!C+B))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (C+!B))")) ) @@ -90657,115 +90882,124 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_state_nss_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+!A)))")) - ) - (instance REGISTERS_OUT_write_enable_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance next_address_i_m2_cry_0_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un3_dat_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance next_address_i_m2_cry_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un4_dat_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_address_i_m2_cry_1_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_0_i_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B A)))")) + (instance next_address_i_m2_cry_3_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_0_i_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (!C A+C (B+A)))")) + (instance next_address_i_m2_cry_3_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) + (instance next_address_i_m2_cry_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_READ_OUT_2_sqmuxa_RNI84T91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance next_address_i_m2_cry_5_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance un1_next_nomoredata_0_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B+!A))")) + (instance next_address_i_m2_cry_7_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance REGISTERS_OUT_write_enable_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance next_address_i_m2_cry_7_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance un1_next_state_5_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) + (instance next_address_i_m2_cry_9_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B+A)))")) + (instance next_address_i_m2_cry_9_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance current_state_ns_i_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C (!B !A)))")) + (instance next_address_i_m2_cry_11_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance un1_next_address_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B+!A)+C !A))")) + (instance next_address_i_m2_cry_11_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_state_2_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance next_address_i_m2_cry_13_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un104_next_api_data_out_m4_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance next_address_i_m2_cry_13_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance fsm_un104_next_api_data_out_m2_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance addr_counter_enable_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B+A))")) ) - (instance fsm_un104_next_api_data_out_m0_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (C (!B !A)))")) + (instance un1_next_nomoredata_0_sqmuxa_2_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) - (instance fsm_un230_next_api_data_out_m9_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !B)+D (!B !A))")) + (instance current_state_ns_0_i_s_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) ) - (instance fsm_un230_next_api_data_out_m8_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !B)+D (!B !A))")) + (instance un1_next_nomoredata_0_sqmuxa_1_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) - (instance fsm_un104_next_api_data_out_m3_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance current_state_ns_0_i_s_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (B+A))")) ) - (instance fsm_un104_next_api_data_out_m1_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance current_state_ns_i_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B A))+D C)")) ) - (instance fsm_un104_next_api_data_out_m7_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (C+B))")) + (instance current_state_RNIVD6M1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C A)")) ) - (instance current_state_ns_0_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (!C !A+C (B !A)))")) + (instance current_state_ns_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) + (instance next_timeout_counter_0_o2_0_RNIB2H31_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance length_lm_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_17_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + (instance un1_next_nomoredata_0_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance next_API_DATA_OUT_17_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + (instance un1_next_state_5_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + (instance current_state_ns_0_i_s_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (!C A+C (!B+A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance fsm_un230_next_api_data_out_m7_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) + (instance fsm_un104_next_api_data_out_m0_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance fsm_un230_next_api_data_out_m14_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (B !A)))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_17_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + (instance fsm_un104_next_api_data_out_m4_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (C (!B !A)))")) ) - (instance next_API_DATA_OUT_17_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance fsm_un104_next_api_data_out_m3_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) ) - (instance next_API_DATA_OUT_17_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance fsm_un230_next_api_data_out_m4_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (C (!B !A)))")) + ) + (instance fsm_un104_next_api_data_out_m1_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) + ) + (instance fsm_un104_next_api_data_out_m2_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) + ) + (instance fsm_un104_next_api_data_out_m7_8_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (C+B))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance next_API_DATA_OUT_17_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_17_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -90773,26 +91007,16 @@ (property lut_function (string "(B A)")) ) (instance next_API_DATA_OUT_17_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance rom_read_addr_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A)))")) - ) - (instance next_API_DATA_OUT_2_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A)))")) - ) - (instance next_API_DATA_OUT_2_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) - ) - (instance next_API_DATA_OUT_2_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_17_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_17_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) - (instance next_API_DATA_OUT_17_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) ) - (instance next_API_DATA_OUT_17_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance next_API_DATA_OUT_17_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_17_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -90800,6 +91024,19 @@ (property lut_function (string "(B A)")) ) (instance next_API_DATA_OUT_17_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_17_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance next_API_DATA_OUT_17_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_API_DATA_OUT_17_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_17_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + ) + (instance rom_read_addr_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A)))")) + ) (instance next_API_DATA_OUT_17_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -90807,16 +91044,25 @@ (property lut_function (string "(B A)")) ) (instance next_API_DATA_OUT_17_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_17_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C A+C B))")) + ) (instance next_API_DATA_OUT_17_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) - (instance next_API_DATA_OUT_17_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance next_API_DATA_OUT_17_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) - (instance next_API_DATA_OUT_17_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_API_DATA_OUT_2_i_m2_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance next_API_DATA_OUT_2_i_m2_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + ) + (instance next_API_DATA_OUT_2_i_m2_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_17_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) - (instance next_API_DATA_OUT_17_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_17_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A)+D (!C A+C B))")) ) @@ -90834,6 +91080,13 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C+(!B !A)))")) ) @@ -90841,16 +91094,6 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (B A))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+!A)))")) ) @@ -90858,61 +91101,125 @@ (property lut_function (string "(!C (!B A))")) ) (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance length_lm_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) ) - (instance reg_fsm_unknown_3_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) + (instance length_lm_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) ) - (instance next_API_DATA_OUT_13_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance length_lm_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) ) - (instance current_state_ns_i_a3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+!A)))")) + (instance length_lm_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) ) - (instance rom_read_addr_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A)+D A)")) + (instance length_lm_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance length_lm_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A))")) + ) + (instance buf_API_DATAREADY_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (!C (B !A)+C B))")) + ) + (instance lengthe_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C (!B !A)))")) + ) + (instance next_API_SHORT_TRANSFER_OUT_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D A)")) ) (instance rom_read_addr_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C A)+D A)")) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_13_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rom_read_addr_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_API_DATA_OUT_13_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_8_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C A+C (B+A)))")) + ) + (instance next_API_DATA_OUT_2_i_m2_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance next_API_DATA_OUT_2_i_m2_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + ) + (instance next_API_DATA_OUT_2_i_m2_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_13_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance fsm_un2_timeout_counter (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance next_API_DATA_OUT_13_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance current_state_ns_0_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (!C+(B A)))")) + (instance next_API_DATA_OUT_13_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance current_state_ns_0_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !B)+D (!C A+C (!B+A)))")) + (instance current_state_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C !A)")) ) - (instance current_state_ns_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) + (instance current_state_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !B))")) ) - (instance current_state_ns_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) + (instance current_state_ns_0_i_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (!C A+C (B+A)))")) + ) + (instance next_address_i_m2_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (B A))")) + ) + (instance fsm_un230_next_api_data_out_m6_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) ) (instance fsm_un230_next_api_data_out_m5_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) + (instance current_state_ns_0_i_s_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance current_state_ns_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B A)))")) + ) (instance fsm_un230_next_api_data_out_m9_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C B)")) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance fsm_un230_next_api_data_out_m6_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) + (instance current_state_ns_0_i_s_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B !A))")) ) (instance fsm_un230_next_api_data_out_m13_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance fsm_un230_next_api_data_out_m14_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m15_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance fsm_un230_next_api_data_out_m15_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un230_next_api_data_out_m10_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) (instance fsm_un230_next_api_data_out_m11_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -90922,307 +91229,167 @@ (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) (instance fsm_un230_next_api_data_out_m8_8_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B+!A))")) - ) - (instance next_API_DATA_OUT_2_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B !A)))")) - ) - (instance next_API_DATA_OUT_2_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) - ) - (instance next_API_DATA_OUT_2_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_2_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B))")) - ) - (instance next_API_DATA_OUT_2_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance next_API_DATA_OUT_2_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_2_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A)))")) + (instance next_API_DATA_OUT_10_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_2_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (instance next_API_DATA_OUT_10_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_2_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_2_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_2_i_m2_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A)))")) ) - (instance next_API_DATA_OUT_2_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_2_i_m2_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance next_API_DATA_OUT_2_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance reg_fsm_length_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C !A)+D B)")) - ) - (instance next_address_i_m2_cry_0_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_1_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_3_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_3_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_address_i_m2_cry_5_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (C+B))")) ) - (instance next_address_i_m2_cry_7_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance next_address_i_m2_cry_7_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_10_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_address_i_m2_cry_9_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C !B))")) ) - (instance next_address_i_m2_cry_9_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance next_address_i_m2_cry_11_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_2_i_m2_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C !B))")) ) - (instance next_address_i_m2_cry_11_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance next_address_i_m2_cry_13_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_2_i_m2_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) ) - (instance next_address_i_m2_cry_13_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_API_DATA_OUT_2_i_m2_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) ) - (instance nomoredata_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C+(!B A)))")) + (instance next_API_DATA_OUT_2_i_m2_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance unknown_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) ) (instance buf_DAT_READ_ENABLE_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B !A)))")) - ) - (instance dont_understand_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B A))")) - ) - (instance next_API_DATA_OUT_13_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (!C B))")) - ) - (instance next_API_DATA_OUT_13_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) + (property lut_function (string "(C+(B+A))")) ) - (instance next_API_DATA_OUT_13_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_13_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_13_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance current_state_ns_i_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B !A))+D (!B !A))")) - ) - (instance next_API_DATA_OUT_13_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_13_i_m2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance un1_current_state_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C !A)")) + (instance next_API_DATA_OUT_8_i_m2_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance next_API_DATA_OUT_13_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_8_i_m2_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (B A))")) + ) + (instance next_API_DATA_OUT_8_i_m2_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_a2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B A))+D (!C (B !A)))")) ) (instance next_API_DATA_OUT_13_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance next_API_DATA_OUT_8_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C B))")) + (property lut_function (string "(C (B !A))")) ) (instance next_API_DATA_OUT_8_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (property lut_function (string "(!D (B+A)+D C)")) ) (instance next_API_DATA_OUT_8_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance current_state_ns_0_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C (B+A)+C B))")) + (instance current_state_ns_0_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+A)))")) ) (instance current_state_ns_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) - ) - (instance next_address_i_m2_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)+C A))")) - ) - (instance next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance next_API_DATA_OUT_14_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) - ) - (instance next_API_DATA_OUT_14_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (B+A))")) - ) - (instance next_API_DATA_OUT_9_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (property lut_function (string "(!D (!C (B+A)+C B)+D B)")) ) - (instance next_API_DATA_OUT_9_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_1_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (!C+(B+A)))")) + (instance current_state_ns_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance next_API_DATA_OUT_1_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_1_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (!C+(B+A)))")) ) (instance next_API_DATA_OUT_1_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (B+A))")) - ) - (instance next_API_DATA_OUT_9_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_9_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_9_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_i_m2_1_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_9_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_9_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_i_m2_1_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_9_i_m2_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_9_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_9_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_9_i_m2_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_9_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_9_i_m2_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_m2_2_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_9_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance unknown_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C B+C (B+!A)))")) - ) - (instance timeout_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C (B A)))")) - ) - (instance next_API_DATA_OUT_14_i_m2_11 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D C)")) - ) - (instance next_API_DATA_OUT_14_i_m2_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_13 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D C)")) - ) - (instance next_API_DATA_OUT_14_i_m2_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D B)")) - ) - (instance next_API_DATA_OUT_14_i_m2_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A)))")) - ) - (instance next_API_DATA_OUT_12_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_12_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_10_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) - ) - (instance next_API_DATA_OUT_12_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_13_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (B A))")) - ) - (instance next_API_DATA_OUT_13_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C !A+C !B))")) - ) - (instance next_API_DATA_OUT_13_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A)))")) - ) - (instance next_API_DATA_OUT_12_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) + (instance next_API_DATA_OUT_18_i_m2_2_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C (!B+!A))")) ) - (instance next_API_DATA_OUT_12_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) - ) - (instance next_API_DATA_OUT_12_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) + (instance next_API_DATA_OUT_18_i_m2_2_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_9_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance next_API_DATA_OUT_12_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D A)")) + (instance next_API_DATA_OUT_9_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_19_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D B)")) + (instance next_API_DATA_OUT_9_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_1_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (!C+(B+A)))")) ) - (instance next_API_DATA_OUT_14_i_m2_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D C)")) + (instance next_API_DATA_OUT_1_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_14_i_m2_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_12_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_14_i_m2_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_SHORT_TRANSFER_OUT_f0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (instance next_API_DATA_OUT_18_i_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D (B+A))")) ) - (instance next_API_DATA_OUT_12_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C B+C (B !A)))")) + ) + (instance next_API_DATA_OUT_16_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_16_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -91230,56 +91397,63 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_16_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_API_DATA_OUT_16_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_16_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_API_DATA_OUT_16_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_16_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -91287,27 +91461,32 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_16_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_14_i_m2_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D C)")) ) - (instance next_API_DATA_OUT_18_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_14_i_m2_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D B)")) ) - (instance next_API_DATA_OUT_18_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance next_API_DATA_OUT_14_i_m2_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D C)")) ) - (instance next_API_DATA_OUT_16_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance next_API_DATA_OUT_14_i_m2_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D B)")) ) - (instance next_API_DATA_OUT_16_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_16_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance next_API_DATA_OUT_14_i_m2_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D C)")) ) - (instance next_API_DATA_OUT_16_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance next_API_DATA_OUT_14_i_m2_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_11 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C B+C (B !A)))")) ) - (instance next_API_DATA_OUT_16_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_16_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (B+!A))")) ) @@ -91315,73 +91494,136 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_API_DATA_OUT_16_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_19_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_12_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_13_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_12_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_i_m2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_12_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_13_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_12_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D C)")) + ) + (instance next_API_DATA_OUT_14_i_m2_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_i_m2_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_i_m2_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D C)")) + ) + (instance next_API_DATA_OUT_14_i_m2_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14_i_m2_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D B)")) + ) + (instance next_API_DATA_OUT_14_i_m2_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D C)")) + ) + (instance next_API_DATA_OUT_14_i_m2_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_12_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D B)")) + ) + (instance next_API_DATA_OUT_20_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_20_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_20_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_13 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_20_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_20_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_20_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_19_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_20_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_19_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_19_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_20_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_20_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_18_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+!A)))")) ) - (instance next_API_DATA_OUT_21_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_18_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) + (instance next_API_DATA_OUT_19_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_19_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C !A)+D (!C B))")) ) - (instance next_API_DATA_OUT_20_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D B)")) + (instance next_API_DATA_OUT_21_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_20_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_20_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_21_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_21_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_21_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -91389,121 +91631,119 @@ (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_21_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_21_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_API_DATA_OUT_21_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_21_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (property lut_function (string "(!C A+C B)")) ) (instance next_API_DATA_OUT_21_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_21_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_21_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_21_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_21_bm_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_21_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_21_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_21_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_21_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (property lut_function (string "(!C A+C B)")) ) (instance next_API_DATA_OUT_21_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_21_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_API_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_API_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance next_API_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D B)")) ) - (instance next_API_DATA_OUT_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_API_DATA_OUT_bm_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C (!B A))")) ) - (instance next_API_DATA_OUT_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_API_DATA_OUT_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (property lut_function (string "(!D (!C B+C !A)+D (!C B))")) ) (instance next_API_DATA_OUT_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_API_DATA_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_API_DATA_OUT_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_API_DATA_OUT_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) ) - (instance next_API_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_API_DATA_OUT_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) ) + (instance next_API_DATA_OUT_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_API_DATA_OUT_15_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_15_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_15_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_15_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_i_m2_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_15_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_API_DATA_OUT_15_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_18_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_API_DATA_OUT_20_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_13_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_16_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_API_DATA_OUT_20_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B+A)+C (B A)))")) ) - (instance next_API_DATA_OUT_12_bm_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) - ) - (instance next_API_DATA_OUT_12_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) + (instance next_API_DATA_OUT_20_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B+A)+C (B A)))")) ) - (instance next_API_DATA_OUT_12_bm_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) ) - (instance next_API_DATA_OUT_12_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) (instance next_API_DATA_OUT_1_bm_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -91512,50 +91752,35 @@ (instance next_API_DATA_OUT_1_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance next_API_DATA_OUT_1_bm_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) - ) - (instance next_API_DATA_OUT_1_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) - ) - (instance current_state_ns_0_i_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (C (!B A)))")) - ) - (instance current_state_ns_0_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C+(B+A)))")) - ) - (instance fsm_un29_next_api_data_out_m8_6_03_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C (B A)+C !B))")) + (instance next_API_DATA_OUT_1_bm_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C (!B !A+B A))+D (!C !A+C (!B !A)))")) ) - (instance fsm_un29_next_api_data_out_m8_6_03_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance next_API_DATA_OUT_1_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C !B)+D (!C (B+A)+C !B))")) ) - (instance fsm_un230_next_api_data_out_m8_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) + (instance next_API_DATA_OUT_1_bm_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C (!B !A+B A))+D (!C !A+C (!B !A)))")) ) - (instance fsm_un230_next_api_data_out_m8_6_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) + (instance next_API_DATA_OUT_1_bm_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C !B)+D (!C (B+A)+C !B))")) ) - (instance next_API_DATA_OUT_1_bm_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) ) - (instance next_API_DATA_OUT_1_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance next_API_DATA_OUT_1_bm_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) ) - (instance next_API_DATA_OUT_1_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_1_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance next_API_DATA_OUT_1_bm_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_API_DATA_OUT_18_i_a2_2_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))")) ) - (instance next_API_DATA_OUT_1_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) - ) - (instance fsm_op_eq_next_state60_RNIRIQP1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (!B A)+C A))")) + (instance next_API_DATA_OUT_18_i_a2_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D (!C (B A)+C (!B A)))")) ) (instance DAT_DATAREADY_IN_befored_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(B !A))")) @@ -91566,20 +91791,23 @@ (instance fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance current_state_ns_0_a3_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance API_SEND_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C A)+D A)")) + ) + (instance fsm_un104_next_api_data_out_m11_8_03_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C (B !A))+D (B !A))")) + (instance fsm_un2_timeout_counter_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) - (instance next_nomoredata_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance current_state_ns_0_a3_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance next_address_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance un1_next_nomoredata_0_sqmuxa_2_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C+(B A)))")) ) - (instance COMMON_REGISTERS_OUT_write_enable_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance un1_current_state3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) ) (instance next_address_i_m2_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -91635,343 +91863,343 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance global_time_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a03")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance global_time_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance time_since_last_trg_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd805")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance time_since_last_trg_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a03")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd800")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0xd800")) ) - (instance time_since_last_trg_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance global_time_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0xd805")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_length_1_sqmuxa_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance length_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xb404")) + (instance length_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060f")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0xb404")) + (property INIT1 (string "0x060f")) ) - (instance un1_next_length_1_sqmuxa_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0xa60a")) + (instance length_s_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "YES")) + (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_local_time_i_1_s_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_dat_data_counter_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) + (property INJECT1_1 (string "YES")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_next_Reg_low_1_sqmuxa_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un3_local_time_i_1_s_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -92044,15 +92272,140 @@ (portRef Z (instanceRef timeout_counter_RNO_0)) (portRef D (instanceRef timeout_counter_0)) )) - (net DAT_DATAREADY_IN_before_Q (joined - (portRef Q (instanceRef DAT_DATAREADY_IN_before)) - (portRef C (instanceRef DAT_DATAREADY_IN_befored_1)) - (portRef B (instanceRef current_state_ns_0_a2_0_2_12)) - (portRef C (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) + (net N_5874 (joined + (portRef Z (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef B (instanceRef current_state_ns_0_i_s_6)) + (portRef A (instanceRef next_global_time_write_3_sqmuxa)) + (portRef B (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef A (instanceRef next_global_time_write_3_sqmuxa_0_RNIBN9D)) + (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + )) + (net N_5834 (joined + (portRef Z (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) + (portRef A (instanceRef next_API_DATA_OUT_12_8)) + (portRef A (instanceRef next_API_DATA_OUT_12_13)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_12)) + (portRef A (instanceRef next_API_DATA_OUT_12_11)) + (portRef A (instanceRef next_API_DATA_OUT_12_10)) + (portRef A (instanceRef next_API_DATA_OUT_12_15)) + (portRef A (instanceRef next_API_DATA_OUT_12_5)) + (portRef A (instanceRef next_API_DATA_OUT_12_6)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_14)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_9_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_18_i_m2_2_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_9_i_m2_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_12_i_m2_1_2)) + (portRef C0 (instanceRef next_API_DATA_OUT_12_i_m2_1_3)) + (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) + (portRef D (instanceRef next_API_DATA_OUT_18_bm_9)) + (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + )) + (net reg_enable_pattern_m_0_0 (joined + (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_0)) + )) + (net regio_addr_i_7 (joined + (portRef Q (instanceRef address_7)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_0)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_1)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_6)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_5)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_3)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_2)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_8)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_12)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_13)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_10)) + (portRef C (instanceRef next_API_DATA_OUT_21_bm_11)) + (portRef C (instanceRef next_API_DATA_OUT_18_15)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_7)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_6)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_5)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_11)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_13)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_14)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_15)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_9)) + (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_10)) + (portRef C (instanceRef next_API_DATA_OUT_10_7)) + (portRef C (instanceRef next_address_i_m2_cry_7_0_RNO)) + (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) + (portRef B (instanceRef address_RNI5G1_6)) + (portRef B (instanceRef fsm_un38_api_dataready_in_i_o2)) + (portRef B (instanceRef rom_read_addr_1_sqmuxa_2)) + (portRef B (instanceRef next_STAT_REG_STROBE_1_sqmuxa_1_0_a2_0)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_4_4)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_3_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_2)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_18_9)) + (portRef C (instanceRef address_RNI6G2_4)) + (portRef B (instanceRef address_RNIGEKU_6)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_1_RNO_14)) + (portRef C (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef B (instanceRef next_global_time_write_3_sqmuxa_0_RNIBN9D)) + (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (portRef regio_addr_i_7) + )) + (net regio_addr_i_6 (joined + (portRef Q (instanceRef address_6)) + (portRef SD (instanceRef next_API_DATA_OUT_12_0)) + (portRef SD (instanceRef next_API_DATA_OUT_12_1)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) + (portRef SD (instanceRef next_API_DATA_OUT_12_i_m2_2)) + (portRef SD (instanceRef next_API_DATA_OUT_12_i_m2_3)) + (portRef D (instanceRef next_API_DATA_OUT_12_8)) + (portRef D (instanceRef next_API_DATA_OUT_12_13)) + (portRef D (instanceRef next_API_DATA_OUT_12_i_m2_12)) + (portRef D (instanceRef next_API_DATA_OUT_12_11)) + (portRef D (instanceRef next_API_DATA_OUT_12_10)) + (portRef D (instanceRef next_API_DATA_OUT_12_15)) + (portRef D (instanceRef next_API_DATA_OUT_12_5)) + (portRef D (instanceRef next_API_DATA_OUT_12_6)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_5)) + (portRef C (instanceRef next_API_DATA_OUT_10_6)) + (portRef C (instanceRef next_address_i_m2_cry_5_0_RNO_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_10)) + (portRef D (instanceRef next_unknown_1_sqmuxa_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_11)) + (portRef C0 (instanceRef next_API_DATA_OUT_1_12)) + (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) + (portRef C (instanceRef rom_read_addr_1_sqmuxa_1)) + (portRef A (instanceRef address_RNI5G1_6)) + (portRef A (instanceRef fsm_un38_api_dataready_in_i_o2)) + (portRef A (instanceRef next_STAT_REG_STROBE_1_sqmuxa_1_0_a2_0)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_3)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_2)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + (portRef A (instanceRef next_API_DATA_OUT_18_bm_9)) + (portRef D (instanceRef address_RNI6G2_4)) + (portRef C (instanceRef address_RNIGEKU_6)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_1_RNO_14)) + (portRef A (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef C (instanceRef next_global_time_write_3_sqmuxa_0_RNIBN9D)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (portRef regio_addr_i_6) )) - (net next_API_DATA_OUT_sn_m14_1 (joined - (portRef Z (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) - (portRef D (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) + (net reg_enable_pattern_m_0_1 (joined + (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_1)) )) (net global_time_9 (joined (portRef Q (instanceRef global_time_i_0_9)) @@ -92063,366 +92416,535 @@ )) (net dat_data_counter_5 (joined (portRef Q (instanceRef dat_data_counter_5)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_5_0)) (portRef B (instanceRef next_API_DATA_OUT_20_am_RNO_5)) )) (net current_state_2 (joined (portRef Q (instanceRef current_state_2)) (portRef C (instanceRef current_state_RNI5RJ72_2)) (portRef B (instanceRef current_state_RNI7LPA1_16)) - (portRef A (instanceRef current_state_ns_i_a2_RNIMNKQ_8)) + (portRef C (instanceRef current_state_RNINQ5J2_16)) (portRef B (instanceRef current_state_RNIQS091_2)) - (portRef A (instanceRef un1_current_state_4_i_a2_1)) - (portRef A (instanceRef un1_current_state_6_0_a2)) + (portRef A (instanceRef un1_current_state_5_i_a2_1)) (portRef A (instanceRef current_state_RNI9UQC_7)) - (portRef B (instanceRef current_state_ns_i_RNO_16)) (portRef C (instanceRef next_API_DATA_OUT_17_RNO_7)) - (portRef C (instanceRef next_API_DATA_OUT_17_RNO_4)) (portRef C (instanceRef next_API_DATA_OUT_17_RNO_9)) + (portRef C (instanceRef next_API_DATA_OUT_20_RNO_2)) + (portRef C (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef C (instanceRef next_API_DATA_OUT_17_RNO_10)) + (portRef C (instanceRef next_API_DATA_OUT_am_RNO_4)) (portRef C (instanceRef next_API_DATA_OUT_17_RNO_1)) + (portRef C (instanceRef next_API_DATA_OUT_17_RNO_14)) (portRef C (instanceRef next_API_DATA_OUT_17_RNO_3)) - (portRef C (instanceRef next_API_DATA_OUT_20_RNO_13)) - (portRef C (instanceRef next_API_DATA_OUT_20_RNO_6)) - (portRef C (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef A (instanceRef current_state_ns_i_0_RNO_16)) + (portRef C (instanceRef next_API_DATA_OUT_20_am_RNO_6)) (portRef C (instanceRef next_API_DATA_OUT_20_am_RNO_5)) )) - (net N_1554 (joined - (portRef Z (instanceRef packet_counter_RNIHNC81_1)) - (portRef B (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef B (instanceRef buf_rom_read_addr_RNO_0)) + (net N_1556 (joined + (portRef Z (instanceRef packet_counter_RNIHNC81_0_1)) (portRef B (instanceRef current_state_RNI5RJ72_2)) - (portRef B (instanceRef current_state_RNIK5KI2_16)) (portRef B (instanceRef rom_read_addr_1_sqmuxa)) - (portRef B (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa_1)) - (portRef B (instanceRef next_state_1_sqmuxa_1)) + (portRef B (instanceRef current_state_RNIK5KI2_16)) + (portRef B (instanceRef current_state_RNINQ5J2_16)) + (portRef B (instanceRef current_state_RNIME6J2_7)) + (portRef B (instanceRef next_state_1_sqmuxa_1_0_a2_i_o2)) (portRef A (instanceRef current_state_RNIQS091_2)) (portRef D (instanceRef packet_counter_1)) + (portRef C (instanceRef buf_rom_read_addr_RNO_0)) (portRef D (instanceRef next_API_DATA_OUT_17_RNO_7)) - (portRef D (instanceRef next_API_DATA_OUT_17_RNO_4)) (portRef D (instanceRef next_API_DATA_OUT_17_RNO_9)) + (portRef D (instanceRef next_API_DATA_OUT_20_RNO_2)) + (portRef D (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef D (instanceRef next_API_DATA_OUT_17_RNO_10)) + (portRef D (instanceRef next_API_DATA_OUT_am_RNO_4)) (portRef D (instanceRef next_API_DATA_OUT_17_RNO_1)) + (portRef D (instanceRef next_API_DATA_OUT_17_RNO_14)) (portRef D (instanceRef next_API_DATA_OUT_17_RNO_3)) - (portRef D (instanceRef next_API_DATA_OUT_20_RNO_13)) - (portRef D (instanceRef next_API_DATA_OUT_20_RNO_6)) - (portRef D (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef D (instanceRef next_API_DATA_OUT_20_am_RNO_6)) (portRef D (instanceRef next_API_DATA_OUT_20_am_RNO_5)) )) (net next_API_DATA_OUT_20_am_1_5 (joined (portRef Z (instanceRef next_API_DATA_OUT_20_am_RNO_5)) (portRef C (instanceRef next_API_DATA_OUT_20_am_5)) )) - (net N_2687 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_14)) - (portRef A (instanceRef next_API_DATA_OUT_RNO_14)) + (net global_time_10 (joined + (portRef Q (instanceRef global_time_i_10)) + (portRef C1 (instanceRef global_time_i_cry_0_9)) + (portRef B (instanceRef next_API_DATA_OUT_4_10)) + (portRef A (instanceRef next_API_DATA_OUT_20_am_RNO_6)) + )) + (net dat_data_counter_6 (joined + (portRef Q (instanceRef dat_data_counter_6)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef B (instanceRef next_API_DATA_OUT_20_am_RNO_6)) + )) + (net next_API_DATA_OUT_20_am_1_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_am_RNO_6)) + (portRef C (instanceRef next_API_DATA_OUT_20_am_6)) )) - (net N_2669 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_14)) - (portRef B (instanceRef next_API_DATA_OUT_RNO_14)) + (net N_2695 (joined + (portRef Z (instanceRef next_API_DATA_OUT_19_15)) + (portRef A (instanceRef next_API_DATA_OUT_RNO_15)) + )) + (net N_2677 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_15)) + (portRef B (instanceRef next_API_DATA_OUT_RNO_15)) )) (net next_API_DATA_OUT_sn_N_25 (joined - (portRef Z (instanceRef address_RNI5G1_7)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_11)) - (portRef SD (instanceRef next_API_DATA_OUT_19_9)) - (portRef SD (instanceRef next_API_DATA_OUT_19_10)) - (portRef A (instanceRef next_global_time_write_0_sqmuxa)) - (portRef B (instanceRef address_RNIGEKU_0_7)) - (portRef C (instanceRef next_API_DATA_OUT_RNO_12)) - (portRef C (instanceRef next_API_DATA_OUT_RNO_14)) + (portRef Z (instanceRef address_RNI5G1_6)) + (portRef B (instanceRef next_global_time_write_0_sqmuxa)) + (portRef B (instanceRef address_RNIGEKU_0_6)) + (portRef C (instanceRef next_API_DATA_OUT_RNO_15)) )) - (net N_1553 (joined + (net N_1555 (joined (portRef Z (instanceRef packet_counter_RNIBUIU_0)) (portRef C0 (instanceRef next_API_DATA_OUT_15_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_6)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_8)) (portRef C0 (instanceRef next_API_DATA_OUT_15_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_13)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_i_m2_11)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_10)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_6)) (portRef C0 (instanceRef next_API_DATA_OUT_15_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_2)) (portRef A (instanceRef next_API_DATA_OUT_16_bm_0)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_3)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_14)) (portRef A (instanceRef next_API_DATA_OUT_16_bm_15)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_12)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_6)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_10)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_13)) (portRef A (instanceRef next_API_DATA_OUT_16_bm_11)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_8)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_2)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_1)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_9)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_13)) (portRef A (instanceRef next_API_DATA_OUT_16_bm_4)) - (portRef A (instanceRef next_API_DATA_OUT_16_bm_7)) - (portRef A (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef A (instanceRef buf_rom_read_addr_RNO_0)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_14)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_9)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_10)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_12)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_1)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_3)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_2)) + (portRef A (instanceRef next_API_DATA_OUT_16_bm_8)) (portRef A (instanceRef current_state_RNI5RJ72_2)) - (portRef A (instanceRef current_state_RNIK5KI2_16)) (portRef A (instanceRef rom_read_addr_1_sqmuxa)) + (portRef A (instanceRef current_state_RNIK5KI2_16)) (portRef A (instanceRef current_state_RNI7LPA1_16)) - (portRef A (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa_1)) - (portRef A (instanceRef next_state_1_sqmuxa_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_8)) + (portRef A (instanceRef current_state_RNINQ5J2_16)) + (portRef A (instanceRef current_state_RNIME6J2_7)) + (portRef A (instanceRef next_state_1_sqmuxa_1_0_a2_i_o2)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_13)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_4)) (portRef C0 (instanceRef next_API_DATA_OUT_15_14)) - (portRef A (instanceRef buf_API_PACKET_NUM_OUT_2_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_9)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_15)) + (portRef A (instanceRef next_API_DATA_OUT_0_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_7)) (portRef A (instanceRef next_API_DATA_OUT_0_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_15_10)) - (portRef A (instanceRef address_RNIGEKU_0_7)) + (portRef A (instanceRef next_API_DATA_OUT_0_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_15_9)) + (portRef A (instanceRef address_RNIGEKU_0_6)) (portRef D (instanceRef packet_counter_0)) - (portRef A (instanceRef address_RNIGEKU_7)) - (portRef D (instanceRef next_API_DATA_OUT_RNO_12)) - (portRef D (instanceRef next_API_DATA_OUT_RNO_14)) + (portRef D (instanceRef buf_rom_read_addr_RNO_0)) + (portRef A (instanceRef address_RNIGEKU_6)) + (portRef B (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) + (portRef D (instanceRef next_API_DATA_OUT_RNO_15)) )) - (net N_2721 (joined - (portRef Z (instanceRef next_API_DATA_OUT_RNO_14)) - (portRef B (instanceRef next_API_DATA_OUT_14)) + (net N_2729 (joined + (portRef Z (instanceRef next_API_DATA_OUT_RNO_15)) + (portRef B (instanceRef next_API_DATA_OUT_15)) )) - (net N_2685 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_12)) - (portRef A (instanceRef next_API_DATA_OUT_RNO_12)) + (net N_5932 (joined + (portRef Z (instanceRef packet_counter_RNIHNC81_1)) + (portRef B (instanceRef current_state_ns_0_i_s_12)) + (portRef B (instanceRef current_state_ns_0_i_s_2)) + (portRef A (instanceRef current_state_ns_i_m4_i_m2_11)) + (portRef B (instanceRef current_state_ns_i_0_RNO_16)) )) - (net N_2667 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_12)) - (portRef B (instanceRef next_API_DATA_OUT_RNO_12)) + (net current_state_1 (joined + (portRef Q (instanceRef current_state_1)) + (portRef C (instanceRef current_state_RNO_9)) + (portRef B (instanceRef current_state_ns_i_i_a2_9)) + (portRef C (instanceRef current_state_ns_i_i_a2_2_9)) + (portRef B (instanceRef next_API_READ_OUT_i_a2_0_a2)) + (portRef B (instanceRef current_state_ns_i_0_o2_16)) + (portRef C (instanceRef current_state_ns_i_0_RNO_16)) )) - (net N_2719 (joined - (portRef Z (instanceRef next_API_DATA_OUT_RNO_12)) - (portRef B (instanceRef next_API_DATA_OUT_12)) + (net current_state_0 (joined + (portRef Q (instanceRef current_state_0)) + (portRef C (instanceRef current_state_ns_0_i_s_18)) + (portRef B (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef B (instanceRef current_state_ns_0_i_s_0_6)) + (portRef A (instanceRef current_state_ns_i_0_o2_16)) + (portRef D (instanceRef current_state_ns_i_0_RNO_16)) )) - (net rom_read_addr_1_sqmuxa (joined - (portRef Z (instanceRef rom_read_addr_1_sqmuxa)) - (portRef D (instanceRef rom_read_addr_1)) - (portRef D (instanceRef rom_read_addr_2)) - (portRef D (instanceRef rom_read_addr_0)) - (portRef B (instanceRef rom_read_addr_0_1)) - (portRef B (instanceRef rom_read_addr_0_2)) - (portRef A (instanceRef fsm_un5_api_typ_in_RNI0R181)) + (net N_5991 (joined + (portRef Z (instanceRef current_state_ns_i_0_RNO_16)) + (portRef C (instanceRef current_state_ns_i_0_16)) )) - (net un5_api_typ_in (joined - (portRef Z (instanceRef fsm_un5_api_typ_in)) - (portRef D (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef D (instanceRef current_state_ns_0_a3_6_6)) - (portRef C (instanceRef current_state_ns_0_a3_0_1_2)) - (portRef C (instanceRef next_DAT_READ_ENABLE_OUT_0_sqmuxa_1)) - (portRef B (instanceRef fsm_un5_api_typ_in_RNI0R181)) + (net current_state_17 (joined + (portRef Q (instanceRef current_state_17)) + (portRef D (instanceRef current_state_ns_0_i_s_4_6)) + (portRef D (instanceRef un1_next_state_5_sqmuxa_i_a2)) + (portRef B (instanceRef current_state_RNI54RK1_17)) + (portRef C (instanceRef current_state_ns_0_o2_0_a2_14)) + (portRef B (instanceRef current_state_RNISA4M1_17)) + (portRef A (instanceRef current_state_RNO_17)) )) - (net current_state_14 (joined - (portRef Q (instanceRef current_state_14)) - (portRef D (instanceRef current_state_ns_0_i_4)) - (portRef C (instanceRef current_state_ns_0_i_2)) - (portRef C (instanceRef rom_read_addr_0)) - (portRef C (instanceRef un1_next_address_0_sqmuxa)) - (portRef B (instanceRef next_DAT_READ_ENABLE_OUT_0_sqmuxa_1)) - (portRef C (instanceRef fsm_un5_api_typ_in_RNI0R181)) - )) - (net N_2052_i (joined - (portRef Z (instanceRef current_state_ns_i_o2_9)) - (portRef A (instanceRef next_address_0_sqmuxa)) - (portRef A (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef A (instanceRef reg_fsm_length_3_15)) - (portRef A (instanceRef current_state_ns_0_a3_6_6)) - (portRef A (instanceRef current_state_ns_0_a3_0_1_2)) - (portRef A (instanceRef next_DAT_READ_ENABLE_OUT_0_sqmuxa_1)) - (portRef A (instanceRef next_state_0_sqmuxa_1)) - (portRef A (instanceRef current_state_ns_i_o2_15)) - (portRef A (instanceRef current_state_ns_0_o4_7)) - (portRef A (instanceRef current_state_ns_i_o4_0_9)) - (portRef C (instanceRef addr_counter_enable_RNO)) - (portRef D (instanceRef fsm_un5_api_typ_in_RNI0R181)) + (net current_state_18 (joined + (portRef Q (instanceRef current_state_18)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef B (instanceRef DAT_DATAREADY_IN_befored)) + (portRef C (instanceRef current_state_nss_0_i_0)) + (portRef B (instanceRef reg_fsm_unknown_3_iv_0)) + (portRef B (instanceRef current_state_ns_0_a3_0_1)) + (portRef B (instanceRef nomoredata_RNO)) + (portRef B (instanceRef timeout_RNO)) + (portRef A (instanceRef current_state_RNI2T4Q_18)) + (portRef B (instanceRef current_state_ns_i_a3_0)) + (portRef C (instanceRef current_state_RNO_17)) )) - (net rom_read_addr_sn_N_2_i (joined - (portRef Z (instanceRef fsm_un5_api_typ_in_RNI0R181)) - (portRef SP (instanceRef buf_rom_read_addr_2)) - (portRef SP (instanceRef buf_rom_read_addr_1)) - (portRef SP (instanceRef buf_rom_read_addr_0)) + (net N_2101_1 (joined + (portRef Z (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef A (instanceRef current_state_nss_0_i_0)) + (portRef A (instanceRef current_state_ns_0_a3_0_1)) + (portRef D (instanceRef current_state_RNO_17)) )) - (net N_4509 (joined - (portRef Z (instanceRef address_RNIS84Q_7)) - (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_3)) - (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_1)) - (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (net current_state_ns_0_i_1 (joined + (portRef Z (instanceRef current_state_RNO_17)) + (portRef D (instanceRef current_state_17)) )) - (net regio_addr_i_fast_0 (joined - (portRef Q (instanceRef address_fast_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m512_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m515_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m518_6_03_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) + (net m11_6_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) + )) + (net m11_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03)) + )) + (net N_113 (joined + (portRef Z (instanceRef next_API_READ_OUT_i_a2_0_a2)) + (portRef A (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) + (portRef A (instanceRef buf_API_READ_OUT_RNO)) + (portRef A (instanceRef current_state_RNO_1)) + )) + (net current_state_9 (joined + (portRef Q (instanceRef current_state_9)) + (portRef C (instanceRef current_state_ns_0_i_s_2_6)) + (portRef B (instanceRef current_state_ns_i_i_0_9)) + (portRef C (instanceRef current_state_ns_i_i_a2_9)) + (portRef B (instanceRef current_state_RNIJ5GL1_15)) + (portRef B (instanceRef next_address_1_sqmuxa_1)) + (portRef B (instanceRef un1_dat_data_counter_cry_0_0_RNO)) + (portRef B (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) + (portRef B (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (portRef B (instanceRef buf_API_READ_OUT_RNO)) + (portRef C (instanceRef current_state_RNO_1)) + )) + (net N_88_i (joined + (portRef Z (instanceRef N_88_i_0_a2)) + (portRef A (instanceRef current_state_RNO_9)) + (portRef A (instanceRef current_state_ns_0_i_3)) + (portRef A (instanceRef current_state_RNIJ5GL1_15)) + (portRef A (instanceRef un1_dat_data_counter_cry_0_0_RNO)) + (portRef C (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (portRef C (instanceRef buf_API_READ_OUT_RNO)) + (portRef D (instanceRef current_state_RNO_1)) + )) + (net N_38 (joined + (portRef Z (instanceRef current_state_RNO_1)) + (portRef D (instanceRef current_state_1)) + )) + (net next_global_time_write_2_sqmuxa (joined + (portRef Z (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) + (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) + (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) + )) + (net regio_addr_i_1 (joined + (portRef Q (instanceRef address_1)) + (portRef (member regio_addr_i 0) (instanceRef pattern_gen_inst)) (portRef C (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_1)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m15_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m68_4_03)) + (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) + (portRef B (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef B (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) + (portRef B (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) + (portRef B (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_0)) + (portRef B (instanceRef un225_next_api_data_out_1_p4)) + (portRef B (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) + (portRef B (instanceRef next_API_DATA_OUT_13_bm_13)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) - (portRef C (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m4_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m3_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m0_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m2_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m1_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m516_4_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m582_4_03)) - (portRef D (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m203_4_03)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m78_4_03)) - (portRef B (instanceRef un225_next_api_data_out_1_ac0_1)) - (portRef D (instanceRef un225_next_api_data_out_1_p4)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) - (portRef C (instanceRef next_API_DATA_OUT_12_RNO_14)) - (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) + (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) )) - (net reg_enable_pattern_m_0_0 (joined - (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_0)) - (portRef D (instanceRef REGISTERS_OUT_write_enable_0)) + (net regio_addr_i_4_0 (joined + (portRef Q (instanceRef address_4_0)) + (portRef (member regio_addr_i_4 0) (instanceRef pattern_gen_inst)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_13)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_13)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_4)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_4)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_14)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_14)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_15)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_15)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_am)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_7)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_7)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef A (instanceRef next_API_DATA_OUT_15_bm_9)) + (portRef A (instanceRef next_API_DATA_OUT_15_am_9)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) + (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) )) - (net un22_api_dataready_in (joined - (portRef Z (instanceRef fsm_un22_api_dataready_in)) - (portRef C (instanceRef reg_fsm_length_3_15)) - (portRef C (instanceRef next_state_0_sqmuxa_1)) - (portRef D (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) - (portRef A (instanceRef addr_counter_enable_RNO)) + (net reg_enable_pattern_m_1 (joined + (portRef Z (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) + (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_1)) )) - (net current_state_11 (joined - (portRef Q (instanceRef current_state_11)) - (portRef D (instanceRef current_state_ns_0_i_7)) - (portRef C (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef C (instanceRef current_state_ns_i_a3_0_9)) - (portRef B (instanceRef addr_counter_enable_RNO)) + (net N_87 (joined + (portRef Z (instanceRef next_address_1_sqmuxa_i_o2)) + (portRef A (instanceRef current_state_ns_0_i_s_4_6)) + (portRef A (instanceRef un1_next_state_5_sqmuxa_i_a2)) + (portRef A (instanceRef current_state_RNI54RK1_17)) + (portRef A (instanceRef current_state_ns_0_o2_0_a2_14)) + (portRef B (instanceRef current_state_ns_0_a3_7)) + (portRef A (instanceRef next_address_1_sqmuxa_1)) + (portRef D (instanceRef current_state_RNISA4M1_17)) + (portRef B (instanceRef current_state_RNO_17)) + (portRef N_87) + )) + (net N_2078_0 (joined + (portRef Z (instanceRef current_state_RNISA4M1_17)) + (portRef A (instanceRef current_state_ns_0_i_5)) + (portRef A (instanceRef current_state_ns_0_i_4)) + (portRef A (instanceRef current_state_ns_0_i_7)) + (portRef A (instanceRef current_state_ns_i_0_15)) + )) + (net current_state_14 (joined + (portRef Q (instanceRef current_state_14)) + (portRef C (instanceRef rom_read_addr_0)) + (portRef B (instanceRef un1_next_address_0_sqmuxa_0_a2_0)) + (portRef B (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U)) + (portRef A (instanceRef current_state_ns_0_i_RNO_4)) + (portRef B (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef A (instanceRef current_state_ns_0_i_s_RNO_2)) + )) + (net N_5931 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_2)) + (portRef B (instanceRef current_state_ns_i_0_0_15)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) + (portRef B (instanceRef current_state_ns_0_i_s_RNO_2)) + )) + (net N_90 (joined + (portRef Z (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef A (instanceRef current_state_ns_i_0_0_15)) + (portRef A (instanceRef current_state_ns_i_o2_i_o2_RNI1KDC_15)) + (portRef C (instanceRef current_state_ns_0_i_RNO_5)) + (portRef C (instanceRef current_state_ns_0_i_RNO_4)) + (portRef D (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + (portRef D (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef D (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) + (portRef D (instanceRef current_state_ns_0_i_s_RNO_2)) + )) + (net N_121 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_RNO_2)) + (portRef A (instanceRef current_state_ns_0_i_s_2)) )) (net current_state_3 (joined (portRef Q (instanceRef current_state_3)) - (portRef D (instanceRef current_state_ns_i_a3_15)) - (portRef B (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef B (instanceRef reg_fsm_length_3_15)) - (portRef B (instanceRef current_state_ns_0_a3_6_6)) - (portRef B (instanceRef next_state_0_sqmuxa_1)) - (portRef B (instanceRef current_state_ns_i_am_8)) - (portRef D (instanceRef addr_counter_enable_RNO)) - )) - (net un1_next_state_0_sqmuxa_0_i (joined - (portRef Z (instanceRef addr_counter_enable_RNO)) - (portRef SP (instanceRef addr_counter_enable)) + (portRef C (instanceRef un1_current_state3)) + (portRef A (instanceRef addr_counter_enable_RNO)) + (portRef D (instanceRef current_state_ns_i_0_0_15)) + (portRef B (instanceRef next_address_i_o2_0)) + (portRef A (instanceRef fsm_un22_api_dataready_in_RNILM2I)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) )) - (net N_4494 (joined - (portRef Z (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) - (portRef B (instanceRef current_state_ns_0_i_6)) - (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_2)) - (portRef B (instanceRef address_RNI0T3Q_5)) - (portRef A (instanceRef address_RNIS84Q_7)) + (net buf_APL_PACKET_NUM_OUT_9 (joined + (portRef (member buf_apl_packet_num_out 2)) + (portRef (member buf_apl_packet_num_out 2) (instanceRef the_addresses)) + (portRef C (instanceRef current_state_ns_i_0_0_15)) + (portRef B (instanceRef current_state_ns_i_o2_i_o2_RNI1KDC_15)) + (portRef A (instanceRef fsm_un24_api_dataready_in_i)) + (portRef A (instanceRef fsm_un22_api_dataready_in)) + (portRef A (instanceRef N_88_i_0_a2)) + (portRef A (instanceRef next_address_1_sqmuxa_i_o2)) + (portRef B (instanceRef current_state_ns_0_i_RNO_5)) + (portRef B (instanceRef current_state_ns_0_i_RNO_4)) + (portRef C (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + (portRef C (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef C (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) + (portRef C (instanceRef current_state_ns_0_i_s_RNO_2)) )) - (net next_global_time_write_6_sqmuxa (joined - (portRef Z (instanceRef next_global_time_write_6_sqmuxa)) - (portRef C (instanceRef current_state_ns_0_i_18)) - (portRef A (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (net N_132 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_RNIEG9I_2)) + (portRef A (instanceRef current_state_ns_0_i_s_6)) + (portRef A (instanceRef un1_next_state_5_sqmuxa_i)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_i)) )) - (net current_state_9 (joined - (portRef Q (instanceRef current_state_9)) - (portRef C (instanceRef current_state_ns_i_9)) - (portRef D (instanceRef current_state_ns_0_i_17)) - (portRef B (instanceRef current_state_RNIIULQ_15)) - (portRef C (instanceRef current_state_ns_0_2_6)) - (portRef B (instanceRef next_Reg_low_1_sqmuxa_2)) - (portRef B (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) - (portRef B (instanceRef next_address_1_sqmuxa_1)) - (portRef B (instanceRef current_state_ns_i_a3_0_9)) - (portRef B (instanceRef buf_API_READ_OUT_RNO)) - (portRef B (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (net N_5982 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_RNIK67T_2)) + (portRef A (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) + (portRef B (instanceRef current_state_ns_0_i_s_10)) )) - (net N_2060_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_3)) - (portRef A (instanceRef current_state_ns_0_i_3)) - (portRef A (instanceRef current_state_ns_0_i_17)) - (portRef A (instanceRef current_state_ns_i_1_9)) - (portRef A (instanceRef next_global_time_write_3_sqmuxa)) - (portRef A (instanceRef current_state_RNIIULQ_15)) - (portRef A (instanceRef next_Reg_low_1_sqmuxa_2)) - (portRef C (instanceRef buf_API_READ_OUT_RNO)) - (portRef C (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + (net rom_read_addr_1_sqmuxa (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa)) + (portRef D (instanceRef rom_read_addr_0)) + (portRef B (instanceRef rom_read_addr_0_2)) + (portRef B (instanceRef rom_read_addr_0_1)) + (portRef A (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U)) + (portRef A (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + )) + (net rom_read_addr_sn_N_2 (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U_0)) + (portRef rom_read_addr_sn_N_2 (instanceRef board_rom)) + (portRef C (instanceRef rom_read_addr_2)) + (portRef C (instanceRef rom_read_addr_1)) )) (net GND (joined (portRef GND) (portRef GND (instanceRef board_rom)) (portRef GND (instanceRef the_addresses)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef C1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef B1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef C0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) + (portRef GND (instanceRef pattern_gen_inst)) (portRef C1 (instanceRef un3_local_time_i_1_s_7_0)) (portRef B1 (instanceRef un3_local_time_i_1_s_7_0)) (portRef A1 (instanceRef un3_local_time_i_1_s_7_0)) @@ -92445,13 +92967,73 @@ (portRef B1 (instanceRef un3_local_time_i_1_cry_0_0)) (portRef C0 (instanceRef un3_local_time_i_1_cry_0_0)) (portRef A0 (instanceRef un3_local_time_i_1_cry_0_0)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) + (portRef C1 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef B1 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef A1 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef C0 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef B0 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef C1 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef B1 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef C0 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef C1 (instanceRef length_s_0_15)) + (portRef B1 (instanceRef length_s_0_15)) + (portRef A1 (instanceRef length_s_0_15)) + (portRef C0 (instanceRef length_s_0_15)) + (portRef C1 (instanceRef length_cry_0_13)) + (portRef C0 (instanceRef length_cry_0_13)) + (portRef C1 (instanceRef length_cry_0_11)) + (portRef C0 (instanceRef length_cry_0_11)) + (portRef C1 (instanceRef length_cry_0_9)) + (portRef C0 (instanceRef length_cry_0_9)) + (portRef C1 (instanceRef length_cry_0_7)) + (portRef C0 (instanceRef length_cry_0_7)) + (portRef C1 (instanceRef length_cry_0_5)) + (portRef C0 (instanceRef length_cry_0_5)) + (portRef C1 (instanceRef length_cry_0_3)) + (portRef C0 (instanceRef length_cry_0_3)) + (portRef C1 (instanceRef length_cry_0_1)) + (portRef C0 (instanceRef length_cry_0_1)) + (portRef CIN (instanceRef length_cry_0_0)) + (portRef C1 (instanceRef length_cry_0_0)) + (portRef C0 (instanceRef length_cry_0_0)) + (portRef B0 (instanceRef length_cry_0_0)) + (portRef A0 (instanceRef length_cry_0_0)) + (portRef C1 (instanceRef global_time_i_s_0_31)) + (portRef B1 (instanceRef global_time_i_s_0_31)) + (portRef A1 (instanceRef global_time_i_s_0_31)) + (portRef CIN (instanceRef global_time_i_cry_0_0)) + (portRef C0 (instanceRef global_time_i_cry_0_0)) + (portRef A0 (instanceRef global_time_i_cry_0_0)) (portRef C1 (instanceRef time_since_last_trg_i_s_0_31)) (portRef B1 (instanceRef time_since_last_trg_i_s_0_31)) (portRef A1 (instanceRef time_since_last_trg_i_s_0_31)) @@ -92490,12 +93072,6 @@ (portRef B1 (instanceRef time_since_last_trg_i_cry_0_0)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_0)) (portRef A0 (instanceRef time_since_last_trg_i_cry_0_0)) - (portRef C1 (instanceRef global_time_i_s_0_31)) - (portRef B1 (instanceRef global_time_i_s_0_31)) - (portRef A1 (instanceRef global_time_i_s_0_31)) - (portRef CIN (instanceRef global_time_i_cry_0_0)) - (portRef C0 (instanceRef global_time_i_cry_0_0)) - (portRef A0 (instanceRef global_time_i_cry_0_0)) (portRef C1 (instanceRef next_address_i_m2_s_15_0)) (portRef B1 (instanceRef next_address_i_m2_s_15_0)) (portRef A1 (instanceRef next_address_i_m2_s_15_0)) @@ -92533,71 +93109,50 @@ (portRef C0 (instanceRef next_address_i_m2_cry_0_0)) (portRef A0 (instanceRef next_address_i_m2_cry_0_0)) )) + (net N_21_i (joined + (portRef Z (instanceRef buf_API_READ_OUT_RNO)) + (portRef D (instanceRef buf_API_READ_OUT)) + )) + (net N_5984 (joined + (portRef Z (instanceRef current_state_ns_0_i_a2_18)) + (portRef B (instanceRef current_state_ns_0_i_s_18)) + (portRef A (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) + )) (net next_DAT_WRITE_ENABLE_OUT_0_i (joined (portRef Z (instanceRef buf_DAT_WRITE_ENABLE_OUT_RNO)) (portRef D (instanceRef buf_DAT_WRITE_ENABLE_OUT)) )) - (net global_time_15 (joined - (portRef Q (instanceRef global_time_i_15)) - (portRef C0 (instanceRef global_time_i_cry_0_15)) - (portRef B (instanceRef next_API_DATA_OUT_4_15)) - (portRef A (instanceRef next_API_DATA_OUT_17_RNO_11)) - )) - (net dat_data_counter_11 (joined - (portRef Q (instanceRef dat_data_counter_11)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef B (instanceRef next_API_DATA_OUT_17_RNO_11)) - )) - (net N_2478 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_11)) - (portRef A (instanceRef next_API_DATA_OUT_17_11)) - )) - (net global_time_10 (joined - (portRef Q (instanceRef global_time_i_10)) - (portRef C1 (instanceRef global_time_i_cry_0_9)) - (portRef B (instanceRef next_API_DATA_OUT_15_am_10)) - (portRef A (instanceRef next_API_DATA_OUT_20_RNO_6)) - )) - (net dat_data_counter_6 (joined - (portRef Q (instanceRef dat_data_counter_6)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef B (instanceRef next_API_DATA_OUT_20_RNO_6)) - )) - (net N_2473 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_RNO_6)) - (portRef A (instanceRef next_API_DATA_OUT_20_6)) - )) - (net global_time_17 (joined - (portRef Q (instanceRef global_time_i_17)) - (portRef C0 (instanceRef global_time_i_cry_0_17)) - (portRef B (instanceRef next_API_DATA_OUT_6_1)) - (portRef A (instanceRef next_API_DATA_OUT_20_RNO_13)) - )) - (net dat_data_counter_13 (joined - (portRef Q (instanceRef dat_data_counter_13)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef B (instanceRef next_API_DATA_OUT_20_RNO_13)) - )) - (net N_2480 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_RNO_13)) - (portRef A (instanceRef next_API_DATA_OUT_20_13)) - )) (net global_time_7 (joined (portRef Q (instanceRef global_time_i_0_7)) (portRef C0 (instanceRef global_time_i_cry_0_7)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_7)) (portRef B (instanceRef TIMER_MS_TICK_0_sqmuxa_7)) - (portRef B (instanceRef next_API_DATA_OUT_4_7)) (portRef A (instanceRef next_API_DATA_OUT_17_RNO_3)) )) (net dat_data_counter_3 (joined (portRef Q (instanceRef dat_data_counter_3)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_3_0)) (portRef B (instanceRef next_API_DATA_OUT_17_RNO_3)) )) - (net N_2470 (joined + (net N_2477 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_3)) (portRef A (instanceRef next_API_DATA_OUT_17_3)) )) + (net global_time_18 (joined + (portRef Q (instanceRef global_time_i_18)) + (portRef C1 (instanceRef global_time_i_cry_0_17)) + (portRef B (instanceRef next_API_DATA_OUT_6_2)) + (portRef A (instanceRef next_API_DATA_OUT_17_RNO_14)) + )) + (net dat_data_counter_14 (joined + (portRef Q (instanceRef dat_data_counter_14)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef B (instanceRef next_API_DATA_OUT_17_RNO_14)) + )) + (net N_2488 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_14)) + (portRef A (instanceRef next_API_DATA_OUT_17_14)) + )) (net global_time_5 (joined (portRef Q (instanceRef global_time_i_0_5)) (portRef C0 (instanceRef global_time_i_cry_0_5)) @@ -92607,586 +93162,639 @@ )) (net dat_data_counter_1 (joined (portRef Q (instanceRef dat_data_counter_1)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_1_0)) (portRef B (instanceRef next_API_DATA_OUT_17_RNO_1)) )) - (net N_2468 (joined + (net N_2475 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_1)) (portRef A (instanceRef next_API_DATA_OUT_17_1)) )) + (net global_time_8 (joined + (portRef Q (instanceRef global_time_i_0_8)) + (portRef C1 (instanceRef global_time_i_cry_0_7)) + (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa_7)) + (portRef B (instanceRef next_API_DATA_OUT_4_8)) + (portRef A (instanceRef next_API_DATA_OUT_am_RNO_4)) + )) + (net dat_data_counter_4 (joined + (portRef Q (instanceRef dat_data_counter_4)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef B (instanceRef next_API_DATA_OUT_am_RNO_4)) + )) + (net N_2478 (joined + (portRef Z (instanceRef next_API_DATA_OUT_am_RNO_4)) + (portRef A (instanceRef next_API_DATA_OUT_am_4)) + )) + (net global_time_14 (joined + (portRef Q (instanceRef global_time_i_14)) + (portRef C1 (instanceRef global_time_i_cry_0_13)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_14)) + (portRef A (instanceRef next_API_DATA_OUT_17_RNO_10)) + )) + (net dat_data_counter_10 (joined + (portRef Q (instanceRef dat_data_counter_10)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef B (instanceRef next_API_DATA_OUT_17_RNO_10)) + )) + (net N_2484 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_10)) + (portRef A (instanceRef next_API_DATA_OUT_17_10)) + )) + (net global_time_15 (joined + (portRef Q (instanceRef global_time_i_15)) + (portRef C0 (instanceRef global_time_i_cry_0_15)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_15)) + (portRef A (instanceRef next_API_DATA_OUT_17_RNO_11)) + )) + (net dat_data_counter_11 (joined + (portRef Q (instanceRef dat_data_counter_11)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef B (instanceRef next_API_DATA_OUT_17_RNO_11)) + )) + (net N_2485 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_11)) + (portRef A (instanceRef next_API_DATA_OUT_17_11)) + )) + (net global_time_6 (joined + (portRef Q (instanceRef global_time_i_0_6)) + (portRef C1 (instanceRef global_time_i_cry_0_5)) + (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa_8)) + (portRef B (instanceRef next_API_DATA_OUT_4_6)) + (portRef A (instanceRef next_API_DATA_OUT_20_RNO_2)) + )) + (net dat_data_counter_2 (joined + (portRef Q (instanceRef dat_data_counter_2)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef B (instanceRef next_API_DATA_OUT_20_RNO_2)) + )) + (net N_2476 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_RNO_2)) + (portRef A (instanceRef next_API_DATA_OUT_20_2)) + )) (net global_time_13 (joined (portRef Q (instanceRef global_time_i_13)) (portRef C0 (instanceRef global_time_i_cry_0_13)) - (portRef B (instanceRef next_API_DATA_OUT_4_13)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_13)) (portRef A (instanceRef next_API_DATA_OUT_17_RNO_9)) )) (net dat_data_counter_9 (joined (portRef Q (instanceRef dat_data_counter_9)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_9_0)) (portRef B (instanceRef next_API_DATA_OUT_17_RNO_9)) )) - (net N_2476 (joined + (net N_2483 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_9)) (portRef A (instanceRef next_API_DATA_OUT_17_9)) )) - (net global_time_8 (joined - (portRef Q (instanceRef global_time_i_0_8)) - (portRef C1 (instanceRef global_time_i_cry_0_7)) - (portRef B (instanceRef next_API_DATA_OUT_15_am_8)) - (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa_7)) - (portRef A (instanceRef next_API_DATA_OUT_17_RNO_4)) - )) - (net dat_data_counter_4 (joined - (portRef Q (instanceRef dat_data_counter_4)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef B (instanceRef next_API_DATA_OUT_17_RNO_4)) - )) - (net N_2471 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_4)) - (portRef A (instanceRef next_API_DATA_OUT_17_4)) - )) (net global_time_11 (joined (portRef Q (instanceRef global_time_i_11)) (portRef C0 (instanceRef global_time_i_cry_0_11)) - (portRef B (instanceRef next_API_DATA_OUT_4_11)) + (portRef B (instanceRef next_API_DATA_OUT_4_i_m2_11)) (portRef A (instanceRef next_API_DATA_OUT_17_RNO_7)) )) (net dat_data_counter_7 (joined (portRef Q (instanceRef dat_data_counter_7)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_7_0)) (portRef B (instanceRef next_API_DATA_OUT_17_RNO_7)) )) - (net N_2474 (joined + (net N_2481 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_RNO_7)) (portRef A (instanceRef next_API_DATA_OUT_17_7)) )) - (net N_2133 (joined - (portRef Z (instanceRef next_API_READ_OUT_2_sqmuxa)) - (portRef B (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) - (portRef C (instanceRef current_state_ns_0_i_17)) - (portRef A (instanceRef buf_API_READ_OUT_RNO)) - )) - (net next_API_READ_OUT_0 (joined - (portRef Z (instanceRef buf_API_READ_OUT_RNO)) - (portRef D (instanceRef buf_API_READ_OUT)) - )) (net packet_counter_1 (joined (portRef Q (instanceRef packet_counter_1)) - (portRef D (instanceRef current_state_ns_i_a2_8)) + (portRef D (instanceRef packet_counter_RNIHNC81_1_1)) (portRef D (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef D (instanceRef packet_counter_RNIHNC81_1)) - (portRef B (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef B (instanceRef packet_counter_RNIHNC81_1)) )) (net packet_counter_0 (joined (portRef Q (instanceRef packet_counter_0)) - (portRef C (instanceRef current_state_ns_i_a2_8)) + (portRef C (instanceRef packet_counter_RNIHNC81_1_1)) (portRef C (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef C (instanceRef packet_counter_RNIHNC81_1)) (portRef C (instanceRef packet_counter_RNIBUIU_0)) - (portRef C (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef C (instanceRef packet_counter_RNIHNC81_1)) )) - (net N_2064_0 (joined - (portRef Z (instanceRef packet_counter_RNIHNC81_1_1)) - (portRef A (instanceRef current_state_ns_0_i_12)) - (portRef A (instanceRef current_state_ns_0_i_2)) - (portRef C (instanceRef current_state_ns_i_16)) - (portRef A (instanceRef current_state_ns_i_m4_11)) + (net N_2108 (joined + (portRef Z (instanceRef current_state_ns_0_i_RNO_4)) + (portRef B (instanceRef current_state_ns_0_i_4)) )) - (net regio_addr_i_6 (joined - (portRef Q (instanceRef address_6)) - (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) - (portRef D (instanceRef next_API_DATA_OUT_18_bm_8)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_6)) - (portRef SD (instanceRef next_API_DATA_OUT_12_3)) - (portRef SD (instanceRef next_API_DATA_OUT_12_4)) - (portRef SD (instanceRef next_API_DATA_OUT_12_1)) - (portRef SD (instanceRef next_API_DATA_OUT_12_0)) - (portRef SD (instanceRef next_API_DATA_OUT_12_2)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) - (portRef D (instanceRef next_API_DATA_OUT_12_14)) - (portRef D (instanceRef next_API_DATA_OUT_12_15)) - (portRef D (instanceRef next_API_DATA_OUT_12_12)) - (portRef D (instanceRef next_API_DATA_OUT_12_6)) - (portRef C0 (instanceRef next_API_DATA_OUT_12_10)) - (portRef D (instanceRef next_API_DATA_OUT_12_13)) - (portRef D (instanceRef next_API_DATA_OUT_12_5)) - (portRef D (instanceRef next_API_DATA_OUT_12_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_12_7)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_6)) - (portRef D (instanceRef next_API_DATA_OUT_14_bm_10)) - (portRef C (instanceRef next_address_i_m2_cry_5_0_RNO_0)) - (portRef D (instanceRef next_unknown_1_sqmuxa_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_13)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_1_11)) - (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) - (portRef C (instanceRef rom_read_addr_1_sqmuxa_1)) - (portRef A (instanceRef address_RNI5G1_7)) - (portRef A (instanceRef fsm_un38_api_dataready_in_i_o2)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_3)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_2)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) - (portRef A (instanceRef next_API_DATA_OUT_18_bm_9)) - (portRef D (instanceRef address_RNI6G2_4)) - (portRef C (instanceRef address_RNIGEKU_7)) - (portRef A (instanceRef address_RNI0T3Q_5)) - (portRef D (instanceRef address_RNIS84Q_7)) - (portRef regio_addr_i_6) + (net next_global_time_write_1_sqmuxa (joined + (portRef Z (instanceRef next_global_time_write_3_sqmuxa_0_RNIBN9D)) + (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_3)) + (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_2)) )) - (net regio_addr_i_7 (joined - (portRef Q (instanceRef address_7)) - (portRef C0 (instanceRef next_API_DATA_OUT_18_14)) - (portRef C0 (instanceRef next_API_DATA_OUT_18_12)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_0)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_3)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_6)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_4)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_2)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_1)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_5)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_15)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_13)) - (portRef C (instanceRef next_API_DATA_OUT_21_bm_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_18_8)) - (portRef SD (instanceRef next_API_DATA_OUT_18_10)) - (portRef SD (instanceRef next_API_DATA_OUT_18_7)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_7)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_6)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_8)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_14)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_9)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_13)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_12)) - (portRef SD (instanceRef next_API_DATA_OUT_14_i_m2_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_10)) - (portRef C (instanceRef next_address_i_m2_cry_7_0_RNO)) - (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_8)) - (portRef B (instanceRef rom_read_addr_1_sqmuxa_2)) - (portRef B (instanceRef address_RNI5G1_7)) - (portRef B (instanceRef fsm_un38_api_dataready_in_i_o2)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_7)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_14_i_m2_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_18_9)) - (portRef C (instanceRef address_RNI6G2_4)) - (portRef B (instanceRef address_RNIGEKU_7)) - (portRef C (instanceRef address_RNI0T3Q_5)) - (portRef C (instanceRef address_RNIS84Q_7)) - (portRef regio_addr_i_7) - )) - (net next_global_time_write_2_sqmuxa (joined - (portRef Z (instanceRef address_RNI0T3Q_5)) - (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) - (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) - )) - (net ctrl_reg_14 (joined - (portRef Q (instanceRef gen_regout_0_gen_regoutff1_14_gen_regoutff_buf_REGISTERS_OUT_14)) - (portRef A (instanceRef next_API_DATA_OUT_12_RNO_14)) - )) - (net un254_next_api_data_out_14 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) - (portRef B (instanceRef next_API_DATA_OUT_12_RNO_14)) - )) - (net regio_addr_i_1_1 (joined - (portRef Q (instanceRef address_1_1)) - (portRef (member regio_addr_i_1 0) (instanceRef pattern_gen_inst)) - (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) - (portRef A (instanceRef un225_next_api_data_out_1_axbxc3)) - (portRef A (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) - (portRef B (instanceRef address_0_rep1_RNIKOAD)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_12)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef A (instanceRef un225_next_api_data_out_1_ac0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) - (portRef A (instanceRef un225_next_api_data_out_1_p4)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_11)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_13)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m263_6_03_0)) - (portRef D (instanceRef next_API_DATA_OUT_12_RNO_14)) - (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_0)) - (portRef (member regio_addr_i_1 0)) - )) - (net N_2414 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_RNO_14)) - (portRef A (instanceRef next_API_DATA_OUT_12_14)) - )) - (net current_state_0 (joined - (portRef Q (instanceRef current_state_0)) - (portRef B (instanceRef current_state_ns_0_i_18)) - (portRef C (instanceRef un1_next_nomoredata_1_sqmuxa_0)) - (portRef B (instanceRef current_state_ns_0_0_6)) - (portRef A (instanceRef current_state_ns_i_o4_16)) - (portRef D (instanceRef current_state_ns_i_RNO_16)) - (portRef A (instanceRef current_state_RNI20CG_8)) - )) - (net N_2062_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_6)) - (portRef A (instanceRef next_nomoredata_1_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_10)) - (portRef B (instanceRef current_state_ns_i_16)) - (portRef A (instanceRef un1_next_nomoredata_1_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_18)) - (portRef A (instanceRef un1_next_nomoredata_1_sqmuxa_0)) - (portRef A (instanceRef next_API_READ_OUT_2_sqmuxa)) - (portRef B (instanceRef current_state_RNI20CG_8)) - )) - (net regio_dataready_i (joined - (portRef regio_dataready_i) - (portRef B (instanceRef next_nomoredata_1_sqmuxa)) - (portRef C (instanceRef DAT_DATAREADY_IN_befored)) - (portRef D (instanceRef current_state_ns_i_m4_11)) - (portRef B (instanceRef next_state_2_sqmuxa_0)) - (portRef A (instanceRef current_state_ns_0_a2_0_2_12)) - (portRef SP (instanceRef buf_DAT_DATA_IN_31)) - (portRef SP (instanceRef buf_DAT_DATA_IN_30)) - (portRef SP (instanceRef buf_DAT_DATA_IN_29)) - (portRef SP (instanceRef buf_DAT_DATA_IN_28)) - (portRef SP (instanceRef buf_DAT_DATA_IN_27)) - (portRef SP (instanceRef buf_DAT_DATA_IN_26)) - (portRef SP (instanceRef buf_DAT_DATA_IN_25)) - (portRef SP (instanceRef buf_DAT_DATA_IN_24)) - (portRef SP (instanceRef buf_DAT_DATA_IN_23)) - (portRef SP (instanceRef buf_DAT_DATA_IN_22)) - (portRef SP (instanceRef buf_DAT_DATA_IN_21)) - (portRef SP (instanceRef buf_DAT_DATA_IN_20)) - (portRef SP (instanceRef buf_DAT_DATA_IN_19)) - (portRef SP (instanceRef buf_DAT_DATA_IN_18)) - (portRef SP (instanceRef buf_DAT_DATA_IN_17)) - (portRef SP (instanceRef buf_DAT_DATA_IN_16)) - (portRef SP (instanceRef buf_DAT_DATA_IN_15)) - (portRef SP (instanceRef buf_DAT_DATA_IN_14)) - (portRef SP (instanceRef buf_DAT_DATA_IN_13)) - (portRef SP (instanceRef buf_DAT_DATA_IN_12)) - (portRef SP (instanceRef buf_DAT_DATA_IN_11)) - (portRef SP (instanceRef buf_DAT_DATA_IN_10)) - (portRef SP (instanceRef buf_DAT_DATA_IN_9)) - (portRef SP (instanceRef buf_DAT_DATA_IN_8)) - (portRef SP (instanceRef buf_DAT_DATA_IN_7)) - (portRef SP (instanceRef buf_DAT_DATA_IN_6)) - (portRef SP (instanceRef buf_DAT_DATA_IN_5)) - (portRef SP (instanceRef buf_DAT_DATA_IN_4)) - (portRef SP (instanceRef buf_DAT_DATA_IN_3)) - (portRef SP (instanceRef buf_DAT_DATA_IN_2)) - (portRef SP (instanceRef buf_DAT_DATA_IN_1)) - (portRef SP (instanceRef buf_DAT_DATA_IN_0)) - (portRef C (instanceRef current_state_RNI20CG_8)) - (portRef D (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) + (net un22_api_dataready_in (joined + (portRef Z (instanceRef fsm_un22_api_dataready_in)) + (portRef C (instanceRef next_state_0_sqmuxa)) + (portRef D (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) + (portRef B (instanceRef fsm_un22_api_dataready_in_RNILM2I)) )) - (net current_state_8 (joined - (portRef Q (instanceRef current_state_8)) - (portRef C (instanceRef next_nomoredata_1_sqmuxa)) - (portRef C (instanceRef current_state_ns_i_m4_11)) - (portRef A (instanceRef next_state_2_sqmuxa_0)) - (portRef D (instanceRef current_state_RNI20CG_8)) + (net N_86 (joined + (portRef Z (instanceRef current_state_ns_i_o2_i_o2_9)) + (portRef A (instanceRef current_state_ns_0_a3_7)) + (portRef A (instanceRef next_state_0_sqmuxa)) + (portRef A (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef D (instanceRef fsm_un22_api_dataready_in_RNILM2I)) )) - (net un1_current_state_9_0_0 (joined - (portRef Z (instanceRef current_state_RNI20CG_8)) - (portRef C (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) + (net next_state_0_sqmuxa_1 (joined + (portRef Z (instanceRef fsm_un22_api_dataready_in_RNILM2I)) + (portRef C (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) + (portRef C (instanceRef current_state_RNO_10)) + (portRef C (instanceRef length_lm_0_14)) + (portRef C (instanceRef length_lm_0_13)) + (portRef C (instanceRef length_lm_0_12)) + (portRef C (instanceRef length_lm_0_11)) + (portRef C (instanceRef length_lm_0_10)) + (portRef C (instanceRef length_lm_0_9)) + (portRef C (instanceRef length_lm_0_8)) + (portRef C (instanceRef length_lm_0_7)) + (portRef C (instanceRef length_lm_0_6)) + (portRef C (instanceRef length_lm_0_5)) + (portRef C (instanceRef length_lm_0_4)) + (portRef C (instanceRef length_lm_0_3)) + (portRef C (instanceRef length_lm_0_2)) + (portRef C (instanceRef length_lm_0_1)) + (portRef C (instanceRef length_lm_0_0)) + (portRef B (instanceRef length_lm_0_15)) )) - (net next_API_DATA_OUT_sn_N_26 (joined - (portRef Z (instanceRef address_RNIGEKU_7)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_0)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_3)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_6)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_4)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_2)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_1)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_5)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_15)) - (portRef C (instanceRef next_API_DATA_OUT_21_am_13)) - (portRef C (instanceRef next_API_DATA_OUT_19_7)) - (portRef SD (instanceRef next_API_DATA_OUT_19_8)) - (portRef SD (instanceRef next_API_DATA_OUT_19_14)) - (portRef SD (instanceRef next_API_DATA_OUT_19_12)) + (net current_state_13 (joined + (portRef Q (instanceRef current_state_13)) + (portRef C (instanceRef current_state_ns_0_i_3)) + (portRef A (instanceRef un1_next_address_0_sqmuxa_0_a2_0)) + (portRef A (instanceRef current_state_ns_0_i_RNO_5)) )) - (net N_2069_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_1_RNI8P211_17)) - (portRef B (instanceRef current_state_ns_0_i_17)) - (portRef B (instanceRef current_state_ns_i_1_9)) - (portRef A (instanceRef current_state_ns_0_2_6)) + (net N_2110 (joined + (portRef Z (instanceRef current_state_ns_0_i_RNO_5)) + (portRef B (instanceRef current_state_ns_0_i_5)) )) - (net buf_API_DATA_OUT_5 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_5)) - (portRef B (instanceRef next_API_DATA_OUT_10_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (net un254_next_api_data_out_14 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_1_RNO_14)) )) - (net ADR_DATA_OUT_5 (joined - (portRef (member adr_data_out 10) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (net N_367 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_1_RNO_14)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_1_14)) )) (net current_state_5 (joined (portRef Q (instanceRef current_state_5)) (portRef (member current_state 0) (instanceRef the_addresses)) - (portRef B (instanceRef current_state_ns_0_0_12)) + (portRef C (instanceRef API_SEND_OUT)) + (portRef B (instanceRef current_state_ns_0_i_s_0_12)) (portRef B (instanceRef current_state_ns_0_i_13)) - (portRef B (instanceRef buf_API_PACKET_NUM_OUT_2_0)) (portRef C (instanceRef ADR_READ_IN)) - (portRef B (instanceRef un10_current_state_i_a2)) (portRef B (instanceRef buf_API_PACKET_NUM_OUT_2_2)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) - (portRef C (instanceRef buf_API_DATA_OUT_RNIATLF_15)) + (portRef B (instanceRef un10_current_state_i_a2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) (portRef C (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (portRef C (instanceRef buf_API_READ_OUT_RNIVRB4)) + (portRef C (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) (portRef C (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (portRef C (instanceRef buf_API_DATA_OUT_RNIATLF_15)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (portRef C (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (portRef C (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) (portRef C (instanceRef buf_API_DATA_OUT_RNI45LF_12)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) (portRef C (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) (portRef C (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) - (portRef C (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) - (portRef C (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) - (portRef C (instanceRef buf_API_SEND_OUT_RNI0FRI)) - (portRef C (instanceRef buf_API_READ_OUT_RNIVRB4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) (portRef C (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) - )) - (net current_state_4 (joined - (portRef Q (instanceRef current_state_4)) - (portRef (member current_state 1) (instanceRef the_addresses)) - (portRef A (instanceRef current_state_ns_0_a3_0_0_13)) - (portRef C (instanceRef current_state_ns_0_0_6)) - (portRef A (instanceRef next_dont_understand_1_sqmuxa)) - (portRef B (instanceRef ADR_READ_IN)) - (portRef A (instanceRef un10_current_state_i_a2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIATLF_15)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI45LF_12)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) - (portRef D (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) - (portRef D (instanceRef buf_API_SEND_OUT_RNI0FRI)) - (portRef D (instanceRef buf_API_READ_OUT_RNIVRB4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) - )) - (net buf_APL_DATA_IN_53 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) - (portRef (member buf_apl_data_in 10)) - )) - (net buf_API_DATA_OUT_4 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_4)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - )) - (net ADR_DATA_OUT_4 (joined - (portRef (member adr_data_out 11) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - )) - (net buf_APL_DATA_IN_52 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) - (portRef (member buf_apl_data_in 11)) - )) - (net buf_API_DATA_OUT_2 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_2)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) - )) - (net ADR_DATA_OUT_2 (joined - (portRef (member adr_data_out 13) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) - )) - (net buf_APL_DATA_IN_50 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) - (portRef (member buf_apl_data_in 13)) - )) - (net buf_API_DATA_OUT_8 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_8)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (portRef A (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) )) - (net ADR_DATA_OUT_8 (joined - (portRef (member adr_data_out 7) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (net state_3 (joined + (portRef state_2 (instanceRef the_addresses)) + (portRef C (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + (portRef C (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) - (portRef (member buf_apl_data_in 7)) + (net state_1 (joined + (portRef state_0 (instanceRef the_addresses)) + (portRef D (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + (portRef D (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) )) - (net buf_API_READ_OUT (joined - (portRef Q (instanceRef buf_API_READ_OUT)) - (portRef A (instanceRef buf_API_READ_OUT_RNIVRB4)) + (net buf_API_PACKET_NUM_OUT_2_0 (joined + (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_RNO_0)) + (portRef D (instanceRef buf_API_PACKET_NUM_OUT_0)) )) - (net ADR_READ_OUT (joined - (portRef ADR_READ_OUT (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_READ_OUT_RNIVRB4)) + (net current_state_11 (joined + (portRef Q (instanceRef current_state_11)) + (portRef B (instanceRef addr_counter_enable_RNO)) + (portRef C (instanceRef current_state_ns_i_i_0_9)) + (portRef C (instanceRef current_state_ns_0_a3_7)) + (portRef C (instanceRef next_address_i_o2_0)) + (portRef A (instanceRef current_state_ns_i_i_a2_RNO_9)) )) - (net buf_APL_READ_IN_3 (joined - (portRef Z (instanceRef buf_API_READ_OUT_RNIVRB4)) - (portRef (member buf_apl_read_in 0)) + (net current_state_ns_i_i_o2_0_9 (joined + (portRef Z (instanceRef current_state_ns_i_i_a2_RNO_9)) + (portRef D (instanceRef current_state_ns_i_i_a2_9)) )) - (net delayed_buf_API_SEND_OUT_Q (joined - (portRef delayed_buf_API_SEND_OUT_Q (instanceRef the_addresses)) - (portRef D (instanceRef current_state_ns_0_0_12)) - (portRef D (instanceRef current_state_ns_0_i_14)) - (portRef D (instanceRef current_state_ns_0_i_13)) - (portRef A (instanceRef buf_API_SEND_OUT_RNI0FRI)) + (net un1_tmg_edge_found_i_axb_8 (joined + (portRef un1_tmg_edge_found_i_axb_8) + (portRef B (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) )) - (net buf_API_SEND_OUT (joined - (portRef Q (instanceRef buf_API_SEND_OUT)) - (portRef B (instanceRef buf_API_SEND_OUT_RNI0FRI)) + (net m264_6_03 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) + (portRef C (instanceRef next_API_DATA_OUT_8_bm_8)) )) - (net un1_THE_ENDPOINT_6 (joined - (portRef Z (instanceRef buf_API_SEND_OUT_RNI0FRI)) - (portRef (member un1_the_endpoint 0)) + (net regio_addr_i_2_0 (joined + (portRef Q (instanceRef address_2_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m512_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m515_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m518_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_6)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_3)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m513_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) )) - (net buf_API_PACKET_NUM_OUT_Q_2 (joined - (portRef Q (instanceRef buf_API_PACKET_NUM_OUT_2)) - (portRef A (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (net common_stat_reg_i_57 (joined + (portRef common_stat_reg_i_57) + (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) )) - (net buf_APL_PACKET_NUM_IN_2 (joined - (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) - (portRef buf_APL_PACKET_NUM_IN_2) + (net regio_addr_i_2 (joined + (portRef Q (instanceRef address_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) + (portRef B (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_2)) + (portRef C (instanceRef next_address_i_m2_cry_1_0_RNO_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) + (portRef C (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef C (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) + (portRef B (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) + (portRef C (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) + (portRef A (instanceRef address_RNITT_4)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) + (portRef B (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) + (portRef C (instanceRef un225_next_api_data_out_1_p4)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_am)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2)) + (portRef regio_addr_i_2) )) - (net buf_API_DATA_OUT_0 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_0)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (net N_482 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) )) - (net ADR_DATA_OUT_0 (joined - (portRef (member adr_data_out 15) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (net next_API_DATA_OUT_sn_N_26 (joined + (portRef Z (instanceRef address_RNIGEKU_6)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_0)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_1)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_6)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_5)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_3)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_2)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_8)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_12)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_13)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_10)) + (portRef C (instanceRef next_API_DATA_OUT_21_am_11)) + (portRef SD (instanceRef next_API_DATA_OUT_19_4)) + (portRef SD (instanceRef next_API_DATA_OUT_19_7)) + (portRef SD (instanceRef next_API_DATA_OUT_19_14)) + (portRef SD (instanceRef next_API_DATA_OUT_19_15)) + (portRef SD (instanceRef next_API_DATA_OUT_19_9)) )) - (net buf_APL_DATA_IN_48 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) - (portRef (member buf_apl_data_in 15)) + (net buf_api_stat_fifo_to_apl_106 (joined + (portRef (member buf_api_stat_fifo_to_apl 0)) + (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef the_addresses)) + (portRef B (instanceRef current_state_ns_0_i_s_2_6)) + (portRef B (instanceRef next_state_0_sqmuxa)) + (portRef B (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef B (instanceRef current_state_ns_0_i_a2_18)) + (portRef B (instanceRef current_state_ns_i_i_a2_2_9)) + (portRef A (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) + (portRef C (instanceRef next_unknown_1_sqmuxa_1)) + (portRef D (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef C (instanceRef next_address_1_sqmuxa_i_o2_0)) + (portRef D (instanceRef next_COMMON_CTRL_REG_STROBE_1)) + (portRef B (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef B (instanceRef N_88_i_0_a2)) + (portRef B (instanceRef next_address_1_sqmuxa_i_o2)) + (portRef C (instanceRef fsm_un22_api_dataready_in_RNILM2I)) )) - (net buf_API_DATA_OUT_1 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_1)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (net buf_APL_PACKET_NUM_OUT_11 (joined + (portRef (member buf_apl_packet_num_out 0)) + (portRef (member buf_apl_packet_num_out 0) (instanceRef the_addresses)) + (portRef C (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef C (instanceRef fsm_un24_api_dataready_in_i)) + (portRef C (instanceRef fsm_un22_api_dataready_in)) + (portRef B (instanceRef next_address_1_sqmuxa_i_o2_0)) + (portRef C (instanceRef N_88_i_0_a2)) + (portRef C (instanceRef next_address_1_sqmuxa_i_o2)) )) - (net ADR_DATA_OUT_1 (joined - (portRef (member adr_data_out 14) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (net buf_APL_PACKET_NUM_OUT_10 (joined + (portRef (member buf_apl_packet_num_out 1)) + (portRef (member buf_apl_packet_num_out 1) (instanceRef the_addresses)) + (portRef B (instanceRef current_state_ns_i_o2_i_o2_15)) + (portRef B (instanceRef fsm_un24_api_dataready_in_i)) + (portRef B (instanceRef fsm_un22_api_dataready_in)) + (portRef A (instanceRef next_address_1_sqmuxa_i_o2_0)) + (portRef D (instanceRef N_88_i_0_a2)) + (portRef D (instanceRef next_address_1_sqmuxa_i_o2)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) - (portRef (member buf_apl_data_in 14)) + (net regio_addr_i_1_0 (joined + (portRef Q (instanceRef address_1_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m515_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m262_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m518_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_3)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_4)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO)) + (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_1)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8_RNO)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m587_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m207_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m589_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m578_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m205_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m577_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m581_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m591_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m586_4_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) )) - (net buf_API_DATA_OUT_3 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_3)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (net temperature_1 (joined + (portRef (member temperature 10)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) )) - (net ADR_DATA_OUT_3 (joined - (portRef (member adr_data_out 12) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (net N_506 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) - (portRef (member buf_apl_data_in 12)) + (net buf_API_DATA_OUT_4 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_4)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) )) - (net buf_API_DATA_OUT_6 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_6)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) + (net ADR_DATA_OUT_4 (joined + (portRef (member adr_data_out 11) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) )) - (net ADR_DATA_OUT_6 (joined - (portRef (member adr_data_out 9) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) + (net current_state_4 (joined + (portRef Q (instanceRef current_state_4)) + (portRef (member current_state 1) (instanceRef the_addresses)) + (portRef A (instanceRef current_state_ns_0_a3_0_0_13)) + (portRef D (instanceRef API_SEND_OUT)) + (portRef C (instanceRef current_state_ns_0_i_s_0_6)) + (portRef A (instanceRef next_dont_understand_1_sqmuxa)) + (portRef B (instanceRef ADR_READ_IN)) + (portRef A (instanceRef un10_current_state_i_a2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (portRef D (instanceRef buf_API_READ_OUT_RNIVRB4)) + (portRef D (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIATLF_15)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI45LF_12)) + (portRef D (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) + (portRef D (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) + (portRef D (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) - (portRef (member buf_apl_data_in 9)) + (net buf_APL_DATA_IN_4 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI6U9Q_4)) + (portRef buf_APL_DATA_IN_4) )) (net buf_API_DATA_OUT_7 (joined (portRef Q (instanceRef buf_API_DATA_OUT_7)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_7)) + (portRef B (instanceRef next_API_DATA_OUT_10_7)) (portRef A (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) )) (net ADR_DATA_OUT_7 (joined (portRef (member adr_data_out 8) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) )) - (net buf_APL_DATA_IN_55 (joined + (net buf_APL_DATA_IN_7 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNICU9Q_7)) - (portRef (member buf_apl_data_in 8)) + (portRef buf_APL_DATA_IN_7) )) (net buf_API_DATA_OUT_9 (joined (portRef Q (instanceRef buf_API_DATA_OUT_9)) @@ -93197,22 +93805,9 @@ (portRef (member adr_data_out 6) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) )) - (net buf_APL_DATA_IN_57 (joined + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNIGU9Q_9)) - (portRef (member buf_apl_data_in 6)) - )) - (net buf_API_DATA_OUT_10 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_10)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) - )) - (net ADR_DATA_OUT_10 (joined - (portRef (member adr_data_out 5) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) - )) - (net buf_APL_DATA_IN_58 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) - (portRef (member buf_apl_data_in 5)) + (portRef (member buf_api_data_out_rnigu9q 0)) )) (net buf_API_DATA_OUT_12 (joined (portRef Q (instanceRef buf_API_DATA_OUT_12)) @@ -93223,35 +93818,48 @@ (portRef (member adr_data_out 3) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNI45LF_12)) )) - (net buf_APL_DATA_IN_60 (joined + (net buf_APL_DATA_IN_12 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNI45LF_12)) - (portRef (member buf_apl_data_in 3)) + (portRef buf_APL_DATA_IN_12) )) - (net buf_API_DATA_OUT_13 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_13)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_13)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (net buf_API_DATA_OUT_6 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_6)) + (portRef B (instanceRef next_API_DATA_OUT_10_6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) )) - (net ADR_DATA_OUT_13 (joined - (portRef (member adr_data_out 2) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (net ADR_DATA_OUT_6 (joined + (portRef (member adr_data_out 9) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) - (portRef (member buf_apl_data_in 2)) + (net buf_APL_DATA_IN_6 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNIAU9Q_6)) + (portRef buf_APL_DATA_IN_6) )) - (net buf_API_DATA_OUT_14 (joined - (portRef Q (instanceRef buf_API_DATA_OUT_14)) - (portRef B (instanceRef next_API_DATA_OUT_16_am_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (net buf_API_DATA_OUT_5 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_5)) + (portRef B (instanceRef next_API_DATA_OUT_10_5)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) )) - (net ADR_DATA_OUT_14 (joined - (portRef (member adr_data_out 1) (instanceRef the_addresses)) - (portRef B (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (net ADR_DATA_OUT_5 (joined + (portRef (member adr_data_out 10) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) - (portRef (member buf_apl_data_in 1)) + (net buf_APL_DATA_IN_5 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI8U9Q_5)) + (portRef buf_APL_DATA_IN_5) + )) + (net buf_API_DATA_OUT_3 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_3)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + )) + (net ADR_DATA_OUT_3 (joined + (portRef (member adr_data_out 12) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + )) + (net buf_APL_DATA_IN_3 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI4U9Q_3)) + (portRef buf_APL_DATA_IN_3) )) (net buf_API_DATA_OUT_15 (joined (portRef Q (instanceRef buf_API_DATA_OUT_15)) @@ -93262,9 +93870,22 @@ (portRef (member adr_data_out 0) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNIATLF_15)) )) - (net buf_APL_DATA_IN_63 (joined + (net buf_APL_DATA_IN_15 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNIATLF_15)) - (portRef (member buf_apl_data_in 0)) + (portRef buf_APL_DATA_IN_15) + )) + (net buf_API_DATA_OUT_13 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_13)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + )) + (net ADR_DATA_OUT_13 (joined + (portRef (member adr_data_out 2) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + )) + (net buf_APL_DATA_IN_13 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI6DLF_13)) + (portRef buf_APL_DATA_IN_13) )) (net buf_API_DATA_OUT_11 (joined (portRef Q (instanceRef buf_API_DATA_OUT_11)) @@ -93275,299 +93896,444 @@ (portRef (member adr_data_out 4) (instanceRef the_addresses)) (portRef B (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) )) - (net buf_APL_DATA_IN_59 (joined + (net buf_APL_DATA_IN_11 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNI2TKF_11)) - (portRef (member buf_apl_data_in 4)) + (portRef buf_APL_DATA_IN_11) )) - (net current_state_1 (joined - (portRef Q (instanceRef current_state_1)) - (portRef B (instanceRef current_state_ns_i_9)) - (portRef D (instanceRef current_state_ns_i_1_9)) - (portRef B (instanceRef un1_next_nomoredata_1_sqmuxa)) - (portRef B (instanceRef next_API_READ_OUT_2_sqmuxa)) - (portRef A (instanceRef current_state_ns_i_a3_0_9)) - (portRef B (instanceRef current_state_ns_i_o4_16)) - (portRef C (instanceRef current_state_ns_i_RNO_16)) + (net buf_API_DATA_OUT_10 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_10)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_10)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) )) - (net N_2076 (joined - (portRef Z (instanceRef current_state_ns_i_RNO_16)) - (portRef D (instanceRef current_state_ns_i_16)) + (net ADR_DATA_OUT_10 (joined + (portRef (member adr_data_out 5) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) )) - (net length_15 (joined - (portRef Q (instanceRef length_15)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef D (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef A (instanceRef current_state_ns_i_a3_0_5_RNO_8)) + (net buf_APL_DATA_IN_10 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI0LKF_10)) + (portRef buf_APL_DATA_IN_10) )) - (net length_14 (joined - (portRef Q (instanceRef length_14)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - (portRef C (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef B (instanceRef current_state_ns_i_a3_0_5_RNO_8)) + (net buf_API_DATA_OUT_1 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_1)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) )) - (net length_1 (joined - (portRef Q (instanceRef length_1)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef B (instanceRef fsm_op_eq_next_state60_1)) - (portRef C (instanceRef current_state_ns_i_a3_0_5_RNO_8)) + (net ADR_DATA_OUT_1 (joined + (portRef (member adr_data_out 14) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) )) - (net length_0 (joined - (portRef Q (instanceRef length_0)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef C (instanceRef reg_fsm_length_3_0)) - (portRef A (instanceRef fsm_op_eq_next_state60_1)) - (portRef D (instanceRef current_state_ns_i_a3_0_5_RNO_8)) + (net buf_APL_DATA_IN_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI0U9Q_1)) + (portRef buf_APL_DATA_IN_1) )) - (net current_state_ns_i_a3_0_3_8 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_5_RNO_8)) - (portRef B (instanceRef current_state_ns_i_a3_0_5_8)) + (net buf_API_DATA_OUT_0 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_0)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_0)) + (portRef A (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) )) - (net length_13 (joined - (portRef Q (instanceRef length_13)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef B (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef A (instanceRef current_state_ns_i_a3_0_RNO_0_8)) + (net ADR_DATA_OUT_0 (joined + (portRef (member adr_data_out 15) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) )) - (net length_12 (joined - (portRef Q (instanceRef length_12)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - (portRef A (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef B (instanceRef current_state_ns_i_a3_0_RNO_0_8)) + (net buf_APL_DATA_IN_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNIUT9Q_0)) + (portRef buf_APL_DATA_IN_0) )) - (net length_11 (joined - (portRef Q (instanceRef length_11)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef B (instanceRef fsm_op_eq_next_state60_6)) - (portRef C (instanceRef current_state_ns_i_a3_0_RNO_0_8)) + (net buf_API_PACKET_NUM_OUT_Q_2 (joined + (portRef Q (instanceRef buf_API_PACKET_NUM_OUT_2)) + (portRef A (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) )) - (net length_10 (joined - (portRef Q (instanceRef length_10)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef A (instanceRef fsm_op_eq_next_state60_6)) - (portRef D (instanceRef current_state_ns_i_a3_0_RNO_0_8)) + (net buf_APL_PACKET_NUM_IN_2 (joined + (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (portRef buf_APL_PACKET_NUM_IN_2) )) - (net current_state_ns_i_a3_0_1_8 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_RNO_0_8)) - (portRef C (instanceRef current_state_ns_i_a3_0_8)) + (net buf_API_READ_OUT (joined + (portRef Q (instanceRef buf_API_READ_OUT)) + (portRef A (instanceRef buf_API_READ_OUT_RNIVRB4)) )) - (net length_9 (joined - (portRef Q (instanceRef length_9)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - (portRef D (instanceRef fsm_op_eq_next_state60_4_0)) - (portRef A (instanceRef current_state_ns_i_a3_0_RNO_8)) + (net ADR_READ_OUT (joined + (portRef ADR_READ_OUT (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_READ_OUT_RNIVRB4)) )) - (net length_8 (joined - (portRef Q (instanceRef length_8)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - (portRef C (instanceRef fsm_op_eq_next_state60_4_0)) - (portRef B (instanceRef current_state_ns_i_a3_0_RNO_8)) + (net buf_APL_READ_IN_3 (joined + (portRef Z (instanceRef buf_API_READ_OUT_RNIVRB4)) + (portRef (member buf_apl_read_in 0)) )) - (net length_7 (joined - (portRef Q (instanceRef length_7)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef D (instanceRef fsm_op_eq_next_state60_3_0)) - (portRef C (instanceRef current_state_ns_i_a3_0_RNO_8)) + (net buf_API_DATA_OUT_14 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_14)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_14)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) )) - (net length_6 (joined - (portRef Q (instanceRef length_6)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef C (instanceRef fsm_op_eq_next_state60_3_0)) - (portRef D (instanceRef current_state_ns_i_a3_0_RNO_8)) + (net ADR_DATA_OUT_14 (joined + (portRef (member adr_data_out 1) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) )) - (net current_state_ns_i_a3_0_0_8 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_RNO_8)) - (portRef B (instanceRef current_state_ns_i_a3_0_8)) + (net buf_APL_DATA_IN_14 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI8LLF_14)) + (portRef buf_APL_DATA_IN_14) )) - (net stat_reg_60 (joined - (portRef stat_reg_60) - (portRef A (instanceRef fsm_un104_next_api_data_out_m12_8_03_0_0)) + (net buf_API_DATA_OUT_2 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_2)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) )) - (net m12_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m12_8_03_0_0)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) + (net ADR_DATA_OUT_2 (joined + (portRef (member adr_data_out 13) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) )) - (net stat_reg_62 (joined - (portRef stat_reg_62) - (portRef A (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) + (net buf_APL_DATA_IN_2 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNI2U9Q_2)) + (portRef buf_APL_DATA_IN_2) + )) + (net buf_API_DATA_OUT_8 (joined + (portRef Q (instanceRef buf_API_DATA_OUT_8)) + (portRef B (instanceRef next_API_DATA_OUT_16_am_8)) + (portRef A (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + )) + (net ADR_DATA_OUT_8 (joined + (portRef (member adr_data_out 7) (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + )) + (net buf_APL_DATA_IN_8 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNIEU9Q_8)) + (portRef buf_APL_DATA_IN_8) + )) + (net buf_API_PACKET_NUM_OUT_Q_0 (joined + (portRef Q (instanceRef buf_API_PACKET_NUM_OUT_0)) + (portRef A (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + )) + (net buf_APL_PACKET_NUM_IN_0 (joined + (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + (portRef buf_APL_PACKET_NUM_IN_0) + )) + (net stat_reg_63 (joined + (portRef stat_reg_63) + (portRef A (instanceRef fsm_un104_next_api_data_out_m15_8_03_0_0)) )) (net regio_addr_i_4 (joined (portRef Q (instanceRef address_4)) - (portRef (member regio_addr_i 0) (instanceRef pattern_gen_inst)) (portRef C (instanceRef next_API_DATA_OUT_16_am_4)) - (portRef C (instanceRef next_address_i_m2_cry_3_0_RNO_0)) (portRef D (instanceRef fsm_un104_next_api_data_out_m7_8_03_0_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) (portRef D (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) (portRef D (instanceRef fsm_un104_next_api_data_out_m3_8_03_0_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (portRef C (instanceRef next_address_i_m2_cry_3_0_RNO_0)) (portRef C (instanceRef fsm_un229_next_api_data_out_m0_8_03_1)) (portRef C (instanceRef next_global_time_write_0_sqmuxa)) + (portRef D (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) (portRef C (instanceRef address_RNITT_4)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_2_1)) (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_1_1)) (portRef A (instanceRef rom_read_addr_1_sqmuxa_2)) (portRef B (instanceRef address_RNI6G2_4)) (portRef B (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m12_8_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m13_8_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m15_8_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) (portRef regio_addr_i_4) )) - (net regio_addr_i_3 (joined + (net regio_addr_i_3_d0 (joined (portRef Q (instanceRef address_3)) - (portRef (member regio_addr_i 1) (instanceRef pattern_gen_inst)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_3)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) - (portRef C (instanceRef next_API_DATA_OUT_14_am_10)) - (portRef D (instanceRef next_API_DATA_OUT_8_am_8)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_7)) - (portRef C (instanceRef next_address_i_m2_cry_3_0_RNO)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_13)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_5)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_15)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_11)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_3)) + (portRef D (instanceRef next_API_DATA_OUT_8_bm_8)) + (portRef D (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22)) - (portRef D (instanceRef next_API_DATA_OUT_2_am_6)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) (portRef C (instanceRef fsm_un104_next_api_data_out_m7_8_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) (portRef C (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) (portRef C (instanceRef fsm_un104_next_api_data_out_m3_8_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (portRef C (instanceRef next_address_i_m2_cry_3_0_RNO)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) - (portRef C (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) + (portRef D (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef C (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) + (portRef D (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) (portRef B (instanceRef address_RNITT_4)) - (portRef C (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_2_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_1_1)) - (portRef C (instanceRef un225_next_api_data_out_1_p4)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) + (portRef D (instanceRef un225_next_api_data_out_1_p4)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_3)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_2)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) (portRef C (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m12_8_03_0_0)) - (portRef regio_addr_i_3) + (portRef C (instanceRef fsm_un104_next_api_data_out_m13_8_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m15_8_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) + (portRef regio_addr_i_3_d0) + )) + (net m15_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m15_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + )) + (net stat_reg_61 (joined + (portRef stat_reg_61) + (portRef A (instanceRef fsm_un104_next_api_data_out_m13_8_03_0_0)) + )) + (net m13_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m13_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) + )) + (net stat_reg_62 (joined + (portRef stat_reg_62) + (portRef A (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) )) (net m14_8_03_0_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m14_8_03_0_0)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) )) - (net un1_tmg_edge_found_i_axb_7 (joined - (portRef un1_tmg_edge_found_i_axb_7) - (portRef A (instanceRef fsm_un169_next_api_data_out_m263_6_03_0)) + (net stat_reg_43 (joined + (portRef stat_reg_43) + (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) )) - (net m263_6_03_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m263_6_03_0)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_7)) + (net m11_6_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03)) )) - (net regio_addr_i_5 (joined + (net stat_reg_56 (joined + (portRef stat_reg_56) + (portRef A (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + )) + (net m8_6_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m8_6_03_0)) + (portRef B (instanceRef next_API_DATA_OUT_1_am_8)) + )) + (net stat_reg_58 (joined + (portRef stat_reg_58) + (portRef A (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + )) + (net m10_6_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m10_6_03_0)) + (portRef B (instanceRef next_API_DATA_OUT_1_am_10)) + )) + (net stat_reg_57 (joined + (portRef stat_reg_57) + (portRef A (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + )) + (net m9_6_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m9_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + )) + (net buf_APL_TYP_OUT_0 (joined + (portRef (member buf_apl_typ_out 2)) + (portRef A (instanceRef current_state_ns_0_i_o2_17)) + (portRef A (instanceRef current_state_ns_i_o2_i_o2_9)) + (portRef A (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef D (instanceRef current_state_ns_i_i_a2_RNO_9)) + )) + (net buf_APL_TYP_OUT_2 (joined + (portRef (member buf_apl_typ_out 0)) + (portRef C (instanceRef current_state_ns_0_i_o2_17)) + (portRef C (instanceRef current_state_ns_i_o2_i_o2_9)) + (portRef C (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef B (instanceRef current_state_ns_i_i_a2_RNO_9)) + )) + (net buf_APL_TYP_OUT_1 (joined + (portRef (member buf_apl_typ_out 1)) + (portRef B (instanceRef current_state_ns_0_i_o2_17)) + (portRef B (instanceRef current_state_ns_i_o2_i_o2_9)) + (portRef D (instanceRef current_state_ns_0_a3_0_1_1)) + (portRef C (instanceRef current_state_ns_i_i_a2_RNO_9)) + )) + (net regio_addr_i_0 (joined + (portRef Q (instanceRef address_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m579_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m203_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m576_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m206_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m585_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m204_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m582_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m584_4_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m588_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_m75_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_m11_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m12_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m68_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m204_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m71_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m11_4_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m4_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m3_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m12_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m15_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m0_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m2_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m6_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m14_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m8_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m1_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m578_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m577_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m581_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m579_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m576_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m583_4_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m582_4_03)) + (portRef A (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m5_4_03)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_1)) + (portRef A (instanceRef fsm_un254_next_api_data_out_m71_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_m68_4_03)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_m238)) + (portRef A (instanceRef fsm_un193_next_api_data_out_m324)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m128)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m238)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m324)) + (portRef A (instanceRef next_API_DATA_OUT_4_8)) + (portRef A (instanceRef next_API_DATA_OUT_6_8)) + (portRef A (instanceRef next_API_DATA_OUT_4_2)) + (portRef A (instanceRef next_API_DATA_OUT_6_2)) + (portRef A (instanceRef next_API_DATA_OUT_4_1)) + (portRef A (instanceRef next_API_DATA_OUT_6_1)) + (portRef A (instanceRef next_API_DATA_OUT_4_i_m2_11)) + (portRef A (instanceRef next_API_DATA_OUT_6_i_m2_11)) + (portRef A (instanceRef next_API_DATA_OUT_4_3)) + (portRef A (instanceRef next_API_DATA_OUT_6_3)) + (portRef A (instanceRef next_API_DATA_OUT_4_12)) + (portRef A (instanceRef next_API_DATA_OUT_6_12)) + (portRef A (instanceRef next_API_DATA_OUT_4_10)) + (portRef A (instanceRef next_API_DATA_OUT_6_10)) + (portRef A (instanceRef next_API_DATA_OUT_4_6)) + (portRef A (instanceRef next_API_DATA_OUT_4_0)) + (portRef A (instanceRef next_API_DATA_OUT_6_0)) + (portRef A (instanceRef next_API_DATA_OUT_6_6)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m407)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) + (portRef A (instanceRef next_API_DATA_OUT_4_5)) + (portRef A (instanceRef next_API_DATA_OUT_6_5)) + (portRef A (instanceRef fsm_un59_next_api_data_out_m296)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m74_4_03_3)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m72_4_03_3)) + (portRef A (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_0)) + (portRef A (instanceRef un225_next_api_data_out_1_p4)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_am)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) + )) + (net N_761 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) + (portRef B (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) + )) + (net regio_addr_i_5_d0 (joined (portRef Q (instanceRef address_5)) - (portRef C (instanceRef next_API_DATA_OUT_18_am_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_13_15)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_10)) - (portRef C (instanceRef next_API_DATA_OUT_10_5)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (portRef C (instanceRef next_API_DATA_OUT_13_8)) + (portRef C (instanceRef next_API_DATA_OUT_13_10)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) - (portRef D (instanceRef next_API_DATA_OUT_14_am_10)) (portRef C (instanceRef next_API_DATA_OUT_13_3)) - (portRef C (instanceRef next_API_DATA_OUT_13_14)) + (portRef C (instanceRef next_API_DATA_OUT_13_i_m2_12)) (portRef C (instanceRef next_API_DATA_OUT_13_6)) - (portRef C (instanceRef next_API_DATA_OUT_13_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_7)) - (portRef C (instanceRef next_address_i_m2_cry_5_0_RNO)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_13)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_11)) - (portRef C (instanceRef next_API_DATA_OUT_13_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_11)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_5)) + (portRef C (instanceRef next_API_DATA_OUT_10_5)) (portRef C (instanceRef next_API_DATA_OUT_13_0)) (portRef C (instanceRef next_API_DATA_OUT_13_2)) + (portRef C (instanceRef next_API_DATA_OUT_13_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_10)) (portRef C (instanceRef next_API_DATA_OUT_13_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_2_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_2_i_m2_6)) + (portRef C (instanceRef next_address_i_m2_cry_5_0_RNO)) (portRef D (instanceRef current_state_RNI7LPA1_16)) (portRef D (instanceRef next_global_time_write_0_sqmuxa)) + (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) (portRef B (instanceRef rom_read_addr_1_sqmuxa_1)) - (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_8)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_13_11)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_4_4)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_3_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_13_i_m2_11)) (portRef C0 (instanceRef next_API_DATA_OUT_13_13)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_3)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_2)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) (portRef A (instanceRef next_API_DATA_OUT_18_am_9)) (portRef A (instanceRef address_RNI6G2_4)) - (portRef D (instanceRef address_RNI0T3Q_5)) - (portRef regio_addr_i_5) + (portRef D (instanceRef next_global_time_write_3_sqmuxa_0_RNIC9AD)) + (portRef regio_addr_i_5_d0) )) (net next_API_DATA_OUT_sn_N_33 (joined (portRef Z (instanceRef address_RNI6G2_4)) (portRef D (instanceRef current_state_RNIK5KI2_16)) )) - (net next_state_5_sqmuxa_1 (joined - (portRef Z (instanceRef next_state_5_sqmuxa_1)) - (portRef D (instanceRef un1_next_state_5_sqmuxa)) - (portRef A (instanceRef dont_understand_RNO)) + (net un12_dat_addr_in_2 (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa_2)) + (portRef D (instanceRef rom_read_addr_1_sqmuxa)) + (portRef A (instanceRef buf_rom_read_addr_RNO_0)) + )) + (net rom_read_addr_1_sqmuxa_1 (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa_1)) + (portRef C (instanceRef rom_read_addr_1_sqmuxa)) + (portRef B (instanceRef buf_rom_read_addr_RNO_0)) )) - (net next_dont_understand_1_sqmuxa (joined - (portRef Z (instanceRef next_dont_understand_1_sqmuxa)) - (portRef C (instanceRef un1_next_state_5_sqmuxa)) - (portRef B (instanceRef dont_understand_RNO)) + (net rom_read_addr_1_sqmuxa_i (joined + (portRef Z (instanceRef buf_rom_read_addr_RNO_0)) + (portRef D (instanceRef buf_rom_read_addr_0)) )) - (net N_2112 (joined - (portRef Z (instanceRef current_state_ns_0_a3_6_6)) - (portRef A (instanceRef current_state_ns_0_i_6)) - (portRef B (instanceRef un1_next_state_5_sqmuxa)) - (portRef C (instanceRef dont_understand_RNO)) - )) - (net N_2053_i (joined - (portRef Z (instanceRef next_address_1_sqmuxa)) - (portRef A (instanceRef un1_next_state_5_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_1)) - (portRef A (instanceRef current_state_ns_0_o2_5)) - (portRef B (instanceRef current_state_ns_0_o4_7)) - (portRef A (instanceRef next_address_1_sqmuxa_1)) - (portRef A (instanceRef next_operation_0_sqmuxa)) - (portRef D (instanceRef dont_understand_RNO)) + (net N_5911 (joined + (portRef Z (instanceRef current_state_ns_i_o2_i_o2_RNI1KDC_15)) + (portRef A (instanceRef rom_read_addr_0)) + (portRef B (instanceRef current_state_ns_0_i_3)) + (portRef A (instanceRef next_address_i_o2_0)) + (portRef C (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U)) )) - (net un1_next_state_5_sqmuxa_i_i (joined - (portRef Z (instanceRef dont_understand_RNO)) - (portRef D (instanceRef dont_understand)) + (net rom_read_addr_sn_N_2_i (joined + (portRef Z (instanceRef rom_read_addr_1_sqmuxa_RNIAA2U)) + (portRef SP (instanceRef buf_rom_read_addr_2)) + (portRef SP (instanceRef buf_rom_read_addr_1)) + (portRef SP (instanceRef buf_rom_read_addr_0)) )) (net next_API_DATA_OUT_18_bm_9 (joined (portRef Z (instanceRef next_API_DATA_OUT_18_bm_9)) @@ -93577,57 +94343,69 @@ (portRef Z (instanceRef next_API_DATA_OUT_18_am_9)) (portRef BLUT (instanceRef next_API_DATA_OUT_18_9)) )) - (net N_2664 (joined + (net N_2671 (joined (portRef Z (instanceRef next_API_DATA_OUT_18_9)) (portRef A (instanceRef next_API_DATA_OUT_bm_9)) )) - (net reg_enable_pattern_m_1_0 (joined - (portRef Z (instanceRef address_0_rep1_RNIKOAD)) - (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) - (portRef C (instanceRef next_API_DATA_OUT_18_bm_8)) - (portRef C (instanceRef next_API_DATA_OUT_12_14)) - (portRef C (instanceRef next_API_DATA_OUT_12_15)) - (portRef C (instanceRef next_API_DATA_OUT_12_12)) - (portRef C (instanceRef next_API_DATA_OUT_12_6)) - (portRef D (instanceRef next_API_DATA_OUT_12_am_10)) - (portRef C (instanceRef next_API_DATA_OUT_12_13)) - (portRef C (instanceRef next_API_DATA_OUT_12_5)) - (portRef C (instanceRef next_API_DATA_OUT_12_11)) - (portRef D (instanceRef next_API_DATA_OUT_12_am_7)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_9_0)) - (portRef B (instanceRef next_API_DATA_OUT_18_bm_9)) - )) (net un254_next_api_data_out_9 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) - (portRef C (instanceRef next_API_DATA_OUT_18_bm_9)) + (portRef B (instanceRef next_API_DATA_OUT_18_bm_9)) )) (net OUT9_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03)) - (portRef D (instanceRef next_API_DATA_OUT_18_bm_9)) - )) - (net next_STAT_REG_STROBE_4_0 (joined - (portRef Z (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_10)) - (portRef C0 (instanceRef next_API_DATA_OUT_8_8)) - (portRef B (instanceRef next_global_time_write_0_sqmuxa)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_15)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_4)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_11)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_13)) - (portRef B (instanceRef next_API_DATA_OUT_18_am_9)) + (portRef C (instanceRef next_API_DATA_OUT_18_bm_9)) )) (net un193_next_api_data_out_9 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef C (instanceRef next_API_DATA_OUT_18_am_9)) + (portRef B (instanceRef next_API_DATA_OUT_18_am_9)) )) (net un169_next_api_data_out_9 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) + (portRef C (instanceRef next_API_DATA_OUT_18_am_9)) + )) + (net N_5833 (joined + (portRef Z (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_o2_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_8_8)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_8_i_m2_10)) + (portRef A (instanceRef next_API_DATA_OUT_8_15)) + (portRef A (instanceRef next_global_time_write_0_sqmuxa)) + (portRef D (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) + (portRef D (instanceRef next_API_DATA_OUT_13_am_13)) (portRef D (instanceRef next_API_DATA_OUT_18_am_9)) )) + (net next_API_DATA_OUT_14_i_m2_bm_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_1)) + )) + (net next_API_DATA_OUT_14_i_m2_am_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_1)) + )) + (net N_6119 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_1)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_1)) + )) + (net un134_next_api_data_out_1 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + )) + (net m1_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + )) + (net m1_8_03 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) + )) + (net un59_next_api_data_out_1 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) + )) + (net m1_6_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03)) + (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) + )) (net next_API_DATA_OUT_14_i_m2_bm_0 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_0)) @@ -93636,9 +94414,9 @@ (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_0)) (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_0)) )) - (net N_79 (joined + (net N_6715 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_0)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_0)) )) (net un134_next_api_data_out_0 (joined (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7)) @@ -93648,8 +94426,8 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) )) - (net m0_8_03_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) + (net m0_8_03 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_0)) )) (net un59_next_api_data_out_0 (joined @@ -93668,7 +94446,7 @@ (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_2)) (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_2)) )) - (net N_5828 (joined + (net N_6716 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_2)) (portRef B (instanceRef next_API_DATA_OUT_21_am_2)) )) @@ -93700,9 +94478,9 @@ (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_3)) (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_3)) )) - (net N_82 (joined + (net N_6717 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_3)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_3)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_3)) )) (net un134_next_api_data_out_3 (joined (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10)) @@ -93724,119 +94502,116 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m3_6_03)) (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_3)) )) - (net next_API_DATA_OUT_14_i_m2_bm_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_1)) - )) - (net next_API_DATA_OUT_14_i_m2_am_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_1)) - )) - (net N_80 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_1)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_1)) - )) - (net un134_next_api_data_out_1 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - )) - (net m1_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - )) - (net m1_8_03 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_1)) - )) - (net un59_next_api_data_out_1 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) - )) - (net m1_6_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_1)) - )) - (net next_API_DATA_OUT_14_i_m2_bm_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_7)) - )) - (net next_API_DATA_OUT_14_i_m2_am_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_7)) - )) - (net N_86 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_7)) - (portRef A (instanceRef next_API_DATA_OUT_19_7)) - )) - (net un134_next_api_data_out_7 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) - )) - (net m7_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_8_03_0_0)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) - )) - (net m7_8_03 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) + (net un169_next_api_data_out_13_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) )) - (net un59_next_api_data_out_7 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (net un169_next_api_data_out_13_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) )) - (net m7_6_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (net un169_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) )) - (net current_state_ns_i_bm_8 (joined - (portRef Z (instanceRef current_state_ns_i_bm_8)) - (portRef ALUT (instanceRef current_state_ns_i_8)) + (net un1_tmg_edge_found_i_axb_4 (joined + (portRef un1_tmg_edge_found_i_axb_4) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) )) - (net current_state_ns_i_am_8 (joined - (portRef Z (instanceRef current_state_ns_i_am_8)) - (portRef BLUT (instanceRef current_state_ns_i_8)) + (net m68_4_03_4 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) )) - (net current_state_10 (joined - (portRef Q (instanceRef current_state_10)) - (portRef B (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) - (portRef B (instanceRef current_state_RNI188F2_7)) - (portRef D (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef B (instanceRef current_state_ns_0_a3_0_12)) - (portRef C (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa_1)) - (portRef B (instanceRef current_state_ns_i_a2_RNILBLQ_8)) - (portRef C (instanceRef next_state_1_sqmuxa_1)) - (portRef C (instanceRef buf_API_SEND_OUT_RNO)) - (portRef C (instanceRef un1_current_state_6_0_a2)) - (portRef A (instanceRef reg_fsm_timeout_3_f0)) - (portRef A (instanceRef reg_fsm_nomoredata_3_f0)) - (portRef C0 (instanceRef current_state_ns_i_8)) + (net m4_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) )) - (net N_2031_0 (joined - (portRef Z (instanceRef current_state_ns_i_8)) - (portRef D (instanceRef current_state_10)) + (net m4_6_03_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) )) - (net N_2116 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_8)) - (portRef B (instanceRef current_state_ns_0_i_12)) - (portRef A (instanceRef current_state_ns_i_am_8)) - (portRef A (instanceRef current_state_ns_i_bm_8)) - )) - (net next_api_data_out276 (joined - (portRef Z (instanceRef current_state_ns_i_a2_8)) - (portRef C (instanceRef current_state_ns_0_a3_0_12)) - (portRef C (instanceRef current_state_ns_i_a2_RNIMNKQ_8)) - (portRef C (instanceRef current_state_ns_i_a2_RNILBLQ_8)) - (portRef B (instanceRef current_state_ns_i_bm_8)) - )) - (net N_2048_0_tz (joined - (portRef Z (instanceRef current_state_ns_0_o4_tz_12)) - (portRef A (instanceRef current_state_ns_0_a3_0_12)) - (portRef C (instanceRef current_state_ns_i_bm_8)) - )) - (net N_2055_0 (joined - (portRef Z (instanceRef current_state_ns_i_o2_15)) - (portRef A (instanceRef current_state_ns_i_15)) - (portRef C (instanceRef current_state_ns_i_am_8)) + (net m8_6_03_1_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) + (portRef A (instanceRef next_API_DATA_OUT_1_am_8)) + (portRef A (instanceRef next_API_DATA_OUT_1_am_10)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m268_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m257_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m2_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_3)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) )) (net next_API_DATA_OUT_13_bm_13 (joined (portRef Z (instanceRef next_API_DATA_OUT_13_bm_13)) @@ -93846,7 +94621,7 @@ (portRef Z (instanceRef next_API_DATA_OUT_13_am_13)) (portRef BLUT (instanceRef next_API_DATA_OUT_13_13)) )) - (net N_2582 (joined + (net N_2589 (joined (portRef Z (instanceRef next_API_DATA_OUT_13_13)) (portRef B (instanceRef next_API_DATA_OUT_21_bm_13)) )) @@ -93860,528 +94635,461 @@ )) (net un169_next_api_data_out_13 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_13)) + (portRef A (instanceRef next_API_DATA_OUT_13_am_13)) )) (net un168_next_api_data_out_23_0 (joined (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_13)) + (portRef B (instanceRef next_API_DATA_OUT_13_am_13)) )) (net OUT40_1 (joined (portRef Z (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_10)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_2)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_3)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_4)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_1)) - (portRef A (instanceRef next_API_DATA_OUT_9_bm_0)) - (portRef B (instanceRef next_API_DATA_OUT_8_bm_8)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_15)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_4)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_11)) - (portRef D (instanceRef next_API_DATA_OUT_13_am_13)) + (portRef A (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_m2_2_am_4)) + (portRef A (instanceRef next_API_DATA_OUT_9_i_m2_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_1_am_2)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_1_am_3)) + (portRef B (instanceRef next_API_DATA_OUT_8_am_8)) + (portRef B (instanceRef next_API_DATA_OUT_8_i_m2_am_10)) + (portRef B (instanceRef next_API_DATA_OUT_8_15)) + (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) + (portRef C (instanceRef next_API_DATA_OUT_13_am_13)) )) - (net next_API_DATA_OUT_13_bm_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_11)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_11)) + (net next_API_DATA_OUT_13_i_m2_bm_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) + (portRef ALUT (instanceRef next_API_DATA_OUT_13_i_m2_11)) )) - (net next_API_DATA_OUT_13_am_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_11)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_11)) + (net next_API_DATA_OUT_13_i_m2_am_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) + (portRef BLUT (instanceRef next_API_DATA_OUT_13_i_m2_11)) )) - (net N_2580 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_11)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_11)) + (net N_293 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_i_m2_11)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_11)) )) (net common_ctrl_reg_75 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_75_buf_COMMON_CTRL_REG_OUT_75)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_11)) + (portRef A (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) )) - (net regio_addr_i_0 (joined - (portRef Q (instanceRef address_0)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m261_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_3)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m581_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m72_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m8_4_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m581_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m9_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m73_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m5_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m199_4_03)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m128)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m296)) - (portRef A (instanceRef next_API_DATA_OUT_4_2)) - (portRef A (instanceRef next_API_DATA_OUT_6_2)) - (portRef A (instanceRef next_API_DATA_OUT_4_6)) - (portRef A (instanceRef next_API_DATA_OUT_6_6)) - (portRef A (instanceRef next_API_DATA_OUT_4_1)) - (portRef A (instanceRef next_API_DATA_OUT_6_1)) - (portRef A (instanceRef next_API_DATA_OUT_4_3)) - (portRef A (instanceRef next_API_DATA_OUT_6_3)) - (portRef A (instanceRef next_API_DATA_OUT_6_13)) - (portRef A (instanceRef next_API_DATA_OUT_4_13)) - (portRef A (instanceRef next_API_DATA_OUT_6_0)) - (portRef A (instanceRef next_API_DATA_OUT_4_0)) - (portRef A (instanceRef next_API_DATA_OUT_4_5)) - (portRef A (instanceRef next_API_DATA_OUT_6_5)) - (portRef A (instanceRef next_API_DATA_OUT_4_15)) - (portRef A (instanceRef next_API_DATA_OUT_6_15)) - (portRef A (instanceRef next_API_DATA_OUT_6_11)) - (portRef A (instanceRef next_API_DATA_OUT_4_11)) - (portRef A (instanceRef next_API_DATA_OUT_6_4)) - (portRef A (instanceRef next_API_DATA_OUT_4_4)) - (portRef A (instanceRef next_API_DATA_OUT_6_7)) - (portRef A (instanceRef next_API_DATA_OUT_4_7)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_11)) + (net regio_addr_i_3_0 (joined + (portRef Q (instanceRef address_3_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) + (portRef A (instanceRef un225_next_api_data_out_1_axbxc3)) + (portRef A (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m12_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m524_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m15_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m527_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m14_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m526_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m514_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_3)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m513_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_3)) + (portRef C (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) (portRef C (instanceRef next_API_DATA_OUT_13_bm_13)) - (portRef regio_addr_i_0) + (portRef (member regio_addr_i_3 0)) )) (net N_325 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_m324)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_11)) + (portRef D (instanceRef next_API_DATA_OUT_13_i_m2_bm_11)) )) (net un169_next_api_data_out_11 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_11)) + (portRef A (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) )) (net un168_next_api_data_out_21_0 (joined (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_11)) + (portRef B (instanceRef next_API_DATA_OUT_13_i_m2_am_11)) )) - (net next_API_DATA_OUT_13_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_4)) + (net un169_next_api_data_out_23_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) )) - (net next_API_DATA_OUT_13_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_4)) + (net un169_next_api_data_out_23_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) )) - (net N_2573 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_4)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_4)) + (net un169_next_api_data_out_14 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_1_14)) )) - (net common_ctrl_reg_68 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_68_buf_COMMON_CTRL_REG_OUT_68)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_4)) + (net regio_addr_i_5_0 (joined + (portRef Q (instanceRef address_5_0)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_12)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_11)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_10)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_8)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_5)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_6)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_bm)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) + (portRef C (instanceRef next_address_i_m2_cry_0_0_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) + (portRef C (instanceRef rom_read_addr_0_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03)) + (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) + (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m14_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m518_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m526_6_03_0_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) + (portRef (member regio_addr_i_5 0)) )) - (net m4_4_03_4 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_m4_4_03)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_4)) + (net N_228 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m527_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m526_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m514_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m257_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m513_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m512_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m515_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m518_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m518_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) )) - (net un169_next_api_data_out_4 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_4)) + (net un1_tmg_edge_found_i_axb_14 (joined + (portRef un1_tmg_edge_found_i_axb_14) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) )) - (net un168_next_api_data_out_14_0 (joined - (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_4)) + (net m14_6_03_3 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) )) - (net next_API_DATA_OUT_13_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_15)) + (net m14_6_03_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) )) - (net next_API_DATA_OUT_13_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_15)) + (net m14_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) )) - (net N_2584 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_15)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_15)) + (net next_API_DATA_OUT_18_i_0_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_18_i_0_4)) )) - (net common_ctrl_reg_79 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_79_buf_COMMON_CTRL_REG_OUT_79)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_15)) + (net next_API_DATA_OUT_18_i_0_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_18_i_0_4)) + )) + (net N_5831 (joined + (portRef Z (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_0)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) + (portRef A (instanceRef fsm_next_STAT_REG_STROBE_2_m_1_0_o2_RNIA7KB_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_18_i_0_4)) + )) + (net next_API_DATA_OUT_18_i_0_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_0_4)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_4)) + )) + (net N_5865 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_4_4)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) + (portRef A (instanceRef next_unknown_1_sqmuxa_3)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + )) + (net N_5835 (joined + (portRef Z (instanceRef fsm_un38_api_dataready_in_i_o2)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) + (portRef B (instanceRef next_unknown_1_sqmuxa_1)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + )) + (net un254_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + )) + (net un193_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_0_bm_4)) + )) + (net ctrl_reg_4 (joined + (portRef Q (instanceRef gen_regout_0_gen_regoutff1_4_gen_regoutff_buf_REGISTERS_OUT_4)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + )) + (net un169_next_api_data_out_14_am_RNO_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) + )) + (net un169_next_api_data_out_14_am_RNO_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) + )) + (net m5_6_03_i_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am)) + )) + (net un1_prev_trg_reg_1_axb_5 (joined + (portRef un1_prev_trg_reg_1_axb_5) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) + )) + (net un1_invalid_trg_axb_5 (joined + (portRef un1_invalid_trg_axb_5) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) )) - (net regio_addr_i_2_1 (joined - (portRef Q (instanceRef address_2_1)) + (net regio_addr_i_1_1 (joined + (portRef Q (instanceRef address_1_1)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_12)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_12)) (portRef B (instanceRef next_API_DATA_OUT_1_bm_11)) (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_11)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_15)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_15)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_13)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_13)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_6)) - (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_6)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_10)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_10)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_8)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_8)) (portRef B (instanceRef next_API_DATA_OUT_1_bm_5)) (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_5)) - (portRef B (instanceRef next_API_DATA_OUT_12_bm_7)) - (portRef B (instanceRef next_API_DATA_OUT_12_bm_1_7)) - (portRef B (instanceRef next_API_DATA_OUT_12_bm_10)) - (portRef B (instanceRef next_API_DATA_OUT_12_bm_1_10)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_6)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_1_6)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) (portRef C (instanceRef next_API_DATA_OUT_16_am_1)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_10)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_7)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) (portRef C (instanceRef next_address_i_m2_cry_1_0_RNO)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_13)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_5)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_15)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_11)) - (portRef C (instanceRef next_API_DATA_OUT_2_bm_6)) - (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_3)) - (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_1)) (portRef C (instanceRef rom_read_addr_0_2)) - (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_3)) + (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) + (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_2)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) - (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) + (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) - (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C0 (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef C0 (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) (portRef C0 (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0)) - (portRef C0 (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0)) - (portRef C0 (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0)) - (portRef C0 (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0)) + (portRef C0 (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0)) + (portRef C0 (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0)) + (portRef C0 (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_15)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_4)) - (portRef (member regio_addr_i_2 0)) - )) - (net regio_addr_i_1_0 (joined - (portRef Q (instanceRef address_1_0)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_11)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_15)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_13)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_6)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_1_5)) - (portRef A (instanceRef next_API_DATA_OUT_12_bm_1_7)) - (portRef A (instanceRef next_API_DATA_OUT_12_bm_1_10)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_0)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_10)) - (portRef C (instanceRef next_API_DATA_OUT_8_am_8)) - (portRef B (instanceRef next_API_DATA_OUT_13_bm_7)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_7)) - (portRef C (instanceRef next_address_i_m2_cry_0_0_RNO_0)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_13)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_5)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_15)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_11)) - (portRef B (instanceRef next_API_DATA_OUT_2_bm_6)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) - (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_3)) - (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_1)) - (portRef C (instanceRef rom_read_addr_0_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m7_6_03)) - (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) - (portRef D (instanceRef REGISTERS_OUT_write_enable_RNO_2)) - (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) - (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) - (portRef B (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_8)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_8)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_14)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_14)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_9)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_9)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_am)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_12)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_12)) - (portRef A (instanceRef next_API_DATA_OUT_15_bm_10)) - (portRef A (instanceRef next_API_DATA_OUT_15_am_10)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_15)) - (portRef C (instanceRef next_API_DATA_OUT_13_bm_4)) - (portRef (member regio_addr_i_1 1)) - )) - (net m15_4_03_4 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_m15_4_03)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_15)) - )) - (net un169_next_api_data_out_15 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_15)) - )) - (net un168_next_api_data_out_25_0 (joined - (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_15)) - )) - (net un169_next_api_data_out_14_am_RNO_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) - )) - (net un169_next_api_data_out_14_am_RNO_2 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) - )) - (net regio_addr_i_2_d0 (joined - (portRef Q (instanceRef address_2)) - (portRef (member regio_addr_i 2) (instanceRef pattern_gen_inst)) - (portRef B (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m8_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_2)) - (portRef C (instanceRef next_address_i_m2_cry_1_0_RNO_0)) - (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) - (portRef B (instanceRef un225_next_api_data_out_1_axbxc3)) - (portRef B (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_12)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m6_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef address_RNITT_4)) - (portRef B (instanceRef fsm_next_STAT_REG_STROBE_2_m_4_0_a2_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) - (portRef B (instanceRef un225_next_api_data_out_1_p4)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_am)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) - (portRef C0 (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m263_6_03_0)) - (portRef regio_addr_i_2_d0) - )) - (net m5_6_03_i_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am)) - )) - (net regio_addr_i_0_rep2 (joined - (portRef Q (instanceRef address_0_rep2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m267_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m271_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m269_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m263_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_4)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m591_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m586_4_03)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m583_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m590_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m582_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m588_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m13_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_m77_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m5_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m583_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_am)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) - )) - (net un1_prev_trg_reg_1_axb_5 (joined - (portRef un1_prev_trg_reg_1_axb_5) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) - )) - (net un1_invalid_trg_axb_5 (joined - (portRef un1_invalid_trg_axb_5) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_1)) + (portRef regio_addr_i_1_1) )) (net stat_lvl1_handler_37 (joined (portRef stat_lvl1_handler_37) @@ -94391,162 +95099,89 @@ (portRef stat_lvl1_handler_5) (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am_RNO_2)) )) - (net m264_6_03_0_0_bm (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) - (portRef ALUT (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0)) + (net m260_6_03_0_0_bm (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) + (portRef ALUT (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0)) )) - (net m264_6_03_0_0_am (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) - (portRef BLUT (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0)) + (net m260_6_03_0_0_am (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) + (portRef BLUT (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0)) )) - (net m264_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) - )) - (net stat_reg_488 (joined - (portRef stat_reg_488) - (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) + (net m260_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) )) - (net stat_reg_456 (joined - (portRef stat_reg_456) - (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_bm)) + (net stat_reg_500 (joined + (portRef stat_reg_500) + (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) )) - (net stat_reg_424 (joined - (portRef stat_reg_424) - (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) + (net stat_reg_468 (joined + (portRef stat_reg_468) + (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_bm)) )) - (net stat_reg_392 (joined - (portRef stat_reg_392) - (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03_0_0_am)) + (net stat_reg_436 (joined + (portRef stat_reg_436) + (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) )) - (net m266_6_03_0_0_bm (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) - (portRef ALUT (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0)) + (net stat_reg_404 (joined + (portRef stat_reg_404) + (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03_0_0_am)) )) - (net m266_6_03_0_0_am (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) - (portRef BLUT (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0)) + (net m270_6_03_0_0_bm (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) + (portRef ALUT (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0)) )) - (net m266_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) + (net m270_6_03_0_0_am (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) + (portRef BLUT (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0)) )) - (net stat_reg_490 (joined - (portRef stat_reg_490) - (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) + (net m270_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) )) - (net stat_reg_458 (joined - (portRef stat_reg_458) - (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_bm)) + (net stat_reg_494 (joined + (portRef stat_reg_494) + (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) )) - (net stat_reg_426 (joined - (portRef stat_reg_426) - (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) + (net stat_reg_462 (joined + (portRef stat_reg_462) + (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_bm)) )) - (net stat_reg_394 (joined - (portRef stat_reg_394) - (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_0_0_am)) + (net stat_reg_430 (joined + (portRef stat_reg_430) + (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) )) - (net m256_6_03_0_0_bm (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) - (portRef ALUT (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0)) + (net stat_reg_398 (joined + (portRef stat_reg_398) + (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03_0_0_am)) )) - (net m256_6_03_0_0_am (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) - (portRef BLUT (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0)) + (net m260_6_03_0_bm_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) + (portRef ALUT (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0)) )) - (net m256_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) + (net m260_6_03_0_am_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) + (portRef BLUT (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0)) )) - (net stat_reg_496 (joined - (portRef stat_reg_496) - (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) + (net m260_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) )) - (net stat_reg_464 (joined - (portRef stat_reg_464) - (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) + (net stat_reg_484 (joined + (portRef stat_reg_484) + (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) )) - (net regio_addr_i_0_rep1 (joined - (portRef Q (instanceRef address_0_rep1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m1_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m257_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m513_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m3_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m515_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - (portRef C (instanceRef address_0_rep1_RNIKOAD)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m4_4_03)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8_RNO)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m207_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m578_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m577_4_03)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m579_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m516_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m576_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m206_4_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m204_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m207_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m6_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m14_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m8_4_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m578_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m1_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m577_4_03)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m3_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m579_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m11_4_03)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m15_4_03)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m15_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m12_4_03)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m238)) - (portRef A (instanceRef fsm_un193_next_api_data_out_m324)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m128)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m238)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m407)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m324)) - (portRef A (instanceRef fsm_un59_next_api_data_out_m296)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_bm)) + (net stat_reg_452 (joined + (portRef stat_reg_452) + (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_bm)) )) - (net stat_reg_432 (joined - (portRef stat_reg_432) - (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) + (net stat_reg_420 (joined + (portRef stat_reg_420) + (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) )) - (net stat_reg_400 (joined - (portRef stat_reg_400) - (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_0_0_am)) + (net stat_reg_388 (joined + (portRef stat_reg_388) + (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_0_0_am)) )) (net m263_6_03_0_0_bm (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m263_6_03_0_0_bm)) @@ -94587,7 +95222,7 @@ (portRef D (instanceRef us_tick_i)) )) (net unknown_3 (joined - (portRef Z (instanceRef reg_fsm_unknown_3_iv)) + (portRef Z (instanceRef reg_fsm_unknown_3_iv_0)) (portRef D (instanceRef unknown)) )) (net un1_next_nomoredata_0_sqmuxa_3_0_i (joined @@ -94623,17 +95258,14 @@ (portRef CK (instanceRef address_4)) (portRef CK (instanceRef address_3)) (portRef CK (instanceRef address_2)) - (portRef CK (instanceRef address_0_rep1)) - (portRef CK (instanceRef address_0_rep2_1)) - (portRef CK (instanceRef address_1_1)) (portRef CK (instanceRef address_1)) - (portRef CK (instanceRef address_0_rep1_1)) + (portRef CK (instanceRef address_1_1)) (portRef CK (instanceRef address_1_0)) - (portRef CK (instanceRef address_2_1)) + (portRef CK (instanceRef address_2_0)) + (portRef CK (instanceRef address_4_0)) + (portRef CK (instanceRef address_5_0)) (portRef CK (instanceRef address_0)) - (portRef CK (instanceRef address_0_rep2)) - (portRef CK (instanceRef address_fast_0)) - (portRef CK (instanceRef address_fast_1_0)) + (portRef CK (instanceRef address_3_0)) (portRef CK (instanceRef buf_API_DATAREADY_OUT)) (portRef CK (instanceRef buf_API_DATA_OUT_15)) (portRef CK (instanceRef buf_API_DATA_OUT_14)) @@ -95100,24 +95732,6 @@ (portRef VCC) (portRef VCC (instanceRef board_rom)) (portRef VCC (instanceRef the_addresses)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef D1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef D0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) (portRef D1 (instanceRef un3_local_time_i_1_s_7_0)) (portRef D0 (instanceRef un3_local_time_i_1_s_7_0)) (portRef D1 (instanceRef un3_local_time_i_1_cry_5_0)) @@ -95129,58 +95743,58 @@ (portRef D1 (instanceRef un3_local_time_i_1_cry_0_0)) (portRef D0 (instanceRef un3_local_time_i_1_cry_0_0)) (portRef B0 (instanceRef un3_local_time_i_1_cry_0_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef D1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef D0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef D1 (instanceRef time_since_last_trg_i_s_0_31)) - (portRef D0 (instanceRef time_since_last_trg_i_s_0_31)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_29)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_29)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_27)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_27)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_25)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_25)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_23)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_23)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_21)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_21)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_19)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_19)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_17)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_17)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_15)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_15)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_13)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_13)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_11)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_11)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_9)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_9)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_7)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_7)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_5)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_5)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_3)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_3)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_1)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_1)) - (portRef D1 (instanceRef time_since_last_trg_i_cry_0_0)) - (portRef D0 (instanceRef time_since_last_trg_i_cry_0_0)) + (portRef D1 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef D0 (instanceRef un1_dat_data_counter_s_15_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef D1 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef D0 (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef D1 (instanceRef length_s_0_15)) + (portRef D0 (instanceRef length_s_0_15)) + (portRef B0 (instanceRef length_s_0_15)) + (portRef D1 (instanceRef length_cry_0_13)) + (portRef B1 (instanceRef length_cry_0_13)) + (portRef D0 (instanceRef length_cry_0_13)) + (portRef B0 (instanceRef length_cry_0_13)) + (portRef D1 (instanceRef length_cry_0_11)) + (portRef B1 (instanceRef length_cry_0_11)) + (portRef D0 (instanceRef length_cry_0_11)) + (portRef B0 (instanceRef length_cry_0_11)) + (portRef D1 (instanceRef length_cry_0_9)) + (portRef B1 (instanceRef length_cry_0_9)) + (portRef D0 (instanceRef length_cry_0_9)) + (portRef B0 (instanceRef length_cry_0_9)) + (portRef D1 (instanceRef length_cry_0_7)) + (portRef B1 (instanceRef length_cry_0_7)) + (portRef D0 (instanceRef length_cry_0_7)) + (portRef B0 (instanceRef length_cry_0_7)) + (portRef D1 (instanceRef length_cry_0_5)) + (portRef B1 (instanceRef length_cry_0_5)) + (portRef D0 (instanceRef length_cry_0_5)) + (portRef B0 (instanceRef length_cry_0_5)) + (portRef D1 (instanceRef length_cry_0_3)) + (portRef B1 (instanceRef length_cry_0_3)) + (portRef D0 (instanceRef length_cry_0_3)) + (portRef B0 (instanceRef length_cry_0_3)) + (portRef D1 (instanceRef length_cry_0_1)) + (portRef B1 (instanceRef length_cry_0_1)) + (portRef D0 (instanceRef length_cry_0_1)) + (portRef B0 (instanceRef length_cry_0_1)) + (portRef D1 (instanceRef length_cry_0_0)) + (portRef B1 (instanceRef length_cry_0_0)) + (portRef D0 (instanceRef length_cry_0_0)) (portRef D1 (instanceRef global_time_i_s_0_31)) (portRef D0 (instanceRef global_time_i_s_0_31)) (portRef D1 (instanceRef global_time_i_cry_0_29)) @@ -95215,6 +95829,40 @@ (portRef D0 (instanceRef global_time_i_cry_0_1)) (portRef D1 (instanceRef global_time_i_cry_0_0)) (portRef D0 (instanceRef global_time_i_cry_0_0)) + (portRef D1 (instanceRef time_since_last_trg_i_s_0_31)) + (portRef D0 (instanceRef time_since_last_trg_i_s_0_31)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_29)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_29)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_27)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_27)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_25)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_25)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_23)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_23)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_21)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_21)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_19)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_19)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_17)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_17)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_15)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_15)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_13)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_13)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_11)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_11)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_9)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_9)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_7)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_7)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_5)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_5)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_3)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_3)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_1)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_1)) + (portRef D1 (instanceRef time_since_last_trg_i_cry_0_0)) + (portRef D0 (instanceRef time_since_last_trg_i_cry_0_0)) (portRef D1 (instanceRef next_address_i_m2_s_15_0)) (portRef D0 (instanceRef next_address_i_m2_s_15_0)) (portRef D1 (instanceRef next_address_i_m2_cry_13_0)) @@ -95234,8 +95882,8 @@ (portRef D1 (instanceRef next_address_i_m2_cry_0_0)) (portRef D0 (instanceRef next_address_i_m2_cry_0_0)) )) - (net next_API_READ_OUT_2_sqmuxa_RNI84T91 (joined - (portRef Z (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) + (net next_timeout_counter_0_o2_0_RNIB2H31_0 (joined + (portRef Z (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) (portRef CD (instanceRef timeout_counter_5)) (portRef CD (instanceRef timeout_counter_4)) (portRef CD (instanceRef timeout_counter_3)) @@ -95288,19 +95936,19 @@ )) (net timeout_counter_5 (joined (portRef Q (instanceRef timeout_counter_5)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef B (instanceRef current_state_ns_i_i_o2_1_8)) (portRef C (instanceRef timeout_RNO)) - (portRef B (instanceRef fsm_un2_timeout_counter)) - (portRef B (instanceRef current_state_ns_0_o4_tz_12)) (portRef B (instanceRef un2_next_timeout_counter_axbxc5)) - (portRef C (instanceRef reg_fsm_timeout_3_f0)) - (portRef A (instanceRef current_state_ns_0_o4_6)) + (portRef A (instanceRef reg_fsm_unknown_3_iv_0_a2_1_0)) )) - (net timeout_3 (joined - (portRef Z (instanceRef reg_fsm_timeout_3_f0)) + (net N_5897_i (joined + (portRef Z (instanceRef timeout_RNO)) (portRef D (instanceRef timeout)) )) - (net un1_next_nomoredata_0_sqmuxa_2_0_i (joined - (portRef Z (instanceRef timeout_RNO)) + (net un1_next_nomoredata_0_sqmuxa_2_i (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) (portRef SP (instanceRef timeout)) )) (net final_reset_iso_1 (joined @@ -95543,22 +96191,6 @@ (portRef CD (instanceRef gen_regout_3_gen_regoutff1_98_gen_regoutff_buf_REGISTERS_OUT_98)) (portRef CD (instanceRef gen_regout_3_gen_regoutff1_99_gen_regoutff_buf_REGISTERS_OUT_99)) (portRef CD (instanceRef global_time_write)) - (portRef CD (instanceRef length_15)) - (portRef CD (instanceRef length_14)) - (portRef CD (instanceRef length_13)) - (portRef CD (instanceRef length_12)) - (portRef CD (instanceRef length_11)) - (portRef CD (instanceRef length_10)) - (portRef CD (instanceRef length_9)) - (portRef CD (instanceRef length_8)) - (portRef CD (instanceRef length_7)) - (portRef CD (instanceRef length_6)) - (portRef CD (instanceRef length_5)) - (portRef CD (instanceRef length_4)) - (portRef CD (instanceRef length_3)) - (portRef CD (instanceRef length_2)) - (portRef CD (instanceRef length_1)) - (portRef CD (instanceRef length_0)) (portRef CD (instanceRef nomoredata)) (portRef CD (instanceRef packet_counter_1)) (portRef CD (instanceRef packet_counter_0)) @@ -95648,7 +96280,7 @@ (net time_since_last_trg_4 (joined (portRef Q (instanceRef time_since_last_trg_i_4)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_3)) - (portRef C (instanceRef next_API_DATA_OUT_4_4)) + (portRef C (instanceRef next_API_DATA_OUT_15_am_4)) )) (net time_since_last_trg_i_s_5 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_5)) @@ -95675,7 +96307,7 @@ (net time_since_last_trg_7 (joined (portRef Q (instanceRef time_since_last_trg_i_7)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_7)) - (portRef C (instanceRef next_API_DATA_OUT_4_7)) + (portRef C (instanceRef next_API_DATA_OUT_15_am_7)) )) (net time_since_last_trg_i_s_8 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_7)) @@ -95684,7 +96316,7 @@ (net time_since_last_trg_8 (joined (portRef Q (instanceRef time_since_last_trg_i_8)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_7)) - (portRef C (instanceRef next_API_DATA_OUT_15_am_8)) + (portRef C (instanceRef next_API_DATA_OUT_4_8)) )) (net time_since_last_trg_i_s_9 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_9)) @@ -95702,7 +96334,7 @@ (net time_since_last_trg_10 (joined (portRef Q (instanceRef time_since_last_trg_i_10)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_9)) - (portRef C (instanceRef next_API_DATA_OUT_15_am_10)) + (portRef C (instanceRef next_API_DATA_OUT_4_10)) )) (net time_since_last_trg_i_s_11 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_11)) @@ -95711,7 +96343,7 @@ (net time_since_last_trg_11 (joined (portRef Q (instanceRef time_since_last_trg_i_11)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_11)) - (portRef C (instanceRef next_API_DATA_OUT_4_11)) + (portRef C (instanceRef next_API_DATA_OUT_4_i_m2_11)) )) (net time_since_last_trg_i_s_12 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_11)) @@ -95720,7 +96352,7 @@ (net time_since_last_trg_12 (joined (portRef Q (instanceRef time_since_last_trg_i_12)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_11)) - (portRef C (instanceRef next_API_DATA_OUT_15_am_12)) + (portRef C (instanceRef next_API_DATA_OUT_4_12)) )) (net time_since_last_trg_i_s_13 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_13)) @@ -95729,7 +96361,7 @@ (net time_since_last_trg_13 (joined (portRef Q (instanceRef time_since_last_trg_i_13)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_13)) - (portRef C (instanceRef next_API_DATA_OUT_4_13)) + (portRef C (instanceRef next_API_DATA_OUT_15_am_13)) )) (net time_since_last_trg_i_s_14 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_13)) @@ -95747,7 +96379,7 @@ (net time_since_last_trg_15 (joined (portRef Q (instanceRef time_since_last_trg_i_15)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_15)) - (portRef C (instanceRef next_API_DATA_OUT_4_15)) + (portRef C (instanceRef next_API_DATA_OUT_15_am_15)) )) (net time_since_last_trg_i_s_16 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_15)) @@ -95792,7 +96424,7 @@ (net time_since_last_trg_20 (joined (portRef Q (instanceRef time_since_last_trg_i_20)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_19)) - (portRef C (instanceRef next_API_DATA_OUT_6_4)) + (portRef C (instanceRef next_API_DATA_OUT_15_bm_4)) )) (net time_since_last_trg_i_s_21 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_21)) @@ -95819,7 +96451,7 @@ (net time_since_last_trg_23 (joined (portRef Q (instanceRef time_since_last_trg_i_23)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_23)) - (portRef C (instanceRef next_API_DATA_OUT_6_7)) + (portRef C (instanceRef next_API_DATA_OUT_15_bm_7)) )) (net time_since_last_trg_i_s_24 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_23)) @@ -95828,7 +96460,7 @@ (net time_since_last_trg_24 (joined (portRef Q (instanceRef time_since_last_trg_i_24)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_23)) - (portRef C (instanceRef next_API_DATA_OUT_15_bm_8)) + (portRef C (instanceRef next_API_DATA_OUT_6_8)) )) (net time_since_last_trg_i_s_25 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_25)) @@ -95846,7 +96478,7 @@ (net time_since_last_trg_26 (joined (portRef Q (instanceRef time_since_last_trg_i_26)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_25)) - (portRef C (instanceRef next_API_DATA_OUT_15_bm_10)) + (portRef C (instanceRef next_API_DATA_OUT_6_10)) )) (net time_since_last_trg_i_s_27 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_27)) @@ -95855,7 +96487,7 @@ (net time_since_last_trg_27 (joined (portRef Q (instanceRef time_since_last_trg_i_27)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_27)) - (portRef C (instanceRef next_API_DATA_OUT_6_11)) + (portRef C (instanceRef next_API_DATA_OUT_6_i_m2_11)) )) (net time_since_last_trg_i_s_28 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_27)) @@ -95864,7 +96496,7 @@ (net time_since_last_trg_28 (joined (portRef Q (instanceRef time_since_last_trg_i_28)) (portRef C1 (instanceRef time_since_last_trg_i_cry_0_27)) - (portRef C (instanceRef next_API_DATA_OUT_15_bm_12)) + (portRef C (instanceRef next_API_DATA_OUT_6_12)) )) (net time_since_last_trg_i_s_29 (joined (portRef S0 (instanceRef time_since_last_trg_i_cry_0_29)) @@ -95873,7 +96505,7 @@ (net time_since_last_trg_29 (joined (portRef Q (instanceRef time_since_last_trg_i_29)) (portRef C0 (instanceRef time_since_last_trg_i_cry_0_29)) - (portRef C (instanceRef next_API_DATA_OUT_6_13)) + (portRef C (instanceRef next_API_DATA_OUT_15_bm_13)) )) (net time_since_last_trg_i_s_30 (joined (portRef S1 (instanceRef time_since_last_trg_i_cry_0_29)) @@ -95891,13 +96523,10 @@ (net time_since_last_trg_31 (joined (portRef Q (instanceRef time_since_last_trg_i_31)) (portRef C0 (instanceRef time_since_last_trg_i_s_0_31)) - (portRef C (instanceRef next_API_DATA_OUT_6_15)) + (portRef C (instanceRef next_API_DATA_OUT_15_bm_15)) )) - (net N_2058_0 (joined - (portRef Z (instanceRef next_operation_0_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_1_6)) - (portRef A (instanceRef current_state_ns_0_o2_4)) - (portRef A (instanceRef current_state_ns_0_a3_0_14)) + (net N_91_i (joined + (portRef Z (instanceRef current_state_RNI54RK1_17)) (portRef SP (instanceRef saved_operation_3)) (portRef SP (instanceRef saved_operation_2)) (portRef SP (instanceRef saved_operation_1)) @@ -95911,10 +96540,35 @@ (portRef Q (instanceRef saved_operation_1)) (portRef (member buf_apl_dtype_in 2)) )) + (net buf_APL_DATA_OUT_50 (joined + (portRef (member buf_apl_data_out 13)) + (portRef (member buf_apl_data_out 13) (instanceRef the_addresses)) + (portRef A (instanceRef length_lm_0_2)) + (portRef B (instanceRef next_address_i_m2_cry_1_0_RNO_0)) + (portRef D (instanceRef current_state_ns_0_a3_0_14)) + (portRef C (instanceRef current_state_ns_0_i_a2_0_0_6)) + (portRef D (instanceRef saved_Reg_high_2)) + (portRef D (instanceRef saved_Reg_low_2)) + (portRef D (instanceRef saved_operation_2)) + (portRef A (instanceRef current_state_RNISA4M1_17)) + )) (net buf_APL_DTYPE_IN_14 (joined (portRef Q (instanceRef saved_operation_2)) (portRef (member buf_apl_dtype_in 1)) )) + (net buf_APL_DATA_OUT_51 (joined + (portRef (member buf_apl_data_out 12)) + (portRef (member buf_apl_data_out 12) (instanceRef the_addresses)) + (portRef A (instanceRef length_lm_0_3)) + (portRef B (instanceRef next_address_i_m2_cry_3_0_RNO)) + (portRef C (instanceRef current_state_ns_0_i_s_4_6)) + (portRef C (instanceRef un1_next_state_5_sqmuxa_i_a2)) + (portRef B (instanceRef current_state_ns_0_o2_0_a2_14)) + (portRef D (instanceRef saved_Reg_high_3)) + (portRef D (instanceRef saved_Reg_low_3)) + (portRef D (instanceRef saved_operation_3)) + (portRef C (instanceRef current_state_RNISA4M1_17)) + )) (net buf_APL_DTYPE_IN_15 (joined (portRef Q (instanceRef saved_operation_3)) (portRef (member buf_apl_dtype_in 0)) @@ -95922,19 +96576,21 @@ (net buf_APL_DATA_OUT_48 (joined (portRef (member buf_apl_data_out 15)) (portRef (member buf_apl_data_out 15) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_0_0_RNO_0)) - (portRef B (instanceRef reg_fsm_length_3_0)) - (portRef B (instanceRef current_state_ns_i_a3_15)) + (portRef C (instanceRef current_state_ns_0_i_5)) + (portRef C (instanceRef current_state_ns_0_i_4)) + (portRef C (instanceRef current_state_ns_0_i_7)) + (portRef B (instanceRef current_state_ns_i_0_15)) + (portRef A (instanceRef length_lm_0_0)) + (portRef B (instanceRef next_address_i_m2_cry_0_0_RNO_0)) (portRef A (instanceRef rom_read_addr_0_1)) - (portRef B (instanceRef current_state_ns_0_o2_4)) - (portRef A (instanceRef current_state_ns_0_a3_0_0_5)) - (portRef A (instanceRef current_state_ns_0_o4_0_6)) + (portRef B (instanceRef current_state_ns_0_a3_0_14)) + (portRef A (instanceRef current_state_ns_0_i_a2_0_0_6)) (portRef D (instanceRef saved_Reg_high_0)) (portRef D (instanceRef saved_Reg_low_0)) (portRef D (instanceRef saved_operation_0)) )) - (net un1_next_Reg_low_1_sqmuxa_1_0_i (joined - (portRef Z (instanceRef current_state_RNIIULQ_15)) + (net un1_next_Reg_low_1_sqmuxa_0_i (joined + (portRef Z (instanceRef current_state_RNIJ5GL1_15)) (portRef SP (instanceRef saved_Reg_low_15)) (portRef SP (instanceRef saved_Reg_low_14)) (portRef SP (instanceRef saved_Reg_low_13)) @@ -95955,45 +96611,19 @@ (net buf_APL_DATA_OUT_49 (joined (portRef (member buf_apl_data_out 14)) (portRef (member buf_apl_data_out 14) (instanceRef the_addresses)) - (portRef C (instanceRef current_state_ns_0_i_4)) - (portRef A (instanceRef next_address_i_m2_cry_1_0_RNO)) - (portRef C (instanceRef current_state_ns_i_a3_15)) + (portRef D (instanceRef current_state_ns_0_i_5)) + (portRef D (instanceRef current_state_ns_0_i_4)) + (portRef D (instanceRef current_state_ns_0_i_7)) + (portRef C (instanceRef current_state_ns_i_0_15)) + (portRef A (instanceRef length_lm_0_1)) + (portRef B (instanceRef next_address_i_m2_cry_1_0_RNO)) (portRef A (instanceRef rom_read_addr_0_2)) - (portRef A (instanceRef reg_fsm_length_3_1)) - (portRef B (instanceRef current_state_ns_0_a3_0_0_5)) - (portRef B (instanceRef current_state_ns_0_o4_0_6)) + (portRef C (instanceRef current_state_ns_0_a3_0_14)) + (portRef B (instanceRef current_state_ns_0_i_a2_0_0_6)) (portRef D (instanceRef saved_Reg_high_1)) (portRef D (instanceRef saved_Reg_low_1)) (portRef D (instanceRef saved_operation_1)) )) - (net buf_APL_DATA_OUT_50 (joined - (portRef (member buf_apl_data_out 13)) - (portRef (member buf_apl_data_out 13) (instanceRef the_addresses)) - (portRef C (instanceRef current_state_ns_0_i_1_6)) - (portRef A (instanceRef next_address_i_m2_cry_1_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_2)) - (portRef C (instanceRef current_state_ns_0_o2_4)) - (portRef C (instanceRef current_state_ns_0_a3_0_14)) - (portRef B (instanceRef current_state_ns_0_o2_5)) - (portRef B (instanceRef next_state_5_sqmuxa_1)) - (portRef D (instanceRef saved_Reg_high_2)) - (portRef D (instanceRef saved_Reg_low_2)) - (portRef D (instanceRef saved_operation_2)) - )) - (net buf_APL_DATA_OUT_51 (joined - (portRef (member buf_apl_data_out 12)) - (portRef (member buf_apl_data_out 12) (instanceRef the_addresses)) - (portRef D (instanceRef current_state_ns_0_i_1_6)) - (portRef A (instanceRef next_address_i_m2_cry_3_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_3)) - (portRef D (instanceRef current_state_ns_0_o2_4)) - (portRef D (instanceRef current_state_ns_0_a3_0_14)) - (portRef C (instanceRef current_state_ns_0_o2_5)) - (portRef C (instanceRef next_state_5_sqmuxa_1)) - (portRef D (instanceRef saved_Reg_high_3)) - (portRef D (instanceRef saved_Reg_low_3)) - (portRef D (instanceRef saved_operation_3)) - )) (net un1_next_Reg_high_0_sqmuxa_0_i (joined (portRef Z (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) (portRef SP (instanceRef saved_Reg_high_15)) @@ -96016,95 +96646,95 @@ (net buf_APL_DATA_OUT_52 (joined (portRef (member buf_apl_data_out 11)) (portRef (member buf_apl_data_out 11) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_3_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_4)) + (portRef A (instanceRef length_lm_0_4)) + (portRef B (instanceRef next_address_i_m2_cry_3_0_RNO_0)) (portRef D (instanceRef saved_Reg_high_4)) (portRef D (instanceRef saved_Reg_low_4)) )) (net buf_APL_DATA_OUT_53 (joined (portRef (member buf_apl_data_out 10)) (portRef (member buf_apl_data_out 10) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_5_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_5)) + (portRef A (instanceRef length_lm_0_5)) + (portRef B (instanceRef next_address_i_m2_cry_5_0_RNO)) (portRef D (instanceRef saved_Reg_high_5)) (portRef D (instanceRef saved_Reg_low_5)) )) (net buf_APL_DATA_OUT_54 (joined (portRef (member buf_apl_data_out 9)) (portRef (member buf_apl_data_out 9) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_5_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_6)) + (portRef A (instanceRef length_lm_0_6)) + (portRef B (instanceRef next_address_i_m2_cry_5_0_RNO_0)) (portRef D (instanceRef saved_Reg_high_6)) (portRef D (instanceRef saved_Reg_low_6)) )) (net buf_APL_DATA_OUT_55 (joined (portRef (member buf_apl_data_out 8)) (portRef (member buf_apl_data_out 8) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_7_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_7)) + (portRef A (instanceRef length_lm_0_7)) + (portRef B (instanceRef next_address_i_m2_cry_7_0_RNO)) (portRef D (instanceRef saved_Reg_high_7)) (portRef D (instanceRef saved_Reg_low_7)) )) (net buf_APL_DATA_OUT_56 (joined (portRef (member buf_apl_data_out 7)) (portRef (member buf_apl_data_out 7) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_7_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_8)) - (portRef A (instanceRef current_state_ns_0_a2_4_2)) + (portRef A (instanceRef length_lm_0_8)) + (portRef B (instanceRef next_address_i_m2_cry_7_0_RNO_0)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_4_2)) (portRef D (instanceRef saved_Reg_high_8)) (portRef D (instanceRef saved_Reg_low_8)) )) (net buf_APL_DATA_OUT_57 (joined (portRef (member buf_apl_data_out 6)) (portRef (member buf_apl_data_out 6) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_9_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_9)) - (portRef B (instanceRef current_state_ns_0_a2_4_2)) + (portRef A (instanceRef length_lm_0_9)) + (portRef B (instanceRef next_address_i_m2_cry_9_0_RNO)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_2)) (portRef D (instanceRef saved_Reg_high_9)) (portRef D (instanceRef saved_Reg_low_9)) )) (net buf_APL_DATA_OUT_58 (joined (portRef (member buf_apl_data_out 5)) (portRef (member buf_apl_data_out 5) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_9_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_10)) - (portRef C (instanceRef current_state_ns_0_a2_4_2)) + (portRef A (instanceRef length_lm_0_10)) + (portRef B (instanceRef next_address_i_m2_cry_9_0_RNO_0)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_4_2)) (portRef D (instanceRef saved_Reg_high_10)) (portRef D (instanceRef saved_Reg_low_10)) )) (net buf_APL_DATA_OUT_59 (joined (portRef (member buf_apl_data_out 4)) (portRef (member buf_apl_data_out 4) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_11_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_11)) - (portRef D (instanceRef current_state_ns_0_a2_4_2)) + (portRef A (instanceRef length_lm_0_11)) + (portRef B (instanceRef next_address_i_m2_cry_11_0_RNO)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_2)) (portRef D (instanceRef saved_Reg_high_11)) (portRef D (instanceRef saved_Reg_low_11)) )) (net buf_APL_DATA_OUT_60 (joined (portRef (member buf_apl_data_out 3)) (portRef (member buf_apl_data_out 3) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_11_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_12)) - (portRef A (instanceRef current_state_ns_0_a2_2)) + (portRef A (instanceRef length_lm_0_12)) + (portRef B (instanceRef next_address_i_m2_cry_11_0_RNO_0)) + (portRef A (instanceRef current_state_ns_0_a2_0_o2_3_2)) (portRef D (instanceRef saved_Reg_high_12)) (portRef D (instanceRef saved_Reg_low_12)) )) (net buf_APL_DATA_OUT_61 (joined (portRef (member buf_apl_data_out 2)) (portRef (member buf_apl_data_out 2) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_13_0_RNO)) - (portRef A (instanceRef reg_fsm_length_3_13)) - (portRef A (instanceRef current_state_ns_0_a2_3_2)) + (portRef A (instanceRef length_lm_0_13)) + (portRef B (instanceRef next_address_i_m2_cry_13_0_RNO)) + (portRef C (instanceRef current_state_ns_0_a2_0_o2_4_2)) (portRef D (instanceRef saved_Reg_high_13)) (portRef D (instanceRef saved_Reg_low_13)) )) (net buf_APL_DATA_OUT_62 (joined (portRef (member buf_apl_data_out 1)) (portRef (member buf_apl_data_out 1) (instanceRef the_addresses)) - (portRef A (instanceRef next_address_i_m2_cry_13_0_RNO_0)) - (portRef A (instanceRef reg_fsm_length_3_14)) - (portRef B (instanceRef current_state_ns_0_a2_3_2)) + (portRef A (instanceRef length_lm_0_14)) + (portRef B (instanceRef next_address_i_m2_cry_13_0_RNO_0)) + (portRef B (instanceRef current_state_ns_0_a2_0_o2_3_2)) (portRef D (instanceRef saved_Reg_high_14)) (portRef D (instanceRef saved_Reg_low_14)) )) @@ -96112,29 +96742,25 @@ (portRef (member buf_apl_data_out 0)) (portRef (member buf_apl_data_out 0) (instanceRef the_addresses)) (portRef A0 (instanceRef next_address_i_m2_s_15_0)) - (portRef B (instanceRef current_state_ns_0_a2_2)) + (portRef D (instanceRef current_state_ns_0_a2_0_o2_4_2)) (portRef D (instanceRef addr_counter_enable)) (portRef D (instanceRef saved_Reg_high_15)) (portRef D (instanceRef saved_Reg_low_15)) )) - (net nomoredata_3 (joined - (portRef Z (instanceRef reg_fsm_nomoredata_3_f0)) + (net N_5896_i (joined + (portRef Z (instanceRef nomoredata_RNO)) (portRef D (instanceRef nomoredata)) )) - (net un1_next_nomoredata_0_sqmuxa_1_0_i (joined - (portRef Z (instanceRef nomoredata_RNO)) + (net un1_next_nomoredata_0_sqmuxa_1_i (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) (portRef SP (instanceRef nomoredata)) )) (net buf_APL_ERROR_PATTERN_IN_14 (joined (portRef Q (instanceRef nomoredata)) (portRef buf_APL_ERROR_PATTERN_IN_14) )) - (net next_COMMON_CTRL_REG_STROBEc (joined + (net next_COMMON_CTRL_REG_STROBEc_i (joined (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc)) - (portRef D (instanceRef next_COMMON_CTRL_REG_STROBE_1)) - )) - (net regio_addr_iZ0Z_1 (joined - (portRef Q (instanceRef address_1)) (portRef CD (instanceRef next_COMMON_CTRL_REG_STROBE_1)) )) (net next_COMMON_CTRL_REG_STROBE_1 (joined @@ -96204,96 +96830,184 @@ (portRef A0 (instanceRef un3_local_time_i_1_s_7_0)) (portRef D (instanceRef proc_us_tick_un1_local_time_i_4)) )) - (net length_3_0 (joined - (portRef Z (instanceRef reg_fsm_length_3_0)) + (net length_lm_0 (joined + (portRef Z (instanceRef length_lm_0_0)) (portRef D (instanceRef length_0)) )) - (net length_3_1 (joined - (portRef Z (instanceRef reg_fsm_length_3_1)) + (net lengthe_0_i (joined + (portRef Z (instanceRef lengthe_0_i)) + (portRef SP (instanceRef length_15)) + (portRef SP (instanceRef length_14)) + (portRef SP (instanceRef length_13)) + (portRef SP (instanceRef length_12)) + (portRef SP (instanceRef length_11)) + (portRef SP (instanceRef length_10)) + (portRef SP (instanceRef length_9)) + (portRef SP (instanceRef length_8)) + (portRef SP (instanceRef length_7)) + (portRef SP (instanceRef length_6)) + (portRef SP (instanceRef length_5)) + (portRef SP (instanceRef length_4)) + (portRef SP (instanceRef length_3)) + (portRef SP (instanceRef length_2)) + (portRef SP (instanceRef length_1)) + (portRef SP (instanceRef length_0)) + )) + (net length_0 (joined + (portRef Q (instanceRef length_0)) + (portRef A1 (instanceRef length_cry_0_0)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + )) + (net length_lm_1 (joined + (portRef Z (instanceRef length_lm_0_1)) (portRef D (instanceRef length_1)) )) - (net length_3_2 (joined - (portRef Z (instanceRef reg_fsm_length_3_2)) + (net length_1 (joined + (portRef Q (instanceRef length_1)) + (portRef A0 (instanceRef length_cry_0_1)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) + )) + (net length_lm_2 (joined + (portRef Z (instanceRef length_lm_0_2)) (portRef D (instanceRef length_2)) )) (net length_2 (joined (portRef Q (instanceRef length_2)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef A (instanceRef fsm_op_eq_next_state60_2)) + (portRef A1 (instanceRef length_cry_0_1)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) )) - (net length_3_3 (joined - (portRef Z (instanceRef reg_fsm_length_3_3)) + (net length_lm_3 (joined + (portRef Z (instanceRef length_lm_0_3)) (portRef D (instanceRef length_3)) )) (net length_3 (joined (portRef Q (instanceRef length_3)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef B (instanceRef fsm_op_eq_next_state60_2)) + (portRef A0 (instanceRef length_cry_0_3)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) )) - (net length_3_4 (joined - (portRef Z (instanceRef reg_fsm_length_3_4)) + (net length_lm_4 (joined + (portRef Z (instanceRef length_lm_0_4)) (portRef D (instanceRef length_4)) )) (net length_4 (joined (portRef Q (instanceRef length_4)) - (portRef C1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef C (instanceRef current_state_ns_i_a3_0_5_8)) - (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef A (instanceRef fsm_op_eq_next_state60_3_0)) + (portRef A1 (instanceRef length_cry_0_3)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) )) - (net length_3_5 (joined - (portRef Z (instanceRef reg_fsm_length_3_5)) + (net length_lm_5 (joined + (portRef Z (instanceRef length_lm_0_5)) (portRef D (instanceRef length_5)) )) (net length_5 (joined (portRef Q (instanceRef length_5)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef D (instanceRef current_state_ns_i_a3_0_5_8)) - (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - (portRef B (instanceRef fsm_op_eq_next_state60_3_0)) + (portRef A0 (instanceRef length_cry_0_5)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) )) - (net length_3_6 (joined - (portRef Z (instanceRef reg_fsm_length_3_6)) + (net length_lm_6 (joined + (portRef Z (instanceRef length_lm_0_6)) (portRef D (instanceRef length_6)) )) - (net length_3_7 (joined - (portRef Z (instanceRef reg_fsm_length_3_7)) + (net length_6 (joined + (portRef Q (instanceRef length_6)) + (portRef A1 (instanceRef length_cry_0_5)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) + )) + (net length_lm_7 (joined + (portRef Z (instanceRef length_lm_0_7)) (portRef D (instanceRef length_7)) )) - (net length_3_8 (joined - (portRef Z (instanceRef reg_fsm_length_3_8)) + (net length_7 (joined + (portRef Q (instanceRef length_7)) + (portRef A0 (instanceRef length_cry_0_7)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) + )) + (net length_lm_8 (joined + (portRef Z (instanceRef length_lm_0_8)) (portRef D (instanceRef length_8)) )) - (net length_3_9 (joined - (portRef Z (instanceRef reg_fsm_length_3_9)) + (net length_8 (joined + (portRef Q (instanceRef length_8)) + (portRef A1 (instanceRef length_cry_0_7)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) + )) + (net length_lm_9 (joined + (portRef Z (instanceRef length_lm_0_9)) (portRef D (instanceRef length_9)) )) - (net length_3_10 (joined - (portRef Z (instanceRef reg_fsm_length_3_10)) + (net length_9 (joined + (portRef Q (instanceRef length_9)) + (portRef A0 (instanceRef length_cry_0_9)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) + )) + (net length_lm_10 (joined + (portRef Z (instanceRef length_lm_0_10)) (portRef D (instanceRef length_10)) )) - (net length_3_11 (joined - (portRef Z (instanceRef reg_fsm_length_3_11)) + (net length_10 (joined + (portRef Q (instanceRef length_10)) + (portRef A1 (instanceRef length_cry_0_9)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + )) + (net length_lm_11 (joined + (portRef Z (instanceRef length_lm_0_11)) (portRef D (instanceRef length_11)) )) - (net length_3_12 (joined - (portRef Z (instanceRef reg_fsm_length_3_12)) + (net length_11 (joined + (portRef Q (instanceRef length_11)) + (portRef A0 (instanceRef length_cry_0_11)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) + )) + (net length_lm_12 (joined + (portRef Z (instanceRef length_lm_0_12)) (portRef D (instanceRef length_12)) )) - (net length_3_13 (joined - (portRef Z (instanceRef reg_fsm_length_3_13)) + (net length_12 (joined + (portRef Q (instanceRef length_12)) + (portRef A1 (instanceRef length_cry_0_11)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) + )) + (net length_lm_13 (joined + (portRef Z (instanceRef length_lm_0_13)) (portRef D (instanceRef length_13)) )) - (net length_3_14 (joined - (portRef Z (instanceRef reg_fsm_length_3_14)) + (net length_13 (joined + (portRef Q (instanceRef length_13)) + (portRef A0 (instanceRef length_cry_0_13)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + )) + (net length_lm_14 (joined + (portRef Z (instanceRef length_lm_0_14)) (portRef D (instanceRef length_14)) )) - (net length_3_15 (joined - (portRef Z (instanceRef reg_fsm_length_3_15)) + (net length_14 (joined + (portRef Q (instanceRef length_14)) + (portRef A1 (instanceRef length_cry_0_13)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + )) + (net length_lm_15 (joined + (portRef Z (instanceRef length_lm_0_15)) (portRef D (instanceRef length_15)) )) + (net length_15 (joined + (portRef Q (instanceRef length_15)) + (portRef A0 (instanceRef length_s_0_15)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + )) (net next_global_time_write_3_sqmuxa (joined (portRef Z (instanceRef next_global_time_write_3_sqmuxa)) (portRef D (instanceRef global_time_write)) @@ -96419,8 +97133,8 @@ (portRef Q (instanceRef global_time_i_0_4)) (portRef C1 (instanceRef global_time_i_cry_0_3)) (portRef C (instanceRef next_API_DATA_OUT_17_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_15_am_4)) (portRef A (instanceRef TIMER_MS_TICK_0_sqmuxa_7)) - (portRef B (instanceRef next_API_DATA_OUT_4_4)) )) (net global_time_i_s_5 (joined (portRef S0 (instanceRef global_time_i_cry_0_5)) @@ -96430,13 +97144,6 @@ (portRef S1 (instanceRef global_time_i_cry_0_5)) (portRef D (instanceRef global_time_i_0_6)) )) - (net global_time_6 (joined - (portRef Q (instanceRef global_time_i_0_6)) - (portRef C1 (instanceRef global_time_i_cry_0_5)) - (portRef C (instanceRef next_API_DATA_OUT_17_am_2)) - (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa_8)) - (portRef B (instanceRef next_API_DATA_OUT_4_6)) - )) (net global_time_i_s_7 (joined (portRef S0 (instanceRef global_time_i_cry_0_7)) (portRef D (instanceRef global_time_i_0_7)) @@ -96465,7 +97172,7 @@ (portRef Q (instanceRef global_time_i_12)) (portRef C1 (instanceRef global_time_i_cry_0_11)) (portRef C (instanceRef next_API_DATA_OUT_17_am_8)) - (portRef B (instanceRef next_API_DATA_OUT_15_am_12)) + (portRef B (instanceRef next_API_DATA_OUT_4_12)) )) (net global_time_i_s_13 (joined (portRef S0 (instanceRef global_time_i_cry_0_13)) @@ -96475,12 +97182,6 @@ (portRef S1 (instanceRef global_time_i_cry_0_13)) (portRef D (instanceRef global_time_i_14)) )) - (net global_time_14 (joined - (portRef Q (instanceRef global_time_i_14)) - (portRef C1 (instanceRef global_time_i_cry_0_13)) - (portRef C (instanceRef next_API_DATA_OUT_17_am_10)) - (portRef B (instanceRef next_API_DATA_OUT_15_am_14)) - )) (net global_time_i_s_15 (joined (portRef S0 (instanceRef global_time_i_cry_0_15)) (portRef D (instanceRef global_time_i_15)) @@ -96499,16 +97200,16 @@ (portRef S0 (instanceRef global_time_i_cry_0_17)) (portRef D (instanceRef global_time_i_17)) )) + (net global_time_17 (joined + (portRef Q (instanceRef global_time_i_17)) + (portRef C0 (instanceRef global_time_i_cry_0_17)) + (portRef C (instanceRef next_API_DATA_OUT_17_am_13)) + (portRef B (instanceRef next_API_DATA_OUT_6_1)) + )) (net global_time_i_s_18 (joined (portRef S1 (instanceRef global_time_i_cry_0_17)) (portRef D (instanceRef global_time_i_18)) )) - (net global_time_18 (joined - (portRef Q (instanceRef global_time_i_18)) - (portRef C1 (instanceRef global_time_i_cry_0_17)) - (portRef C (instanceRef next_API_DATA_OUT_17_am_14)) - (portRef B (instanceRef next_API_DATA_OUT_6_2)) - )) (net global_time_i_s_19 (joined (portRef S0 (instanceRef global_time_i_cry_0_19)) (portRef D (instanceRef global_time_i_19)) @@ -96526,7 +97227,7 @@ (net global_time_20 (joined (portRef Q (instanceRef global_time_i_20)) (portRef C1 (instanceRef global_time_i_cry_0_19)) - (portRef B (instanceRef next_API_DATA_OUT_6_4)) + (portRef B (instanceRef next_API_DATA_OUT_15_bm_4)) )) (net global_time_i_s_21 (joined (portRef S0 (instanceRef global_time_i_cry_0_21)) @@ -96553,7 +97254,7 @@ (net global_time_23 (joined (portRef Q (instanceRef global_time_i_23)) (portRef C0 (instanceRef global_time_i_cry_0_23)) - (portRef B (instanceRef next_API_DATA_OUT_6_7)) + (portRef B (instanceRef next_API_DATA_OUT_15_bm_7)) )) (net global_time_i_s_24 (joined (portRef S1 (instanceRef global_time_i_cry_0_23)) @@ -96562,7 +97263,7 @@ (net global_time_24 (joined (portRef Q (instanceRef global_time_i_24)) (portRef C1 (instanceRef global_time_i_cry_0_23)) - (portRef B (instanceRef next_API_DATA_OUT_15_bm_8)) + (portRef B (instanceRef next_API_DATA_OUT_6_8)) )) (net global_time_i_s_25 (joined (portRef S0 (instanceRef global_time_i_cry_0_25)) @@ -96580,7 +97281,7 @@ (net global_time_26 (joined (portRef Q (instanceRef global_time_i_26)) (portRef C1 (instanceRef global_time_i_cry_0_25)) - (portRef B (instanceRef next_API_DATA_OUT_15_bm_10)) + (portRef B (instanceRef next_API_DATA_OUT_6_10)) )) (net global_time_i_s_27 (joined (portRef S0 (instanceRef global_time_i_cry_0_27)) @@ -96589,7 +97290,7 @@ (net global_time_27 (joined (portRef Q (instanceRef global_time_i_27)) (portRef C0 (instanceRef global_time_i_cry_0_27)) - (portRef B (instanceRef next_API_DATA_OUT_6_11)) + (portRef B (instanceRef next_API_DATA_OUT_6_i_m2_11)) )) (net global_time_i_s_28 (joined (portRef S1 (instanceRef global_time_i_cry_0_27)) @@ -96598,7 +97299,7 @@ (net global_time_28 (joined (portRef Q (instanceRef global_time_i_28)) (portRef C1 (instanceRef global_time_i_cry_0_27)) - (portRef B (instanceRef next_API_DATA_OUT_15_bm_12)) + (portRef B (instanceRef next_API_DATA_OUT_6_12)) )) (net global_time_i_s_29 (joined (portRef S0 (instanceRef global_time_i_cry_0_29)) @@ -96607,7 +97308,7 @@ (net global_time_29 (joined (portRef Q (instanceRef global_time_i_29)) (portRef C0 (instanceRef global_time_i_cry_0_29)) - (portRef B (instanceRef next_API_DATA_OUT_6_13)) + (portRef B (instanceRef next_API_DATA_OUT_15_bm_13)) )) (net global_time_i_s_30 (joined (portRef S1 (instanceRef global_time_i_cry_0_29)) @@ -96625,7 +97326,7 @@ (net global_time_31 (joined (portRef Q (instanceRef global_time_i_31)) (portRef C0 (instanceRef global_time_i_s_0_31)) - (portRef B (instanceRef next_API_DATA_OUT_6_15)) + (portRef B (instanceRef next_API_DATA_OUT_15_bm_15)) )) (net regio_data_out_i_0 (joined (portRef Q (instanceRef saved_Reg_low_0)) @@ -96695,6 +97396,11 @@ (portRef D (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_4)) (portRef (member regio_data_out_i 27)) )) + (net common_ctrl_reg_4 (joined + (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_4)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_0_am_4)) + (portRef common_ctrl_reg_4) + )) (net regio_data_out_i_5 (joined (portRef Q (instanceRef saved_Reg_low_5)) (portRef B0 (instanceRef global_time_i_cry_0_5)) @@ -96737,7 +97443,7 @@ )) (net common_ctrl_reg_7 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_7)) - (portRef B (instanceRef fsm_un193_next_api_data_out_m296)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) )) (net regio_data_out_i_8 (joined (portRef Q (instanceRef saved_Reg_low_8)) @@ -96845,7 +97551,7 @@ )) (net common_ctrl_reg_14 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_14)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) )) (net regio_data_out_i_15 (joined (portRef Q (instanceRef saved_Reg_low_15)) @@ -97164,7 +97870,7 @@ )) (net ctrl_reg_127 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_127_gen_regoutff_buf_REGISTERS_OUT_127)) - (portRef D (instanceRef next_API_DATA_OUT_1_bm_15)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) )) (net ctrl_reg_126 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_126_gen_regoutff_buf_REGISTERS_OUT_126)) @@ -97172,11 +97878,11 @@ )) (net ctrl_reg_125 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_125_gen_regoutff_buf_REGISTERS_OUT_125)) - (portRef D (instanceRef next_API_DATA_OUT_1_bm_13)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) )) (net ctrl_reg_124 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_124_gen_regoutff_buf_REGISTERS_OUT_124)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_12)) )) (net ctrl_reg_123 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_123_gen_regoutff_buf_REGISTERS_OUT_123)) @@ -97184,7 +97890,7 @@ )) (net ctrl_reg_122 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_122_gen_regoutff_buf_REGISTERS_OUT_122)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_10)) )) (net ctrl_reg_121 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_121_gen_regoutff_buf_REGISTERS_OUT_121)) @@ -97192,7 +97898,7 @@ )) (net ctrl_reg_120 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_120_gen_regoutff_buf_REGISTERS_OUT_120)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_8)) )) (net ctrl_reg_119 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_119_gen_regoutff_buf_REGISTERS_OUT_119)) @@ -97208,7 +97914,7 @@ )) (net ctrl_reg_116 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_116_gen_regoutff_buf_REGISTERS_OUT_116)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO)) )) (net ctrl_reg_115 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_115_gen_regoutff_buf_REGISTERS_OUT_115)) @@ -97232,11 +97938,11 @@ )) (net ctrl_reg_110 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_110_gen_regoutff_buf_REGISTERS_OUT_110)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m78_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) )) (net ctrl_reg_109 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_109_gen_regoutff_buf_REGISTERS_OUT_109)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m77_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) )) (net ctrl_reg_108 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_108_gen_regoutff_buf_REGISTERS_OUT_108)) @@ -97244,23 +97950,23 @@ )) (net ctrl_reg_107 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_107_gen_regoutff_buf_REGISTERS_OUT_107)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm)) + (portRef C (instanceRef fsm_un254_next_api_data_out_m75_4_03)) )) (net ctrl_reg_106 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_106_gen_regoutff_buf_REGISTERS_OUT_106)) - (portRef D (instanceRef next_API_DATA_OUT_12_bm_10)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) )) (net ctrl_reg_105 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_105_gen_regoutff_buf_REGISTERS_OUT_105)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m73_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) )) (net ctrl_reg_104 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_104_gen_regoutff_buf_REGISTERS_OUT_104)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m72_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) )) (net ctrl_reg_103 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_103_gen_regoutff_buf_REGISTERS_OUT_103)) - (portRef D (instanceRef next_API_DATA_OUT_12_bm_7)) + (portRef C (instanceRef fsm_un254_next_api_data_out_m71_4_03)) )) (net ctrl_reg_102 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_102_gen_regoutff_buf_REGISTERS_OUT_102)) @@ -97272,7 +97978,7 @@ )) (net ctrl_reg_100 (joined (portRef Q (instanceRef gen_regout_3_gen_regoutff1_100_gen_regoutff_buf_REGISTERS_OUT_100)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) + (portRef C (instanceRef fsm_un254_next_api_data_out_m68_4_03)) )) (net REGISTERS_OUT_write_enable_2 (joined (portRef Q (instanceRef REGISTERS_OUT_write_enable_2)) @@ -97354,11 +98060,11 @@ )) (net ctrl_reg_61 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_61_gen_regoutff_buf_REGISTERS_OUT_61)) - (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_13)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) )) (net ctrl_reg_60 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_60_gen_regoutff_buf_REGISTERS_OUT_60)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_12)) )) (net ctrl_reg_59 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_59_gen_regoutff_buf_REGISTERS_OUT_59)) @@ -97370,11 +98076,11 @@ )) (net ctrl_reg_46 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_46_gen_regoutff_buf_REGISTERS_OUT_46)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) )) (net ctrl_reg_45 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_45_gen_regoutff_buf_REGISTERS_OUT_45)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m13_4_03)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) )) (net ctrl_reg_44 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_44_gen_regoutff_buf_REGISTERS_OUT_44)) @@ -97382,7 +98088,7 @@ )) (net ctrl_reg_43 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_43_gen_regoutff_buf_REGISTERS_OUT_43)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am)) + (portRef C (instanceRef fsm_un254_next_api_data_out_m11_4_03)) )) (net REGISTERS_OUT_write_enable_0 (joined (portRef Q (instanceRef REGISTERS_OUT_write_enable_0)) @@ -97421,15 +98127,15 @@ )) (net ctrl_reg_9 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_9_gen_regoutff_buf_REGISTERS_OUT_9)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m9_4_03)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) )) (net ctrl_reg_8 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_8_gen_regoutff_buf_REGISTERS_OUT_8)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m8_4_03)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) )) (net ctrl_reg_7 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_7_gen_regoutff_buf_REGISTERS_OUT_7)) - (portRef C (instanceRef next_API_DATA_OUT_12_bm_1_7)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) )) (net ctrl_reg_6 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_6_gen_regoutff_buf_REGISTERS_OUT_6)) @@ -97439,17 +98145,13 @@ (portRef Q (instanceRef gen_regout_0_gen_regoutff1_5_gen_regoutff_buf_REGISTERS_OUT_5)) (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_am)) )) - (net ctrl_reg_4 (joined - (portRef Q (instanceRef gen_regout_0_gen_regoutff1_4_gen_regoutff_buf_REGISTERS_OUT_4)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - )) (net ctrl_reg_3 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_3_gen_regoutff_buf_REGISTERS_OUT_3)) (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) )) (net ctrl_reg_31 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_31_gen_regoutff_buf_REGISTERS_OUT_31)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_15)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) )) (net ctrl_reg_30 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_30_gen_regoutff_buf_REGISTERS_OUT_30)) @@ -97461,11 +98163,11 @@ )) (net ctrl_reg_29 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_29_gen_regoutff_buf_REGISTERS_OUT_29)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_13)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) )) (net ctrl_reg_28 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_28_gen_regoutff_buf_REGISTERS_OUT_28)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_12)) )) (net ctrl_reg_27 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_27_gen_regoutff_buf_REGISTERS_OUT_27)) @@ -97473,7 +98175,7 @@ )) (net ctrl_reg_26 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_26_gen_regoutff_buf_REGISTERS_OUT_26)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_10)) )) (net ctrl_reg_25 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_25_gen_regoutff_buf_REGISTERS_OUT_25)) @@ -97481,7 +98183,7 @@ )) (net ctrl_reg_24 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_24_gen_regoutff_buf_REGISTERS_OUT_24)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) + (portRef D (instanceRef next_API_DATA_OUT_1_bm_8)) )) (net ctrl_reg_23 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_23_gen_regoutff_buf_REGISTERS_OUT_23)) @@ -97497,7 +98199,7 @@ )) (net ctrl_reg_20 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_20_gen_regoutff_buf_REGISTERS_OUT_20)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0)) )) (net ctrl_reg_1 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_1_gen_regoutff_buf_REGISTERS_OUT_1)) @@ -97523,21 +98225,21 @@ (portRef Q (instanceRef gen_regout_0_gen_regoutff1_15_gen_regoutff_buf_REGISTERS_OUT_15)) (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) )) + (net ctrl_reg_14 (joined + (portRef Q (instanceRef gen_regout_0_gen_regoutff1_14_gen_regoutff_buf_REGISTERS_OUT_14)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) + )) (net ctrl_reg_13 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_13_gen_regoutff_buf_REGISTERS_OUT_13)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m13_4_03)) - )) - (net ctrl_reg_12 (joined - (portRef Q (instanceRef gen_regout_0_gen_regoutff1_12_gen_regoutff_buf_REGISTERS_OUT_12)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) )) (net ctrl_reg_11 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_11_gen_regoutff_buf_REGISTERS_OUT_11)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am)) + (portRef B (instanceRef fsm_un254_next_api_data_out_m11_4_03)) )) (net ctrl_reg_10 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_10_gen_regoutff_buf_REGISTERS_OUT_10)) - (portRef C (instanceRef next_API_DATA_OUT_12_bm_1_10)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) )) (net ctrl_reg_0 (joined (portRef Q (instanceRef gen_regout_0_gen_regoutff1_0_gen_regoutff_buf_REGISTERS_OUT_0)) @@ -97584,15 +98286,15 @@ )) (net common_ctrl_reg_92 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_92_buf_COMMON_CTRL_REG_OUT_92)) - (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) )) (net med_ctrl_op_8 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_91_buf_COMMON_CTRL_REG_OUT_91)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_11)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) )) (net common_ctrl_reg_90 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_90_buf_COMMON_CTRL_REG_OUT_90)) - (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) )) (net common_ctrl_reg_89 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_89_buf_COMMON_CTRL_REG_OUT_89)) @@ -97600,7 +98302,7 @@ )) (net common_ctrl_reg_88 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_88_buf_COMMON_CTRL_REG_OUT_88)) - (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) )) (net common_ctrl_reg_87 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_87_buf_COMMON_CTRL_REG_OUT_87)) @@ -97608,11 +98310,11 @@ )) (net common_ctrl_reg_86 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_86_buf_COMMON_CTRL_REG_OUT_86)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_6)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) )) (net common_ctrl_reg_85 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_85_buf_COMMON_CTRL_REG_OUT_85)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_5)) + (portRef D (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) )) (net common_ctrl_reg_84 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_84_buf_COMMON_CTRL_REG_OUT_84)) @@ -97634,9 +98336,13 @@ (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_80_buf_COMMON_CTRL_REG_OUT_80)) (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) )) + (net common_ctrl_reg_79 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_79_buf_COMMON_CTRL_REG_OUT_79)) + (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + )) (net common_ctrl_reg_78 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_78_buf_COMMON_CTRL_REG_OUT_78)) - (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) )) (net common_ctrl_reg_76 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_76_buf_COMMON_CTRL_REG_OUT_76)) @@ -97644,7 +98350,7 @@ )) (net common_ctrl_reg_74 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_74_buf_COMMON_CTRL_REG_OUT_74)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_10)) + (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) )) (net common_ctrl_reg_73 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_73_buf_COMMON_CTRL_REG_OUT_73)) @@ -97656,7 +98362,7 @@ )) (net common_ctrl_reg_71 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_71_buf_COMMON_CTRL_REG_OUT_71)) - (portRef D (instanceRef next_API_DATA_OUT_13_bm_7)) + (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) )) (net common_ctrl_reg_70 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_70_buf_COMMON_CTRL_REG_OUT_70)) @@ -97666,6 +98372,10 @@ (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_69_buf_COMMON_CTRL_REG_OUT_69)) (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) )) + (net common_ctrl_reg_68 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_68_buf_COMMON_CTRL_REG_OUT_68)) + (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + )) (net common_ctrl_reg_67 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_67_buf_COMMON_CTRL_REG_OUT_67)) (portRef D (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) @@ -97781,20 +98491,24 @@ (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_48_buf_COMMON_CTRL_REG_OUT_48)) (portRef C (instanceRef fsm_un59_next_api_data_out_m0_4_03)) )) - (net un1_next_nomoredata_0_sqmuxa_0_i (joined - (portRef Z (instanceRef dont_understand_RNO_0)) + (net un1_next_state_5_sqmuxa_i (joined + (portRef Z (instanceRef un1_next_state_5_sqmuxa_i)) + (portRef D (instanceRef dont_understand)) + )) + (net un1_next_nomoredata_0_sqmuxa_i (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_i)) (portRef SP (instanceRef dont_understand)) )) (net buf_APL_ERROR_PATTERN_IN_0 (joined (portRef Q (instanceRef dont_understand)) (portRef buf_APL_ERROR_PATTERN_IN_0) )) - (net un1_next_Reg_low_1_sqmuxa_cry_0_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) + (net un1_dat_data_counter_cry_0_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_0_0)) (portRef D (instanceRef dat_data_counter_0)) )) - (net current_state_RNISS3Q_18 (joined - (portRef Z (instanceRef current_state_RNISS3Q_18)) + (net current_state_RNI2T4Q_18 (joined + (portRef Z (instanceRef current_state_RNI2T4Q_18)) (portRef CD (instanceRef dat_data_counter_15)) (portRef CD (instanceRef dat_data_counter_14)) (portRef CD (instanceRef dat_data_counter_13)) @@ -97814,11 +98528,11 @@ )) (net dat_data_counter_0 (joined (portRef Q (instanceRef dat_data_counter_0)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_0_0)) (portRef B (instanceRef next_API_DATA_OUT_17_am_0)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) + (net un1_dat_data_counter_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_1_0)) (portRef D (instanceRef dat_data_counter_1)) )) (net reset_i_fast_1_i (joined @@ -97845,17 +98559,14 @@ (portRef SP (instanceRef address_4)) (portRef SP (instanceRef address_3)) (portRef SP (instanceRef address_2)) - (portRef SP (instanceRef address_0_rep1)) - (portRef SP (instanceRef address_0_rep2_1)) - (portRef SP (instanceRef address_1_1)) (portRef SP (instanceRef address_1)) - (portRef SP (instanceRef address_0_rep1_1)) + (portRef SP (instanceRef address_1_1)) (portRef SP (instanceRef address_1_0)) - (portRef SP (instanceRef address_2_1)) + (portRef SP (instanceRef address_2_0)) + (portRef SP (instanceRef address_4_0)) + (portRef SP (instanceRef address_5_0)) (portRef SP (instanceRef address_0)) - (portRef SP (instanceRef address_0_rep2)) - (portRef SP (instanceRef address_fast_0)) - (portRef SP (instanceRef address_fast_1_0)) + (portRef SP (instanceRef address_3_0)) (portRef SP (instanceRef buf_API_PACKET_NUM_OUT_2)) (portRef SP (instanceRef buf_API_PACKET_NUM_OUT_0)) (portRef SP (instanceRef buf_API_SHORT_TRANSFER_OUT)) @@ -97876,106 +98587,92 @@ (portRef SP (instanceRef dat_data_counter_1)) (portRef SP (instanceRef dat_data_counter_0)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) + (net un1_dat_data_counter_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_1_0)) (portRef D (instanceRef dat_data_counter_2)) )) - (net dat_data_counter_2 (joined - (portRef Q (instanceRef dat_data_counter_2)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef A (instanceRef next_API_DATA_OUT_17_am_2)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) + (net un1_dat_data_counter_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_3_0)) (portRef D (instanceRef dat_data_counter_3)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) + (net un1_dat_data_counter_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_3_0)) (portRef D (instanceRef dat_data_counter_4)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) + (net un1_dat_data_counter_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_5_0)) (portRef D (instanceRef dat_data_counter_5)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) + (net un1_dat_data_counter_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_5_0)) (portRef D (instanceRef dat_data_counter_6)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) + (net un1_dat_data_counter_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_7_0)) (portRef D (instanceRef dat_data_counter_7)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) + (net un1_dat_data_counter_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_7_0)) (portRef D (instanceRef dat_data_counter_8)) )) (net dat_data_counter_8 (joined (portRef Q (instanceRef dat_data_counter_8)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_7_0)) (portRef B (instanceRef next_API_DATA_OUT_17_am_8)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) + (net un1_dat_data_counter_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_9_0)) (portRef D (instanceRef dat_data_counter_9)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) + (net un1_dat_data_counter_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_9_0)) (portRef D (instanceRef dat_data_counter_10)) )) - (net dat_data_counter_10 (joined - (portRef Q (instanceRef dat_data_counter_10)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef B (instanceRef next_API_DATA_OUT_17_am_10)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) + (net un1_dat_data_counter_cry_11_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_11_0)) (portRef D (instanceRef dat_data_counter_11)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) + (net un1_dat_data_counter_cry_11_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_11_0)) (portRef D (instanceRef dat_data_counter_12)) )) (net dat_data_counter_12 (joined (portRef Q (instanceRef dat_data_counter_12)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) + (portRef A1 (instanceRef un1_dat_data_counter_cry_11_0)) (portRef B (instanceRef next_API_DATA_OUT_17_am_12)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) + (net un1_dat_data_counter_cry_13_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_13_0)) (portRef D (instanceRef dat_data_counter_13)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef D (instanceRef dat_data_counter_14)) + (net dat_data_counter_13 (joined + (portRef Q (instanceRef dat_data_counter_13)) + (portRef A0 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef B (instanceRef next_API_DATA_OUT_17_am_13)) )) - (net dat_data_counter_14 (joined - (portRef Q (instanceRef dat_data_counter_14)) - (portRef A1 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef B (instanceRef next_API_DATA_OUT_17_am_14)) + (net un1_dat_data_counter_cry_13_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef D (instanceRef dat_data_counter_14)) )) - (net un1_next_Reg_low_1_sqmuxa_s_15_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) + (net un1_dat_data_counter_s_15_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_s_15_0)) (portRef D (instanceRef dat_data_counter_15)) )) (net dat_data_counter_15 (joined (portRef Q (instanceRef dat_data_counter_15)) - (portRef A0 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) + (portRef A0 (instanceRef un1_dat_data_counter_s_15_0)) (portRef B (instanceRef next_API_DATA_OUT_17_am_15)) )) - (net current_state_ns_0_i_18 (joined - (portRef Z (instanceRef current_state_ns_0_i_18)) + (net N_5895 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_18)) (portRef D (instanceRef current_state_0)) )) - (net current_state_ns_0_i_17 (joined - (portRef Z (instanceRef current_state_ns_0_i_17)) - (portRef D (instanceRef current_state_1)) - )) - (net N_2043_0 (joined - (portRef Z (instanceRef current_state_ns_i_16)) + (net N_2050_0 (joined + (portRef Z (instanceRef current_state_ns_i_0_16)) (portRef D (instanceRef current_state_2)) )) - (net N_2041_0 (joined - (portRef Z (instanceRef current_state_ns_i_15)) + (net N_2048_0 (joined + (portRef Z (instanceRef current_state_ns_i_0_15)) (portRef D (instanceRef current_state_3)) )) (net current_state_ns_0_i_14 (joined @@ -97986,8 +98683,8 @@ (portRef Z (instanceRef current_state_ns_0_i_13)) (portRef D (instanceRef current_state_5)) )) - (net current_state_ns_0_i_12 (joined - (portRef Z (instanceRef current_state_ns_0_i_12)) + (net N_5891 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_12)) (portRef D (instanceRef current_state_6)) )) (net current_state_6 (joined @@ -97995,51 +98692,70 @@ (portRef B (instanceRef current_state_nss_0_i_0)) (portRef A (instanceRef current_state_ns_i_a3_0)) )) - (net current_state_ns_11 (joined - (portRef Z (instanceRef current_state_ns_i_m4_11)) + (net N_5970 (joined + (portRef Z (instanceRef current_state_ns_i_m4_i_m2_11)) (portRef D (instanceRef current_state_7)) )) (net current_state_7 (joined (portRef Q (instanceRef current_state_7)) - (portRef A (instanceRef current_state_RNI188F2_7)) - (portRef B (instanceRef current_state_ns_i_m4_11)) - (portRef A (instanceRef current_state_ns_i_a2_RNILBLQ_8)) + (portRef B (instanceRef current_state_RNI2BP74_7)) + (portRef B (instanceRef current_state_ns_i_m4_i_m2_11)) + (portRef C (instanceRef current_state_RNIME6J2_7)) (portRef B (instanceRef buf_API_SEND_OUT_RNO)) - (portRef B (instanceRef un1_current_state_6_0_a2)) (portRef B (instanceRef current_state_RNI9UQC_7)) )) - (net current_state_ns_0_i_10 (joined - (portRef Z (instanceRef current_state_ns_0_i_10)) + (net N_5894 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_10)) (portRef D (instanceRef current_state_8)) )) - (net N_2033_0 (joined - (portRef Z (instanceRef current_state_ns_i_9)) + (net current_state_8 (joined + (portRef Q (instanceRef current_state_8)) + (portRef C (instanceRef current_state_ns_0_i_s_10)) + (portRef C (instanceRef current_state_ns_i_m4_i_m2_11)) + (portRef B (instanceRef current_state_ns_0_i_s_1_6)) + (portRef C (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef B (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) + )) + (net N_48_i_0 (joined + (portRef Z (instanceRef current_state_RNO_9)) (portRef D (instanceRef current_state_9)) )) + (net N_5890_i (joined + (portRef Z (instanceRef current_state_RNO_10)) + (portRef D (instanceRef current_state_10)) + )) + (net current_state_10 (joined + (portRef Q (instanceRef current_state_10)) + (portRef B (instanceRef current_state_RNO_10)) + (portRef C (instanceRef lengthe_0_i)) + (portRef C (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef B (instanceRef current_state_RNIVD6M1_10)) + (portRef C (instanceRef current_state_RNI2BP74_7)) + (portRef C (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) + (portRef D (instanceRef current_state_RNIME6J2_7)) + (portRef C (instanceRef next_state_1_sqmuxa_1_0_a2_i_o2)) + (portRef C (instanceRef buf_API_SEND_OUT_RNO)) + (portRef A (instanceRef nomoredata_RNO)) + (portRef A (instanceRef timeout_RNO)) + )) (net current_state_ns_0_i_7 (joined (portRef Z (instanceRef current_state_ns_0_i_7)) (portRef D (instanceRef current_state_11)) )) - (net current_state_ns_0_i_6 (joined - (portRef Z (instanceRef current_state_ns_0_i_6)) + (net N_46 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_6)) (portRef D (instanceRef current_state_12)) )) (net current_state_12 (joined (portRef Q (instanceRef current_state_12)) (portRef B (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) - (portRef C (instanceRef current_state_ns_0_0_12)) - (portRef B (instanceRef un1_current_state_4_i_a2_1)) + (portRef C (instanceRef current_state_ns_0_i_s_0_12)) + (portRef B (instanceRef un1_current_state_5_i_a2_1)) )) (net current_state_ns_0_i_5 (joined (portRef Z (instanceRef current_state_ns_0_i_5)) (portRef D (instanceRef current_state_13)) )) - (net current_state_13 (joined - (portRef Q (instanceRef current_state_13)) - (portRef C (instanceRef current_state_ns_0_i_5)) - (portRef B (instanceRef un1_next_address_0_sqmuxa)) - (portRef C (instanceRef current_state_ns_0_i_3)) - )) (net current_state_ns_0_i_4 (joined (portRef Z (instanceRef current_state_ns_0_i_4)) (portRef D (instanceRef current_state_14)) @@ -98051,75 +98767,47 @@ (net current_state_15 (joined (portRef Q (instanceRef current_state_15)) (portRef D (instanceRef current_state_ns_0_i_3)) - (portRef B (instanceRef next_global_time_write_3_sqmuxa)) - (portRef C (instanceRef current_state_RNIIULQ_15)) - (portRef B (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef B (instanceRef next_global_time_write_6_sqmuxa)) + (portRef C (instanceRef current_state_RNIJ5GL1_15)) + (portRef C (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef C (instanceRef current_state_ns_0_i_a2_18)) (portRef C (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) - (portRef C (instanceRef next_unknown_1_sqmuxa_1)) - (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) + (portRef D (instanceRef next_unknown_1_sqmuxa_1)) + (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) )) - (net current_state_ns_0_i_2 (joined - (portRef Z (instanceRef current_state_ns_0_i_2)) + (net N_35 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_2)) (portRef D (instanceRef current_state_16)) )) (net current_state_16 (joined (portRef Q (instanceRef current_state_16)) - (portRef D (instanceRef current_state_ns_0_i_2)) + (portRef C (instanceRef current_state_ns_0_i_s_2)) (portRef C (instanceRef current_state_RNIK5KI2_16)) (portRef C (instanceRef current_state_RNI7LPA1_16)) - (portRef B (instanceRef current_state_ns_i_a2_RNIMNKQ_8)) + (portRef D (instanceRef current_state_RNINQ5J2_16)) (portRef A (instanceRef rom_read_addr_1_sqmuxa_1)) - (portRef C (instanceRef un1_current_state_4_i_a2_1)) - (portRef D (instanceRef un1_current_state_6_0_a2)) + (portRef C (instanceRef un1_current_state_5_i_a2_1)) (portRef C (instanceRef current_state_RNI9UQC_7)) )) - (net current_state_ns_0_i_1 (joined - (portRef Z (instanceRef current_state_ns_0_i_1)) - (portRef D (instanceRef current_state_17)) - )) - (net current_state_17 (joined - (portRef Q (instanceRef current_state_17)) - (portRef C (instanceRef current_state_ns_0_i_1)) - (portRef D (instanceRef current_state_ns_0_o2_5)) - (portRef D (instanceRef next_state_5_sqmuxa_1)) - (portRef B (instanceRef next_operation_0_sqmuxa)) - )) (net current_state_nss_0_i_0 (joined (portRef Z (instanceRef current_state_nss_0_i_0)) (portRef D (instanceRef current_state_18)) )) - (net current_state_18 (joined - (portRef Q (instanceRef current_state_18)) - (portRef B (instanceRef DAT_DATAREADY_IN_befored)) - (portRef B (instanceRef dont_understand_RNO_0)) - (portRef A (instanceRef reg_fsm_unknown_3_iv)) - (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_1_0)) - (portRef C (instanceRef current_state_nss_0_i_0)) - (portRef D (instanceRef current_state_ns_0_i_1)) - (portRef B (instanceRef reg_fsm_timeout_3_f0)) - (portRef B (instanceRef reg_fsm_nomoredata_3_f0)) - (portRef A (instanceRef current_state_RNISS3Q_18)) - (portRef B (instanceRef current_state_ns_i_a3_0)) - )) - (net rom_read_addr_1_sqmuxa_i (joined - (portRef Z (instanceRef buf_rom_read_addr_RNO_0)) - (portRef D (instanceRef buf_rom_read_addr_0)) - )) (net buf_rom_read_addr_0 (joined (portRef Q (instanceRef buf_rom_read_addr_0)) (portRef B (instanceRef rom_read_addr_0)) )) - (net N_2338 (joined + (net N_2345 (joined (portRef Z (instanceRef rom_read_addr_0_1)) + (portRef N_2345 (instanceRef board_rom)) (portRef A (instanceRef rom_read_addr_1)) (portRef D (instanceRef buf_rom_read_addr_1)) )) (net buf_rom_read_addr_1 (joined (portRef Q (instanceRef buf_rom_read_addr_1)) + (portRef (member buf_rom_read_addr 0) (instanceRef board_rom)) (portRef B (instanceRef rom_read_addr_1)) )) - (net N_2339 (joined + (net N_2346 (joined (portRef Z (instanceRef rom_read_addr_0_2)) (portRef A (instanceRef rom_read_addr_2)) (portRef D (instanceRef buf_rom_read_addr_2)) @@ -98152,6 +98840,50 @@ (portRef (member regio_data_in_i 30)) (portRef D (instanceRef buf_DAT_DATA_IN_1)) )) + (net regio_dataready_i (joined + (portRef regio_dataready_i) + (portRef C (instanceRef DAT_DATAREADY_IN_befored)) + (portRef D (instanceRef current_state_ns_0_i_s_10)) + (portRef D (instanceRef current_state_ns_i_m4_i_m2_11)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) + (portRef D (instanceRef current_state_ns_0_i_s_1_6)) + (portRef D (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef C (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) + (portRef C (instanceRef current_state_ns_i_i_o2_1_8)) + (portRef SP (instanceRef buf_DAT_DATA_IN_31)) + (portRef SP (instanceRef buf_DAT_DATA_IN_30)) + (portRef SP (instanceRef buf_DAT_DATA_IN_29)) + (portRef SP (instanceRef buf_DAT_DATA_IN_28)) + (portRef SP (instanceRef buf_DAT_DATA_IN_27)) + (portRef SP (instanceRef buf_DAT_DATA_IN_26)) + (portRef SP (instanceRef buf_DAT_DATA_IN_25)) + (portRef SP (instanceRef buf_DAT_DATA_IN_24)) + (portRef SP (instanceRef buf_DAT_DATA_IN_23)) + (portRef SP (instanceRef buf_DAT_DATA_IN_22)) + (portRef SP (instanceRef buf_DAT_DATA_IN_21)) + (portRef SP (instanceRef buf_DAT_DATA_IN_20)) + (portRef SP (instanceRef buf_DAT_DATA_IN_19)) + (portRef SP (instanceRef buf_DAT_DATA_IN_18)) + (portRef SP (instanceRef buf_DAT_DATA_IN_17)) + (portRef SP (instanceRef buf_DAT_DATA_IN_16)) + (portRef SP (instanceRef buf_DAT_DATA_IN_15)) + (portRef SP (instanceRef buf_DAT_DATA_IN_14)) + (portRef SP (instanceRef buf_DAT_DATA_IN_13)) + (portRef SP (instanceRef buf_DAT_DATA_IN_12)) + (portRef SP (instanceRef buf_DAT_DATA_IN_11)) + (portRef SP (instanceRef buf_DAT_DATA_IN_10)) + (portRef SP (instanceRef buf_DAT_DATA_IN_9)) + (portRef SP (instanceRef buf_DAT_DATA_IN_8)) + (portRef SP (instanceRef buf_DAT_DATA_IN_7)) + (portRef SP (instanceRef buf_DAT_DATA_IN_6)) + (portRef SP (instanceRef buf_DAT_DATA_IN_5)) + (portRef SP (instanceRef buf_DAT_DATA_IN_4)) + (portRef SP (instanceRef buf_DAT_DATA_IN_3)) + (portRef SP (instanceRef buf_DAT_DATA_IN_2)) + (portRef SP (instanceRef buf_DAT_DATA_IN_1)) + (portRef SP (instanceRef buf_DAT_DATA_IN_0)) + )) (net buf_DAT_DATA_IN_1 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_1)) (portRef B (instanceRef next_API_DATA_OUT_16_bm_1)) @@ -98194,7 +98926,7 @@ )) (net buf_DAT_DATA_IN_6 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_6)) - (portRef B (instanceRef next_API_DATA_OUT_16_bm_6)) + (portRef B (instanceRef next_API_DATA_OUT_0_6)) )) (net regio_data_in_i_7 (joined (portRef (member regio_data_in_i 24)) @@ -98202,7 +98934,7 @@ )) (net buf_DAT_DATA_IN_7 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_7)) - (portRef B (instanceRef next_API_DATA_OUT_16_bm_7)) + (portRef B (instanceRef next_API_DATA_OUT_0_7)) )) (net regio_data_in_i_8 (joined (portRef (member regio_data_in_i 23)) @@ -98322,7 +99054,7 @@ )) (net buf_DAT_DATA_IN_22 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_22)) - (portRef C (instanceRef next_API_DATA_OUT_16_bm_6)) + (portRef C (instanceRef next_API_DATA_OUT_0_6)) )) (net regio_data_in_i_23 (joined (portRef (member regio_data_in_i 8)) @@ -98330,7 +99062,7 @@ )) (net buf_DAT_DATA_IN_23 (joined (portRef Q (instanceRef buf_DAT_DATA_IN_23)) - (portRef C (instanceRef next_API_DATA_OUT_16_bm_7)) + (portRef C (instanceRef next_API_DATA_OUT_0_7)) )) (net regio_data_in_i_24 (joined (portRef (member regio_data_in_i 7)) @@ -98406,17 +99138,13 @@ (portRef A (instanceRef buf_API_SEND_OUT_RNO)) (portRef D (instanceRef buf_API_SEND_OUT)) )) - (net N_1955_i (joined + (net N_1957_i (joined (portRef Z (instanceRef buf_API_SEND_OUT_RNO)) (portRef SP (instanceRef buf_API_SEND_OUT)) )) - (net buf_API_PACKET_NUM_OUT_2_0 (joined - (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_2_0)) - (portRef D (instanceRef buf_API_PACKET_NUM_OUT_0)) - )) - (net buf_API_PACKET_NUM_OUT_Q_0 (joined - (portRef Q (instanceRef buf_API_PACKET_NUM_OUT_0)) - (portRef D (instanceRef API_PACKET_NUM_OUT_0)) + (net buf_API_SEND_OUT (joined + (portRef Q (instanceRef buf_API_SEND_OUT)) + (portRef B (instanceRef API_SEND_OUT)) )) (net buf_API_PACKET_NUM_OUT_2_2 (joined (portRef Z (instanceRef buf_API_PACKET_NUM_OUT_2_2)) @@ -98486,234 +99214,96 @@ (portRef Z (instanceRef next_API_DATA_OUT_15)) (portRef D (instanceRef buf_API_DATA_OUT_15)) )) - (net un1_current_state_10_0 (joined - (portRef Z (instanceRef un1_current_state_10)) + (net N_5893_i (joined + (portRef Z (instanceRef buf_API_DATAREADY_OUT_RNO)) (portRef D (instanceRef buf_API_DATAREADY_OUT)) )) (net buf_API_DATAREADY_OUT (joined (portRef Q (instanceRef buf_API_DATAREADY_OUT)) (portRef B (instanceRef DAT_DATAREADY_IN_befored_1)) - (portRef B (instanceRef current_state_ns_i_a2_8)) + (portRef B (instanceRef packet_counter_RNIHNC81_1_1)) (portRef B (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef B (instanceRef packet_counter_RNIHNC81_1)) (portRef B (instanceRef packet_counter_RNIBUIU_0)) - (portRef D (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef D (instanceRef packet_counter_RNIHNC81_1)) (portRef buf_API_DATAREADY_OUT) )) - (net N_136 (joined + (net N_5951 (joined (portRef S1 (instanceRef next_address_i_m2_cry_0_0)) - (portRef D (instanceRef address_0_rep1)) - (portRef D (instanceRef address_0_rep2_1)) - (portRef D (instanceRef address_0_rep1_1)) (portRef D (instanceRef address_1_0)) + (portRef D (instanceRef address_2_0)) + (portRef D (instanceRef address_4_0)) + (portRef D (instanceRef address_5_0)) (portRef D (instanceRef address_0)) - (portRef D (instanceRef address_0_rep2)) - (portRef D (instanceRef address_fast_0)) - (portRef D (instanceRef address_fast_1_0)) + (portRef D (instanceRef address_3_0)) )) - (net regio_addr_i_fast_1_0 (joined - (portRef Q (instanceRef address_fast_1_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m518_6_03_0_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) - (portRef D (instanceRef un225_next_api_data_out_1_axbxc3)) - (portRef C (instanceRef fsm_un229_next_api_data_out_m0_6_03_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m514_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m513_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m256_6_03_3)) - )) - (net N_137 (joined + (net next_address_i_m2_cry_1_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_1_0)) - (portRef D (instanceRef address_1_1)) (portRef D (instanceRef address_1)) - (portRef D (instanceRef address_2_1)) - )) - (net regio_addr_i_0_rep2_1 (joined - (portRef Q (instanceRef address_0_rep2_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_bm)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m526_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_0)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_8_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_8)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m519_6_03_2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m268_6_03_2)) + (portRef D (instanceRef address_1_1)) )) - (net N_138 (joined + (net next_address_i_m2_cry_1_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_1_0)) (portRef D (instanceRef address_2)) )) - (net N_139 (joined + (net next_address_i_m2_cry_3_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_3_0)) (portRef D (instanceRef address_3)) )) - (net N_140 (joined + (net next_address_i_m2_cry_3_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_3_0)) (portRef D (instanceRef address_4)) )) - (net N_141 (joined + (net N_5956 (joined (portRef S0 (instanceRef next_address_i_m2_cry_5_0)) (portRef D (instanceRef address_5)) )) - (net N_142 (joined + (net next_address_i_m2_cry_5_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_5_0)) (portRef D (instanceRef address_6)) )) - (net N_143 (joined + (net next_address_i_m2_cry_7_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_7_0)) (portRef D (instanceRef address_7)) )) - (net N_144 (joined + (net next_address_i_m2_cry_7_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_7_0)) (portRef D (instanceRef address_8)) )) - (net N_145 (joined + (net next_address_i_m2_cry_9_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_9_0)) (portRef D (instanceRef address_9)) )) - (net N_146 (joined + (net next_address_i_m2_cry_9_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_9_0)) (portRef D (instanceRef address_10)) )) - (net N_147 (joined + (net next_address_i_m2_cry_11_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_11_0)) (portRef D (instanceRef address_11)) )) - (net N_148 (joined + (net next_address_i_m2_cry_11_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_11_0)) (portRef D (instanceRef address_12)) )) - (net N_149 (joined + (net next_address_i_m2_cry_13_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_cry_13_0)) (portRef D (instanceRef address_13)) )) - (net N_150 (joined + (net next_address_i_m2_cry_13_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_cry_13_0)) (portRef D (instanceRef address_14)) )) - (net N_151 (joined + (net next_address_i_m2_s_15_0_S0 (joined (portRef S0 (instanceRef next_address_i_m2_s_15_0)) (portRef D (instanceRef address_15)) )) + (net un1_next_state_0_sqmuxa_1_0_i (joined + (portRef Z (instanceRef addr_counter_enable_RNO)) + (portRef SP (instanceRef addr_counter_enable)) + )) (net addr_counter_enable (joined (portRef Q (instanceRef addr_counter_enable)) - (portRef A (instanceRef next_address_i_m2_cry_0_0_RNO)) + (portRef B (instanceRef next_address_i_m2_cry_0_0_RNO)) )) (net TIMER_MS_TICK_0_sqmuxa (joined (portRef Z (instanceRef TIMER_MS_TICK_0_sqmuxa)) @@ -98723,10 +99313,6 @@ (portRef Q (instanceRef TIMER_MS_TICK)) (portRef (member timer_ticks 0)) )) - (net reg_enable_pattern_m_0_1 (joined - (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_1)) - (portRef D (instanceRef REGISTERS_OUT_write_enable_1)) - )) (net reg_enable_pattern_m_0_2 (joined (portRef Z (instanceRef REGISTERS_OUT_write_enable_RNO_2)) (portRef D (instanceRef REGISTERS_OUT_write_enable_2)) @@ -98739,14 +99325,17 @@ (portRef Z (instanceRef DAT_DATAREADY_IN_befored)) (portRef D (instanceRef DAT_DATAREADY_IN_before)) )) + (net DAT_DATAREADY_IN_before_Q (joined + (portRef Q (instanceRef DAT_DATAREADY_IN_before)) + (portRef C (instanceRef DAT_DATAREADY_IN_befored_1)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef D (instanceRef current_state_ns_i_i_o2_1_8)) + )) (net reg_enable_pattern_m_0 (joined (portRef Z (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_0)) )) - (net reg_enable_pattern_m_1 (joined - (portRef Z (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) - (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_1)) - )) (net reg_enable_pattern_m_2 (joined (portRef Z (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_2)) @@ -98764,75 +99353,61 @@ (portRef Z (instanceRef un225_next_api_data_out_1_p4)) (portRef B (instanceRef fsm_un229_next_api_data_out_m0_8_03_1)) )) - (net DONT_UNDERSTAND_OUT_Q (joined - (portRef DONT_UNDERSTAND_OUT_Q (instanceRef the_addresses)) - (portRef C (instanceRef current_state_ns_0_a3_0_0_13)) - (portRef B (instanceRef next_dont_understand_1_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_o4_1_6)) - )) - (net ADDRESS_REJECTED_Q (joined - (portRef ADDRESS_REJECTED_Q (instanceRef the_addresses)) - (portRef B (instanceRef current_state_ns_0_a3_0_0_13)) - (portRef C (instanceRef next_dont_understand_1_sqmuxa)) - (portRef B (instanceRef current_state_ns_0_o4_1_6)) - )) - (net N_2068_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_1_6)) - (portRef A (instanceRef current_state_ns_0_0_6)) - )) - (net N_2067_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_0_6)) - (portRef B (instanceRef current_state_ns_0_i_1_6)) - (portRef A (instanceRef current_state_ns_0_i_7)) - (portRef B (instanceRef current_state_ns_0_a3_0_14)) - (portRef A (instanceRef next_state_5_sqmuxa_1)) - )) - (net N_4487 (joined - (portRef Z (instanceRef fsm_un38_api_dataready_in_i_o2)) - (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_2)) - (portRef A (instanceRef next_unknown_1_sqmuxa_1)) + (net N_1958 (joined + (portRef Z (instanceRef un10_current_state_i_a2)) + (portRef N_1958 (instanceRef the_addresses)) + (portRef B (instanceRef buf_API_PACKET_NUM_OUT_RNIRHV91_0)) + (portRef N_1958) )) - (net N_2059_0 (joined - (portRef Z (instanceRef current_state_ns_i_o4_16)) - (portRef A (instanceRef current_state_ns_i_16)) + (net ADR_PACKET_NUM_OUT_2 (joined + (portRef (member adr_packet_num_out 0) (instanceRef the_addresses)) + (portRef B (instanceRef current_state_ns_0_i_14)) + (portRef A (instanceRef current_state_ns_0_i_13)) + (portRef A (instanceRef buf_API_PACKET_NUM_OUT_2_2)) + (portRef B (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) + (portRef (member adr_packet_num_out 0)) )) (net m8_6_03_2_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_2_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) (portRef A (instanceRef fsm_un104_next_api_data_out_m518_6_03_0_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m526_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) (portRef B (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m12_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m268_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m15_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m14_6_03_2)) @@ -98847,155 +99422,57 @@ (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_2)) (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_2)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_2)) (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - )) - (net N_228 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m527_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m526_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m514_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m257_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m513_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m512_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m515_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m518_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m518_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) - )) - (net N_2137_2 (joined - (portRef Z (instanceRef current_state_ns_0_a2_0_2_12)) - (portRef A (instanceRef fsm_un4_dat_dataready_in)) - (portRef A (instanceRef fsm_un3_dat_dataready_in)) - (portRef A (instanceRef current_state_ns_0_o4_tz_12)) - )) - (net ADR_PACKET_NUM_OUT_2 (joined - (portRef (member adr_packet_num_out 0) (instanceRef the_addresses)) - (portRef B (instanceRef current_state_ns_0_i_14)) - (portRef A (instanceRef current_state_ns_0_i_13)) - (portRef A (instanceRef buf_API_PACKET_NUM_OUT_2_2)) - (portRef B (instanceRef buf_API_PACKET_NUM_OUT_RNI7RAK_2)) - (portRef (member adr_packet_num_out 0)) - )) - (net regio_addr_i_10 (joined - (portRef Q (instanceRef address_10)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_10)) - (portRef C (instanceRef next_address_i_m2_cry_9_0_RNO_0)) - (portRef A (instanceRef fsm_or_all_tmp_2)) - (portRef regio_addr_i_10) - )) - (net regio_addr_i_11 (joined - (portRef Q (instanceRef address_11)) - (portRef C (instanceRef next_API_DATA_OUT_16_am_11)) - (portRef C (instanceRef next_address_i_m2_cry_11_0_RNO)) - (portRef B (instanceRef fsm_or_all_tmp_2)) - (portRef regio_addr_i_11) - )) - (net N_2116_7 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_6)) - (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - (portRef A (instanceRef fsm_op_eq_next_state60_4_0)) - )) - (net N_2116_3 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_2)) - (portRef B (instanceRef fsm_op_eq_next_state60)) - (portRef A (instanceRef current_state_ns_i_a3_0_5_8)) - )) - (net N_2116_2 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_1)) - (portRef A (instanceRef fsm_op_eq_next_state60)) )) (net m8_6_03_3_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_3_1)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_15)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) (portRef A (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03)) (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_2)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_2)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_2)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_3)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m268_6_03_3)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_3)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_3)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_3)) @@ -99006,366 +99483,285 @@ (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_3)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_3)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m2_6_03_3)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m0_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m3_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m1_6_03_3)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_6)) (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_3)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_3)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_3)) (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_3)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_4)) )) - (net m8_6_03_1_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_1_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m514_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m513_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m515_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m519_6_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m268_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m258_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m257_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m262_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m263_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m2_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_3)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m258_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m257_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m262_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (net N_5913 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_1)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef A (instanceRef current_state_ns_i_i_o2_1_8)) + )) + (net N_5916 (joined + (portRef Z (instanceRef current_state_ns_i_0_o2_16)) + (portRef B (instanceRef current_state_ns_i_0_16)) + (portRef A (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) + )) + (net N_5856 (joined + (portRef Z (instanceRef next_STAT_REG_STROBE_1_sqmuxa_1_0_a2_0)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_4)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_14)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_7)) + )) + (net regio_addr_i_10 (joined + (portRef Q (instanceRef address_10)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_10)) + (portRef C (instanceRef next_address_i_m2_cry_9_0_RNO_0)) + (portRef A (instanceRef fsm_or_all_tmp_2)) + (portRef regio_addr_i_10) + )) + (net regio_addr_i_11 (joined + (portRef Q (instanceRef address_11)) + (portRef C (instanceRef next_API_DATA_OUT_16_am_11)) + (portRef C (instanceRef next_address_i_m2_cry_11_0_RNO)) + (portRef B (instanceRef fsm_or_all_tmp_2)) + (portRef regio_addr_i_11) + )) + (net N_2142_2 (joined + (portRef Z (instanceRef fsm_or_all_tmp_2)) + (portRef A (instanceRef fsm_or_all_tmp)) + )) + (net DONT_UNDERSTAND_OUT_Q (joined + (portRef DONT_UNDERSTAND_OUT_Q (instanceRef the_addresses)) + (portRef C (instanceRef current_state_ns_0_a3_0_0_13)) + (portRef B (instanceRef next_dont_understand_1_sqmuxa)) + (portRef A (instanceRef current_state_ns_0_o4_1_6)) + )) + (net ADDRESS_REJECTED_Q (joined + (portRef ADDRESS_REJECTED_Q (instanceRef the_addresses)) + (portRef B (instanceRef current_state_ns_0_a3_0_0_13)) + (portRef C (instanceRef next_dont_understand_1_sqmuxa)) + (portRef B (instanceRef current_state_ns_0_o4_1_6)) + )) + (net N_2075_0 (joined + (portRef Z (instanceRef current_state_ns_0_o4_1_6)) + (portRef A (instanceRef current_state_ns_0_i_s_0_6)) + )) + (net ctrl_reg_56 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_56_gen_regoutff_buf_REGISTERS_OUT_56)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m72_4_03_3)) + (portRef ctrl_reg_56) + )) + (net m8_4_03_3 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m72_4_03_3)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_8)) + (portRef C (instanceRef next_API_DATA_OUT_1_am_8)) )) (net m6_8_03_0_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_1_1)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_2)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_3)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_4)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_1)) - (portRef D (instanceRef next_API_DATA_OUT_1_am_5)) (portRef D (instanceRef next_API_DATA_OUT_1_am_6)) - (portRef C (instanceRef next_API_DATA_OUT_9_am_0)) + (portRef C (instanceRef next_API_DATA_OUT_9_bm_1)) + (portRef C (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) + (portRef D (instanceRef next_API_DATA_OUT_1_am_5)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m12_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m15_8_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03)) (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03)) (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_1)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) )) - (net m5_8_03_1_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_2_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (net ctrl_reg_58 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_58_gen_regoutff_buf_REGISTERS_OUT_58)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m74_4_03_3)) + (portRef ctrl_reg_58) )) - (net OUT772_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_1)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) - (portRef C (instanceRef next_API_DATA_OUT_14_bm_10)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m3_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) - (portRef B (instanceRef next_API_DATA_OUT_1_am_13)) - (portRef B (instanceRef next_API_DATA_OUT_1_am_15)) - (portRef B (instanceRef next_API_DATA_OUT_1_am_11)) + (net m10_4_03_3 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m74_4_03_3)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_10)) + (portRef C (instanceRef next_API_DATA_OUT_1_am_10)) )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef B (instanceRef current_state_RNISS3Q_18)) + (net regio_nomoredata_i (joined + (portRef regio_nomoredata_i) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) + (portRef C (instanceRef next_state_2_sqmuxa_1)) + (portRef C (instanceRef nomoredata_RNO)) + (portRef B (instanceRef reg_fsm_unknown_3_iv_0_a2_1_0)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_1)) )) - (net next_STAT_REG_STROBE_1_4 (joined - (portRef Z (instanceRef un225_next_api_data_out_1_ac0_1)) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) + (net unknown_3_iv_0_a2_1_0 (joined + (portRef Z (instanceRef reg_fsm_unknown_3_iv_0_a2_1_0)) + (portRef C (instanceRef reg_fsm_unknown_3_iv_0)) )) - (net next_state_2_sqmuxa_0 (joined - (portRef Z (instanceRef next_state_2_sqmuxa_0)) - (portRef D (instanceRef current_state_ns_0_i_10)) + (net un1_next_address_0_sqmuxa_0_a2_0 (joined + (portRef Z (instanceRef un1_next_address_0_sqmuxa_0_a2_0)) + (portRef D (instanceRef next_address_i_o2_0)) )) - (net N_4501 (joined - (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc_8)) - (portRef C (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_0)) - (portRef A (instanceRef next_unknown_1_sqmuxa_3)) - (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc)) + (net stat_lvl1_handler_41 (joined + (portRef stat_lvl1_handler_41) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_2)) )) - (net current_state_ns_0_a2_3_2 (joined - (portRef Z (instanceRef current_state_ns_0_a2_3_2)) - (portRef C (instanceRef current_state_ns_0_a2_2)) + (net m9_6_03_i_a2_3_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_2)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) )) (net un1_local_time_i_3 (joined (portRef Z (instanceRef proc_us_tick_un1_local_time_i_3)) (portRef A (instanceRef proc_us_tick_un1_local_time_i)) )) - (net current_state_ns_0_a3_0_0_5 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_0_5)) - (portRef D (instanceRef current_state_ns_0_i_5)) + (net current_state_ns_0_a2_0_o2_3_2 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_3_2)) + (portRef C (instanceRef current_state_ns_0_a2_0_o2_2)) )) (net N_297 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m296)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) )) - (net N_2425 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_7)) - )) - (net N_2457 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_7)) - )) - (net N_325_0 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m324)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_11)) - )) - (net N_2422 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_4)) - )) - (net N_2454 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_4)) - )) - (net N_2429 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_11)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_11)) - )) - (net N_2461 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_11)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_11)) - )) - (net N_2465 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_15)) - )) - (net N_2433 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_15)) - )) - (net N_2455 (joined + (net N_2462 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_5)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_5)) )) - (net N_2423 (joined + (net N_2430 (joined (portRef Z (instanceRef next_API_DATA_OUT_4_5)) (portRef BLUT (instanceRef next_API_DATA_OUT_15_5)) )) - (net N_2418 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_0)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_0)) + (net common_ctrl_reg_41 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_41_buf_COMMON_CTRL_REG_OUT_41)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) + (portRef common_ctrl_reg_41) + )) + (net N_408 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + )) + (net N_408_0 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m407)) + (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + )) + (net N_2463 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_6)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_6)) )) - (net N_2450 (joined + (net N_2457 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_0)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_0)) )) + (net N_2425 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_0)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_0)) + )) (net N_2431 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_13)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_13)) + (portRef Z (instanceRef next_API_DATA_OUT_4_6)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_6)) )) - (net N_2463 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_13)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_13)) + (net N_2467 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_10)) )) - (net N_408 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m407)) - (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (net N_2435 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_10)) + )) + (net N_2469 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_12)) )) - (net N_2453 (joined + (net N_2437 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_12)) + )) + (net N_2460 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_3)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_3)) )) - (net N_2421 (joined + (net N_2428 (joined (portRef Z (instanceRef next_API_DATA_OUT_4_3)) (portRef BLUT (instanceRef next_API_DATA_OUT_15_3)) )) - (net N_2451 (joined + (net N_5837 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_i_m2_11)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_i_m2_11)) + )) + (net N_5836 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_i_m2_11)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_i_m2_11)) + )) + (net N_2458 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_1)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_1)) )) - (net N_2419 (joined + (net N_2426 (joined (portRef Z (instanceRef next_API_DATA_OUT_4_1)) (portRef BLUT (instanceRef next_API_DATA_OUT_15_1)) )) - (net N_2456 (joined - (portRef Z (instanceRef next_API_DATA_OUT_6_6)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_6)) - )) - (net N_2424 (joined - (portRef Z (instanceRef next_API_DATA_OUT_4_6)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_6)) - )) - (net N_2452 (joined + (net N_2459 (joined (portRef Z (instanceRef next_API_DATA_OUT_6_2)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_2)) )) - (net N_2420 (joined + (net N_2427 (joined (portRef Z (instanceRef next_API_DATA_OUT_4_2)) (portRef BLUT (instanceRef next_API_DATA_OUT_15_2)) )) + (net N_2465 (joined + (portRef Z (instanceRef next_API_DATA_OUT_6_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_8)) + )) + (net N_2433 (joined + (portRef Z (instanceRef next_API_DATA_OUT_4_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_8)) + )) + (net N_325_0 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m324)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) + )) (net N_239_0 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m238)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_13)) + (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) )) (net N_129_0 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m128)) - (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) - )) - (net common_ctrl_reg_41 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_41_buf_COMMON_CTRL_REG_OUT_41)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) - (portRef common_ctrl_reg_41) - )) - (net N_408_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) )) (net common_ctrl_reg_43 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_43_buf_COMMON_CTRL_REG_OUT_43)) (portRef C (instanceRef fsm_un193_next_api_data_out_m324)) (portRef common_ctrl_reg_43) )) - (net common_ctrl_reg_39 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_39_buf_COMMON_CTRL_REG_OUT_39)) - (portRef C (instanceRef fsm_un193_next_api_data_out_m296)) - (portRef common_ctrl_reg_39) - )) - (net N_297_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_m296)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_7)) - )) (net common_ctrl_reg_45 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_45_buf_COMMON_CTRL_REG_OUT_45)) (portRef C (instanceRef fsm_un193_next_api_data_out_m238)) @@ -99373,17 +99769,17 @@ )) (net common_ctrl_reg_10 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_10)) - (portRef B (instanceRef fsm_un193_next_api_data_out_m128)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO)) (portRef common_ctrl_reg_10) )) (net common_ctrl_reg_42 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_42_buf_COMMON_CTRL_REG_OUT_42)) - (portRef C (instanceRef fsm_un193_next_api_data_out_m128)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO)) (portRef common_ctrl_reg_42) )) (net N_129_0_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_m128)) - (portRef A (instanceRef next_API_DATA_OUT_13_bm_10)) + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) )) (net timer_ticks_0 (joined (portRef Q (instanceRef us_tick_i)) @@ -99391,97 +99787,53 @@ (portRef B (instanceRef global_time_ie_0_i)) (portRef (member timer_ticks 1)) )) - (net ctrl_reg_78 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_78_gen_regoutff_buf_REGISTERS_OUT_78)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m78_4_03)) - (portRef ctrl_reg_78) - )) - (net m78_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m78_4_03)) - (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) - )) - (net regio_nomoredata_i (joined - (portRef regio_nomoredata_i) - (portRef D (instanceRef nomoredata_RNO)) - (portRef C (instanceRef next_state_2_sqmuxa_1)) - (portRef C (instanceRef fsm_un4_dat_dataready_in)) - (portRef C (instanceRef fsm_un3_dat_dataready_in)) - (portRef C (instanceRef current_state_ns_0_o4_tz_12)) - (portRef B (instanceRef current_state_ns_0_o4_6)) - (portRef C (instanceRef reg_fsm_nomoredata_3_f0)) - (portRef B (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) - )) - (net regio_unknown_addr_i (joined - (portRef regio_unknown_addr_i) - (portRef C (instanceRef reg_fsm_unknown_3_iv)) - (portRef D (instanceRef next_state_2_sqmuxa_1)) - (portRef D (instanceRef fsm_un4_dat_dataready_in)) - (portRef D (instanceRef fsm_un3_dat_dataready_in)) - (portRef D (instanceRef current_state_ns_0_o4_tz_12)) - (portRef C (instanceRef current_state_ns_0_o4_6)) - (portRef A (instanceRef DAT_DATAREADY_IN_before_RNIHUV01)) - )) - (net buf_api_stat_fifo_to_apl_106 (joined - (portRef (member buf_api_stat_fifo_to_apl 0)) - (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef the_addresses)) - (portRef A (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef A (instanceRef next_global_time_write_6_sqmuxa)) - (portRef D (instanceRef current_state_ns_i_o4_0_9)) - (portRef A (instanceRef fsm_un22_api_dataready_in_RNIOKFL)) - (portRef B (instanceRef next_unknown_1_sqmuxa_1)) - (portRef A (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef A (instanceRef current_state_ns_i_o2_9)) - (portRef D (instanceRef current_state_ns_0_o4_3)) - (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef D (instanceRef next_address_1_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_o4_1_17)) - (portRef C (instanceRef current_state_ns_0_o4_1_RNI8P211_17)) + (net common_ctrl_reg_38 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_38_buf_COMMON_CTRL_REG_OUT_38)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) + (portRef common_ctrl_reg_38) )) - (net N_2069_0_1 (joined - (portRef Z (instanceRef current_state_ns_0_o4_1_17)) - (portRef A (instanceRef current_state_ns_0_o4_1_RNI8P211_17)) - (portRef N_2069_0_1) + (net m6_4_03_4 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) )) - (net buf_APL_PACKET_NUM_OUT_9 (joined - (portRef (member buf_apl_packet_num_out 2)) - (portRef (member buf_apl_packet_num_out 2) (instanceRef the_addresses)) - (portRef D (instanceRef next_address_0_sqmuxa)) - (portRef C (instanceRef current_state_ns_i_15)) - (portRef A (instanceRef current_state_ns_0_o4_3)) - (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef A (instanceRef fsm_un24_api_dataready_in)) - (portRef A (instanceRef fsm_un22_api_dataready_in)) - (portRef A (instanceRef fsm_un5_api_typ_in)) - (portRef A (instanceRef next_address_1_sqmuxa)) - (portRef D (instanceRef current_state_ns_i_am_8)) + (net ctrl_reg_68 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_68_gen_regoutff_buf_REGISTERS_OUT_68)) + (portRef B (instanceRef fsm_un254_next_api_data_out_m68_4_03)) + (portRef ctrl_reg_68) )) - (net m12_4_03_4 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m12_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) + (net m68_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_m68_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) )) - (net m15_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m15_4_03)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_15)) + (net ctrl_reg_71 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_71_gen_regoutff_buf_REGISTERS_OUT_71)) + (portRef B (instanceRef fsm_un254_next_api_data_out_m71_4_03)) + (portRef ctrl_reg_71) )) - (net ctrl_reg_41 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_41_gen_regoutff_buf_REGISTERS_OUT_41)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m9_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef ctrl_reg_41) + (net m71_4_03_4 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_m71_4_03)) + (portRef A (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) )) - (net m9_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (net N_83 (joined + (portRef Z (instanceRef next_address_1_sqmuxa_i_o2_0)) + (portRef A (instanceRef current_state_ns_i_i_a2_9)) )) - (net ctrl_reg_48 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_48_gen_regoutff_buf_REGISTERS_OUT_48)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef ctrl_reg_48) + (net buf_api_stat_fifo_to_int_110 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef the_addresses)) + (portRef A (instanceRef DAT_DATAREADY_IN_befored_1)) + (portRef B (instanceRef lengthe_0_i)) + (portRef B (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) + (portRef A (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef A (instanceRef packet_counter_RNIHNC81_0_1)) + (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) + (portRef A (instanceRef packet_counter_RNIBUIU_0)) + (portRef A (instanceRef ADR_READ_IN)) + (portRef A (instanceRef packet_counter_RNIHNC81_1)) )) - (net m0_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) + (net ADR_READ_IN (joined + (portRef Z (instanceRef ADR_READ_IN)) + (portRef ADR_READ_IN (instanceRef the_addresses)) )) (net un1_invalid_trg_axb_9 (joined (portRef un1_invalid_trg_axb_9) @@ -99493,164 +99845,52 @@ )) (net m201_4_03_4 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) - )) - (net common_ctrl_reg_15 (joined - (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_15)) - (portRef B (instanceRef fsm_un193_next_api_data_out_m15_4_03)) - (portRef common_ctrl_reg_15) - )) - (net common_ctrl_reg_47 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_47_buf_COMMON_CTRL_REG_OUT_47)) - (portRef C (instanceRef fsm_un193_next_api_data_out_m15_4_03)) - (portRef common_ctrl_reg_47) - )) - (net stat_lvl1_handler_4 (joined - (portRef stat_lvl1_handler_4) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) - )) - (net stat_lvl1_handler_36 (joined - (portRef stat_lvl1_handler_36) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) - )) - (net m68_4_03_4 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) - )) - (net un1_spurious_trg_1_axb_7 (joined - (portRef un1_spurious_trg_1_axb_7) - (portRef A (instanceRef fsm_un29_next_api_data_out_m199_4_03)) - )) - (net un1_timing_trg_found_1_axb_7 (joined - (portRef un1_timing_trg_found_1_axb_7) - (portRef B (instanceRef fsm_un29_next_api_data_out_m199_4_03)) - )) - (net m199_4_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m199_4_03)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03)) - )) - (net temperature_7 (joined - (portRef (member temperature 4)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m11_4_03)) - )) - (net common_stat_reg_i_58 (joined - (portRef common_stat_reg_i_58) - (portRef B (instanceRef fsm_un29_next_api_data_out_m11_4_03)) - )) - (net m11_4_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_4_03)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_11)) - )) - (net un1_spurious_trg_1_axb_11 (joined - (portRef un1_spurious_trg_1_axb_11) - (portRef A (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) )) - (net un1_timing_trg_found_1_axb_11 (joined - (portRef un1_timing_trg_found_1_axb_11) - (portRef B (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + (net common_ctrl_reg_5 (joined + (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_5)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) + (portRef common_ctrl_reg_5) )) - (net m203_4_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m203_4_03)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) + (net common_ctrl_reg_37 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_37_buf_COMMON_CTRL_REG_OUT_37)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) + (portRef common_ctrl_reg_37) )) (net m5_4_03_4 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_m5_4_03)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_5)) - )) - (net ctrl_reg_73 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_73_gen_regoutff_buf_REGISTERS_OUT_73)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m73_4_03)) - (portRef ctrl_reg_73) - )) - (net m73_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m73_4_03)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) - )) - (net m9_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m9_4_03)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) )) - (net ctrl_reg_57 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_57_gen_regoutff_buf_REGISTERS_OUT_57)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef ctrl_reg_57) + (net m5_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m5_4_03)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) )) - (net m9_6_03_1_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m9_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + (net un1_invalid_trg_axb_0 (joined + (portRef un1_invalid_trg_axb_0) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) )) - (net buf_APL_PACKET_NUM_OUT_10 (joined - (portRef (member buf_apl_packet_num_out 1)) - (portRef (member buf_apl_packet_num_out 1) (instanceRef the_addresses)) - (portRef C (instanceRef next_address_0_sqmuxa)) - (portRef B (instanceRef current_state_ns_i_o2_15)) - (portRef B (instanceRef current_state_ns_i_o4_0_9)) - (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) - (portRef B (instanceRef current_state_ns_0_o4_3)) - (portRef B (instanceRef fsm_un24_api_dataready_in)) - (portRef B (instanceRef fsm_un22_api_dataready_in)) - (portRef B (instanceRef fsm_un5_api_typ_in)) - (portRef B (instanceRef next_address_1_sqmuxa)) + (net un1_prev_trg_reg_1_axb_0 (joined + (portRef un1_prev_trg_reg_1_axb_0) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) )) - (net buf_APL_PACKET_NUM_OUT_11 (joined - (portRef (member buf_apl_packet_num_out 0)) - (portRef (member buf_apl_packet_num_out 0) (instanceRef the_addresses)) - (portRef B (instanceRef next_address_0_sqmuxa)) - (portRef C (instanceRef current_state_ns_i_o2_15)) - (portRef C (instanceRef current_state_ns_i_o4_0_9)) - (portRef C (instanceRef current_state_ns_0_o4_3)) - (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef C (instanceRef fsm_un24_api_dataready_in)) - (portRef C (instanceRef fsm_un22_api_dataready_in)) - (portRef C (instanceRef fsm_un5_api_typ_in)) - (portRef C (instanceRef next_address_1_sqmuxa)) + (net m192_4_03_4 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) )) - (net N_180 (joined + (net N_5867 (joined (portRef Z (instanceRef address_RNITT_4)) - (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) - (portRef A (instanceRef address_0_rep1_RNIKOAD)) - (portRef B (instanceRef address_RNIS84Q_7)) - (portRef N_180) - )) - (net buf_api_stat_fifo_to_int_110 (joined - (portRef (member buf_api_stat_fifo_to_int 0)) - (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef the_addresses)) - (portRef C0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef A0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef A1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef A (instanceRef DAT_DATAREADY_IN_befored_1)) - (portRef A (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - (portRef C (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef A (instanceRef current_state_ns_i_a2_8)) - (portRef A (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef A (instanceRef packet_counter_RNIHNC81_1)) - (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef A (instanceRef ADR_READ_IN)) - (portRef A (instanceRef packet_counter_RNIBUIU_0)) - (portRef A (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef N_5867 (instanceRef pattern_gen_inst)) + (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_3)) + (portRef A (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) + (portRef A (instanceRef REGISTERS_OUT_write_enable_RNO_2)) + (portRef D (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_1)) + (portRef N_5867) )) - (net N_2519_1 (joined + (net N_2526_1 (joined (portRef Z (instanceRef current_state_RNI9UQC_7)) - (portRef A (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) - (portRef C (instanceRef current_state_ns_0_i_12)) - )) - (net ADR_READ_IN (joined - (portRef Z (instanceRef ADR_READ_IN)) - (portRef ADR_READ_IN (instanceRef the_addresses)) + (portRef A (instanceRef current_state_ns_0_i_s_12)) + (portRef A (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef A (instanceRef current_state_RNIVD6M1_10)) )) (net stat_reg_598 (joined (portRef stat_reg_598) @@ -99676,6 +99916,18 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m583_4_03)) (portRef D (instanceRef fsm_un104_next_api_data_out_m519_6_03)) )) + (net stat_reg_592 (joined + (portRef stat_reg_592) + (portRef B (instanceRef fsm_un104_next_api_data_out_m576_4_03)) + )) + (net stat_reg_624 (joined + (portRef stat_reg_624) + (portRef C (instanceRef fsm_un104_next_api_data_out_m576_4_03)) + )) + (net m576_4_03_4 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m576_4_03)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m512_6_03)) + )) (net ctrl_reg_55 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_55_gen_regoutff_buf_REGISTERS_OUT_55)) (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) @@ -99686,18 +99938,6 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_6_03_1)) (portRef B (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) )) - (net stat_reg_532 (joined - (portRef stat_reg_532) - (portRef B (instanceRef fsm_un104_next_api_data_out_m516_4_03)) - )) - (net stat_reg_564 (joined - (portRef stat_reg_564) - (portRef C (instanceRef fsm_un104_next_api_data_out_m516_4_03)) - )) - (net m516_4_03_4 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_4_03)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03)) - )) (net stat_reg_595 (joined (portRef stat_reg_595) (portRef B (instanceRef fsm_un104_next_api_data_out_m579_4_03)) @@ -99732,7 +99972,7 @@ )) (net ctrl_reg_52 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_52_gen_regoutff_buf_REGISTERS_OUT_52)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0)) (portRef D (instanceRef fsm_un104_next_api_data_out_m4_6_03_1)) (portRef ctrl_reg_52) )) @@ -99762,6 +100002,16 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m581_4_03)) (portRef C (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) )) + (net ctrl_reg_48 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_48_gen_regoutff_buf_REGISTERS_OUT_48)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7_am)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef ctrl_reg_48) + )) + (net m0_6_03_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) + )) (net stat_reg_593 (joined (portRef stat_reg_593) (portRef B (instanceRef fsm_un104_next_api_data_out_m577_4_03)) @@ -99794,26 +100044,6 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m2_6_03_1)) (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) )) - (net ctrl_reg_58 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_58_gen_regoutff_buf_REGISTERS_OUT_58)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef ctrl_reg_58) - )) - (net m10_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m10_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - )) - (net ctrl_reg_56 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_56_gen_regoutff_buf_REGISTERS_OUT_56)) - (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef ctrl_reg_56) - )) - (net m8_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m8_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - )) (net stat_reg_594 (joined (portRef stat_reg_594) (portRef B (instanceRef fsm_un104_next_api_data_out_m578_4_03)) @@ -99832,15 +100062,15 @@ )) (net m8_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m8_4_03)) - (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) )) (net m14_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m14_4_03)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) )) - (net m6_4_03_4 (joined + (net m6_4_03_4_0 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m6_4_03)) - (portRef A (instanceRef next_API_DATA_OUT_2_bm_6)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) )) (net m2_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m2_4_03)) @@ -99855,14 +100085,46 @@ (portRef Z (instanceRef fsm_un59_next_api_data_out_m0_4_03)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) )) + (net m15_4_03_4 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m15_4_03)) + (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + )) + (net m12_4_03_4 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_m12_4_03)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) + )) (net m3_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m3_4_03)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) )) - (net m4_4_03_4_0 (joined + (net m4_4_03_4 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_m4_4_03)) (portRef A (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) )) + (net un1_spurious_trg_1_axb_11 (joined + (portRef un1_spurious_trg_1_axb_11) + (portRef A (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + )) + (net un1_timing_trg_found_1_axb_11 (joined + (portRef un1_timing_trg_found_1_axb_11) + (portRef B (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + )) + (net m203_4_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m203_4_03)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) + )) + (net temperature_7 (joined + (portRef (member temperature 4)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m11_4_03)) + )) + (net common_stat_reg_i_58 (joined + (portRef common_stat_reg_i_58) + (portRef B (instanceRef fsm_un29_next_api_data_out_m11_4_03)) + )) + (net m11_4_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_4_03)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) + )) (net stat_lvl1_handler_26 (joined (portRef stat_lvl1_handler_26) (portRef A (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2)) @@ -99875,13 +100137,29 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_84_0_o2)) (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) )) - (net N_761 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_a2_6)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) + (net stat_lvl1_handler_23 (joined + (portRef stat_lvl1_handler_23) + (portRef A (instanceRef fsm_un29_next_api_data_out_m71_4_03)) + )) + (net stat_lvl1_handler_55 (joined + (portRef stat_lvl1_handler_55) + (portRef B (instanceRef fsm_un29_next_api_data_out_m71_4_03)) + )) + (net m71_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m71_4_03)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + )) + (net un1_spurious_trg_1_axb_12 (joined + (portRef un1_spurious_trg_1_axb_12) + (portRef A (instanceRef fsm_un29_next_api_data_out_m204_4_03)) + )) + (net un1_timing_trg_found_1_axb_12 (joined + (portRef un1_timing_trg_found_1_axb_12) + (portRef B (instanceRef fsm_un29_next_api_data_out_m204_4_03)) + )) + (net m204_4_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m204_4_03)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) )) (net stat_lvl1_handler_20 (joined (portRef stat_lvl1_handler_20) @@ -99891,65 +100169,34 @@ (portRef stat_lvl1_handler_52) (portRef B (instanceRef fsm_un29_next_api_data_out_m68_4_03)) )) - (net m68_4_03_4_0 (joined + (net m68_4_03_4_1 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m68_4_03)) (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03)) )) - (net un1_spurious_trg_1_axb_15 (joined - (portRef un1_spurious_trg_1_axb_15) - (portRef A (instanceRef fsm_un29_next_api_data_out_m207_4_03)) - )) - (net un1_timing_trg_found_1_axb_15 (joined - (portRef un1_timing_trg_found_1_axb_15) - (portRef B (instanceRef fsm_un29_next_api_data_out_m207_4_03)) - )) - (net m207_4_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m207_4_03)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_15)) - )) - (net temperature_11 (joined - (portRef (member temperature 0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m15_4_03)) - )) - (net common_stat_reg_i_62 (joined - (portRef common_stat_reg_i_62) - (portRef B (instanceRef fsm_un29_next_api_data_out_m15_4_03)) - )) - (net m15_4_03_4_1 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_4_03)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) - )) - (net ctrl_reg_40 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_40_gen_regoutff_buf_REGISTERS_OUT_40)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - (portRef C (instanceRef fsm_un254_next_api_data_out_m8_4_03)) - (portRef ctrl_reg_40) - )) - (net m8_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m8_4_03)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (net temperature_8 (joined + (portRef (member temperature 3)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m12_4_03)) )) - (net ctrl_reg_72 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_72_gen_regoutff_buf_REGISTERS_OUT_72)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m72_4_03)) - (portRef ctrl_reg_72) + (net common_stat_reg_i_59 (joined + (portRef common_stat_reg_i_59) + (portRef B (instanceRef fsm_un29_next_api_data_out_m12_4_03)) )) - (net m72_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m72_4_03)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (net m12_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_4_03)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) )) - (net ctrl_reg_77 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_77_gen_regoutff_buf_REGISTERS_OUT_77)) - (portRef B (instanceRef fsm_un254_next_api_data_out_m77_4_03)) - (portRef ctrl_reg_77) + (net m11_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_m11_4_03)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) )) - (net m77_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m77_4_03)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (net ctrl_reg_75 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_75_gen_regoutff_buf_REGISTERS_OUT_75)) + (portRef B (instanceRef fsm_un254_next_api_data_out_m75_4_03)) + (portRef ctrl_reg_75) )) - (net m13_4_03_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_m13_4_03)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (net m75_4_03_4 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_m75_4_03)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) )) (net stat_reg_588 (joined (portRef stat_reg_588) @@ -99961,7 +100208,19 @@ )) (net m588_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m588_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + )) + (net stat_reg_584 (joined + (portRef stat_reg_584) + (portRef B (instanceRef fsm_un230_next_api_data_out_m584_4_03)) + )) + (net stat_reg_616 (joined + (portRef stat_reg_616) + (portRef C (instanceRef fsm_un230_next_api_data_out_m584_4_03)) + )) + (net m584_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m584_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) )) (net stat_reg_582 (joined (portRef stat_reg_582) @@ -99973,7 +100232,7 @@ )) (net m582_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m582_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) )) (net stat_reg_204 (joined (portRef stat_reg_204) @@ -99983,21 +100242,31 @@ (portRef stat_reg_236) (portRef C (instanceRef fsm_un230_next_api_data_out_m204_4_03)) )) - (net m204_4_03_4 (joined + (net m204_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m204_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) )) - (net stat_reg_590 (joined - (portRef stat_reg_590) - (portRef B (instanceRef fsm_un230_next_api_data_out_m590_4_03)) + (net ctrl_reg_41 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_41_gen_regoutff_buf_REGISTERS_OUT_41)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef ctrl_reg_41) )) - (net stat_reg_622 (joined - (portRef stat_reg_622) - (portRef C (instanceRef fsm_un230_next_api_data_out_m590_4_03)) + (net m9_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + )) + (net stat_reg_585 (joined + (portRef stat_reg_585) + (portRef B (instanceRef fsm_un230_next_api_data_out_m585_4_03)) )) - (net m590_4_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m590_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) + (net stat_reg_617 (joined + (portRef stat_reg_617) + (portRef C (instanceRef fsm_un230_next_api_data_out_m585_4_03)) + )) + (net m585_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m585_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) )) (net stat_reg_206 (joined (portRef stat_reg_206) @@ -100009,19 +100278,7 @@ )) (net m206_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m206_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) - )) - (net stat_reg_583 (joined - (portRef stat_reg_583) - (portRef B (instanceRef fsm_un230_next_api_data_out_m583_4_03)) - )) - (net stat_reg_615 (joined - (portRef stat_reg_615) - (portRef C (instanceRef fsm_un230_next_api_data_out_m583_4_03)) - )) - (net m583_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m583_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) )) (net stat_reg_576 (joined (portRef stat_reg_576) @@ -100031,13 +100288,25 @@ (portRef stat_reg_608) (portRef C (instanceRef fsm_un230_next_api_data_out_m576_4_03)) )) - (net m576_4_03_4 (joined + (net m576_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m576_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + )) + (net stat_reg_203 (joined + (portRef stat_reg_203) + (portRef B (instanceRef fsm_un230_next_api_data_out_m203_4_03)) + )) + (net stat_reg_235 (joined + (portRef stat_reg_235) + (portRef C (instanceRef fsm_un230_next_api_data_out_m203_4_03)) + )) + (net m203_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m203_4_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m11_6_03)) )) (net ctrl_reg_39 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_39_gen_regoutff_buf_REGISTERS_OUT_39)) - (portRef D (instanceRef next_API_DATA_OUT_12_bm_1_7)) + (portRef D (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) (portRef D (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) (portRef ctrl_reg_39) )) @@ -100045,18 +100314,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) )) - (net stat_reg_516 (joined - (portRef stat_reg_516) - (portRef B (instanceRef fsm_un230_next_api_data_out_m516_4_03)) - )) - (net stat_reg_548 (joined - (portRef stat_reg_548) - (portRef C (instanceRef fsm_un230_next_api_data_out_m516_4_03)) - )) - (net m516_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m516_4_03)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) - )) (net stat_reg_579 (joined (portRef stat_reg_579) (portRef B (instanceRef fsm_un230_next_api_data_out_m579_4_03)) @@ -100067,7 +100324,7 @@ )) (net m579_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m579_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) )) (net stat_reg_586 (joined (portRef stat_reg_586) @@ -100079,7 +100336,7 @@ )) (net m586_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m586_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) )) (net stat_reg_591 (joined (portRef stat_reg_591) @@ -100091,7 +100348,7 @@ )) (net m591_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m591_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) )) (net ctrl_reg_38 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_38_gen_regoutff_buf_REGISTERS_OUT_38)) @@ -100103,6 +100360,14 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) )) + (net stat_reg_36 (joined + (portRef stat_reg_36) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_4_03)) + )) + (net m4_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_4_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_6_03)) + )) (net ctrl_reg_37 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_37_gen_regoutff_buf_REGISTERS_OUT_37)) (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12_am)) @@ -100123,7 +100388,7 @@ )) (net m581_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m581_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) )) (net stat_reg_577 (joined (portRef stat_reg_577) @@ -100135,18 +100400,40 @@ )) (net m577_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m577_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + )) + (net stat_reg_205 (joined + (portRef stat_reg_205) + (portRef B (instanceRef fsm_un230_next_api_data_out_m205_4_03)) + )) + (net stat_reg_237 (joined + (portRef stat_reg_237) + (portRef C (instanceRef fsm_un230_next_api_data_out_m205_4_03)) + )) + (net m205_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m205_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) )) (net ctrl_reg_42 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_42_gen_regoutff_buf_REGISTERS_OUT_42)) - (portRef D (instanceRef next_API_DATA_OUT_12_bm_1_10)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) (portRef D (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) (portRef ctrl_reg_42) )) - (net m10_6_03_1_0 (joined + (net m10_6_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) )) + (net ctrl_reg_40 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_40_gen_regoutff_buf_REGISTERS_OUT_40)) + (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef ctrl_reg_40) + )) + (net m8_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) + )) (net stat_reg_578 (joined (portRef stat_reg_578) (portRef B (instanceRef fsm_un230_next_api_data_out_m578_4_03)) @@ -100157,7 +100444,19 @@ )) (net m578_4_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m578_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + )) + (net stat_reg_589 (joined + (portRef stat_reg_589) + (portRef B (instanceRef fsm_un230_next_api_data_out_m589_4_03)) + )) + (net stat_reg_621 (joined + (portRef stat_reg_621) + (portRef C (instanceRef fsm_un230_next_api_data_out_m589_4_03)) + )) + (net m589_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m589_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) )) (net stat_reg_207 (joined (portRef stat_reg_207) @@ -100167,9 +100466,21 @@ (portRef stat_reg_239) (portRef C (instanceRef fsm_un230_next_api_data_out_m207_4_03)) )) - (net m207_4_03_4_0 (joined + (net m207_4_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m207_4_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) + )) + (net stat_reg_587 (joined + (portRef stat_reg_587) + (portRef B (instanceRef fsm_un230_next_api_data_out_m587_4_03)) + )) + (net stat_reg_619 (joined + (portRef stat_reg_619) + (portRef C (instanceRef fsm_un230_next_api_data_out_m587_4_03)) + )) + (net m587_4_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m587_4_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) )) (net common_ctrl_reg_1 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_1)) @@ -100190,42 +100501,10 @@ (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO)) (portRef common_ctrl_reg_40) )) - (net m8_4_03_4_1 (joined + (net m8_4_03_4_0 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15_RNO)) (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) )) - (net common_ctrl_reg_5 (joined - (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_5)) - (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) - (portRef common_ctrl_reg_5) - )) - (net common_ctrl_reg_37 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_37_buf_COMMON_CTRL_REG_OUT_37)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) - (portRef common_ctrl_reg_37) - )) - (net m5_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) - )) - (net common_ctrl_reg_46 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_46_buf_COMMON_CTRL_REG_OUT_46)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO)) - (portRef common_ctrl_reg_46) - )) - (net m14_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - )) - (net common_ctrl_reg_38 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_38_buf_COMMON_CTRL_REG_OUT_38)) - (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) - (portRef common_ctrl_reg_38) - )) - (net m6_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13_RNO)) - (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) - )) (net common_ctrl_reg_2 (joined (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_2)) (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_9_RNO)) @@ -100249,12 +100528,26 @@ (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7_RNO)) (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) )) + (net common_ctrl_reg_15 (joined + (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_15)) + (portRef B (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO)) + (portRef common_ctrl_reg_15) + )) + (net common_ctrl_reg_47 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_47_buf_COMMON_CTRL_REG_OUT_47)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO)) + (portRef common_ctrl_reg_47) + )) + (net m15_4_03_4_0 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22_RNO)) + (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + )) (net common_ctrl_reg_44 (joined (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_44_buf_COMMON_CTRL_REG_OUT_44)) (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO)) (portRef common_ctrl_reg_44) )) - (net m12_4_03_4_0 (joined + (net m12_4_03_4_1 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19_RNO)) (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) )) @@ -100267,24 +100560,6 @@ (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10_RNO)) (portRef A (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) )) - (net common_ctrl_reg_4 (joined - (portRef Q (instanceRef gen_strobe_ctrl_regs_buf_COMMON_CTRL_REG_OUT_4)) - (portRef B (instanceRef fsm_un193_next_api_data_out_m4_4_03)) - (portRef common_ctrl_reg_4) - )) - (net common_ctrl_reg_36 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_36_buf_COMMON_CTRL_REG_OUT_36)) - (portRef C (instanceRef fsm_un193_next_api_data_out_m4_4_03)) - (portRef common_ctrl_reg_36) - )) - (net un1_prev_trg_reg_1_axb_8 (joined - (portRef un1_prev_trg_reg_1_axb_8) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_12)) - )) - (net N_597 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_12)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - )) (net stat_lvl1_handler_1 (joined (portRef stat_lvl1_handler_1) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_1)) @@ -100309,79 +100584,74 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO_1)) (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) )) + (net stat_lvl1_handler_4 (joined + (portRef stat_lvl1_handler_4) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + )) + (net stat_lvl1_handler_36 (joined + (portRef stat_lvl1_handler_36) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + )) (net trg_int_number_i_15 (joined (portRef (member trg_int_number_i 0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) )) - (net m15_4_03_4_2 (joined + (net m15_4_03_4_1 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) )) - (net stat_lvl1_handler_0 (joined - (portRef stat_lvl1_handler_0) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) - )) - (net stat_lvl1_handler_32 (joined - (portRef stat_lvl1_handler_32) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) + (net m4_4_03_4_1 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO_0)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) )) - (net m64_4_03_4 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) + (net ctrl_reg_84 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_84_gen_regoutff_buf_REGISTERS_OUT_84)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO)) + (portRef ctrl_reg_84) )) - (net trg_code_i_5 (joined - (portRef (member trg_code_i 2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1)) + (net m68_4_03_4_2 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_RNO)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) )) - (net m13_6_03_i_a2_0_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_0_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) + (net N_5997 (joined + (portRef Z (instanceRef current_state_ns_0_i_a2_0_0_6)) + (portRef B (instanceRef current_state_ns_0_i_s_4_6)) + (portRef B (instanceRef un1_next_state_5_sqmuxa_i_a2)) )) - (net N_935 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) - (portRef A (instanceRef next_API_DATA_OUT_1_am_13)) - (portRef A (instanceRef next_API_DATA_OUT_1_am_15)) - (portRef A (instanceRef next_API_DATA_OUT_1_am_11)) + (net N_93 (joined + (portRef Z (instanceRef current_state_ns_0_i_o2_17)) + (portRef A (instanceRef current_state_ns_0_i_s_2_6)) + (portRef A (instanceRef current_state_ns_i_i_a2_2_9)) + (portRef B (instanceRef current_state_RNO_1)) + (portRef N_93) + )) + (net N_4489 (joined + (portRef Z (instanceRef fsm_un24_api_dataready_in_i)) + (portRef A (instanceRef next_COMMON_CTRL_REG_STROBEc)) + (portRef A (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef A (instanceRef current_state_ns_0_i_a2_18)) + (portRef A (instanceRef next_unknown_1_sqmuxa_1)) )) - (net regio_write_ack_i (joined - (portRef regio_write_ack_i) - (portRef D (instanceRef un1_next_nomoredata_1_sqmuxa)) - (portRef D (instanceRef current_state_ns_0_i_18)) - (portRef D (instanceRef un1_next_nomoredata_1_sqmuxa_0)) - (portRef D (instanceRef current_state_ns_0_0_6)) - (portRef C (instanceRef next_API_READ_OUT_2_sqmuxa)) - (portRef D (instanceRef current_state_ns_i_a3_0_9)) - (portRef A (instanceRef current_state_ns_i_RNO_16)) + (net trg_information_i_9 (joined + (portRef (member trg_information_i 6)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) )) - (net N_2118 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_9)) - (portRef C (instanceRef current_state_ns_i_1_9)) + (net N_535 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) )) (net trg_code_i_1 (joined (portRef (member trg_code_i 6)) (portRef D (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) )) - (net N_535 (joined + (net N_535_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2_0)) (portRef B (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) )) - (net un24_api_dataready_in (joined - (portRef Z (instanceRef fsm_un24_api_dataready_in)) - (portRef D (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef D (instanceRef next_global_time_write_6_sqmuxa)) - (portRef D (instanceRef next_unknown_1_sqmuxa_1)) - )) - (net N_1959 (joined - (portRef Z (instanceRef un1_current_state_6_0_a2)) - (portRef A (instanceRef un1_current_state_10)) + (net next_dont_understand_1_sqmuxa (joined + (portRef Z (instanceRef next_dont_understand_1_sqmuxa)) + (portRef C (instanceRef un1_next_state_5_sqmuxa_i)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_i)) )) (net trg_number_i_1 (joined (portRef (member trg_number_i 2)) @@ -100391,22 +100661,6 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_2)) (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) )) - (net stat_reg_45 (joined - (portRef stat_reg_45) - (portRef D (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - )) - (net m13_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) - )) - (net stat_reg_43 (joined - (portRef stat_reg_43) - (portRef D (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - )) - (net m11_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) - )) (net trg_information_i_8 (joined (portRef (member trg_information_i 7)) (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_1)) @@ -100431,21 +100685,33 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_2)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) )) - (net current_state_ns_0_a2_4_2 (joined - (portRef Z (instanceRef current_state_ns_0_a2_4_2)) - (portRef D (instanceRef current_state_ns_0_a2_2)) - )) (net un1_local_time_i_4 (joined (portRef Z (instanceRef proc_us_tick_un1_local_time_i_4)) (portRef B (instanceRef proc_us_tick_un1_local_time_i)) )) - (net un1_tmg_edge_found_i_axb_8 (joined - (portRef un1_tmg_edge_found_i_axb_8) - (portRef A (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2_0)) + (net current_state_ns_0_a2_0_o2_4_2 (joined + (portRef Z (instanceRef current_state_ns_0_a2_0_o2_4_2)) + (portRef D (instanceRef current_state_ns_0_a2_0_o2_2)) )) - (net m264_6_03_0_a2_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m264_6_03_0_a2_0)) - (portRef B (instanceRef next_API_DATA_OUT_8_am_8)) + (net un6_api_read_inlto15_7 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) + (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + )) + (net un6_api_read_inlto15_8 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) + (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + )) + (net un6_api_read_inlto15_9 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) + (portRef C (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + )) + (net un6_api_read_inlto15_10 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_10)) + (portRef D (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + )) + (net un1_current_state_5_i_a2_1 (joined + (portRef Z (instanceRef un1_current_state_5_i_a2_1)) + (portRef D (instanceRef buf_API_SEND_OUT_RNO)) )) (net TIMER_MS_TICK_0_sqmuxa_6 (joined (portRef Z (instanceRef TIMER_MS_TICK_0_sqmuxa_6)) @@ -100459,17 +100725,29 @@ (portRef Z (instanceRef TIMER_MS_TICK_0_sqmuxa_8)) (portRef C (instanceRef TIMER_MS_TICK_0_sqmuxa)) )) - (net un1_current_state_4_i_a2_1 (joined - (portRef Z (instanceRef un1_current_state_4_i_a2_1)) - (portRef D (instanceRef buf_API_SEND_OUT_RNO)) + (net un1_timing_trg_found_1_axb_8 (joined + (portRef un1_timing_trg_found_1_axb_8) + (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0)) + )) + (net m8_6_03_i_a2_2_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_2_0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) + )) + (net un2_timeout_counter_i_o2_2_8 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2_8)) + (portRef A (instanceRef fsm_un2_timeout_counter_i_o2_2)) )) - (net next_state60_1 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_1_0)) - (portRef B (instanceRef fsm_op_eq_next_state60_4_0)) + (net un2_timeout_counter_i_o2_2_9 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2_9)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_2)) )) - (net next_state60_3 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_3_0)) - (portRef C (instanceRef fsm_op_eq_next_state60)) + (net un2_timeout_counter_i_o2_2_10 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2_10)) + (portRef C (instanceRef fsm_un2_timeout_counter_i_o2_2)) + )) + (net un2_timeout_counter_i_o2_2_11 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2_11)) + (portRef D (instanceRef fsm_un2_timeout_counter_i_o2_2)) )) (net regio_addr_i_9 (joined (portRef Q (instanceRef address_9)) @@ -100503,42 +100781,27 @@ (portRef Z (instanceRef fsm_or_all_tmp_3)) (portRef B (instanceRef fsm_or_all_tmp)) )) - (net un6_api_read_inlto15_5 (joined - (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_5)) - (portRef A (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - )) - (net un6_api_read_inlto15_7 (joined - (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_7)) - (portRef B (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - )) - (net rom_read_addr_1_sqmuxa_1 (joined - (portRef Z (instanceRef rom_read_addr_1_sqmuxa_1)) - (portRef C (instanceRef buf_rom_read_addr_RNO_0)) - (portRef C (instanceRef rom_read_addr_1_sqmuxa)) + (net next_COMMON_CTRL_REG_STROBEc_3 (joined + (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc_3)) + (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc)) )) (net next_COMMON_CTRL_REG_STROBEc_4 (joined (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc_4)) - (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) - )) - (net N_1956 (joined - (portRef Z (instanceRef un10_current_state_i_a2)) - (portRef N_1956 (instanceRef the_addresses)) - (portRef A (instanceRef API_PACKET_NUM_OUT_0)) - (portRef N_1956) + (portRef D (instanceRef next_COMMON_CTRL_REG_STROBEc)) )) - (net state_1 (joined - (portRef state_0 (instanceRef the_addresses)) - (portRef C (instanceRef buf_API_PACKET_NUM_OUT_2_0)) - (portRef B (instanceRef API_PACKET_NUM_OUT_0)) + (net common_ctrl_reg_36 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_36_buf_COMMON_CTRL_REG_OUT_36)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef common_ctrl_reg_36) )) - (net state_3 (joined - (portRef state_2 (instanceRef the_addresses)) - (portRef D (instanceRef buf_API_PACKET_NUM_OUT_2_0)) - (portRef C (instanceRef API_PACKET_NUM_OUT_0)) + (net common_ctrl_reg_39 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_39_buf_COMMON_CTRL_REG_OUT_39)) + (portRef C (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef common_ctrl_reg_39) )) - (net buf_APL_PACKET_NUM_IN_0 (joined - (portRef Z (instanceRef API_PACKET_NUM_OUT_0)) - (portRef buf_APL_PACKET_NUM_IN_0) + (net un193_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) )) (net un1_spurious_trg_1_axb_10 (joined (portRef un1_spurious_trg_1_axb_10) @@ -100554,76 +100817,87 @@ )) (net un1_invalid_trg_axb_10 (joined (portRef un1_invalid_trg_axb_10) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) )) (net un1_prev_trg_reg_1_axb_10 (joined (portRef un1_prev_trg_reg_1_axb_10) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) )) (net N_487_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) + (portRef Z (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_a2_4)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) )) - (net stat_lvl1_handler_14 (joined - (portRef stat_lvl1_handler_14) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (net stat_lvl1_handler_6 (joined + (portRef stat_lvl1_handler_6) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) )) - (net stat_lvl1_handler_46 (joined - (portRef stat_lvl1_handler_46) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + (net stat_lvl1_handler_38 (joined + (portRef stat_lvl1_handler_38) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) )) - (net m14_6_03_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (net N_574 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) )) - (net buf_APL_TYP_OUT_0 (joined - (portRef (member buf_apl_typ_out 2)) - (portRef B (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef B (instanceRef current_state_ns_i_o2_9)) - (portRef B (instanceRef current_state_ns_0_o4_1_17)) + (net un1_invalid_trg_axb_6 (joined + (portRef un1_invalid_trg_axb_6) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) )) - (net buf_APL_TYP_OUT_1 (joined - (portRef (member buf_apl_typ_out 1)) - (portRef C (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef C (instanceRef current_state_ns_i_o2_9)) - (portRef C (instanceRef current_state_ns_0_o4_1_17)) + (net un1_prev_trg_reg_1_axb_6 (joined + (portRef un1_prev_trg_reg_1_axb_6) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) )) - (net buf_APL_TYP_OUT_2 (joined - (portRef (member buf_apl_typ_out 0)) - (portRef D (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef D (instanceRef current_state_ns_i_o2_9)) - (portRef B (instanceRef current_state_ns_0_o4_1_RNI8P211_17)) + (net N_576 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) )) - (net N_2094_1 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_1_1)) - (portRef A (instanceRef dont_understand_RNO_0)) - (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_1_0)) - (portRef A (instanceRef current_state_nss_0_i_0)) - (portRef B (instanceRef current_state_ns_0_i_1)) + (net stat_lvl1_handler_11 (joined + (portRef stat_lvl1_handler_11) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) )) - (net un1_spurious_trg_1_axb_12 (joined - (portRef un1_spurious_trg_1_axb_12) - (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) + (net stat_lvl1_handler_43 (joined + (portRef stat_lvl1_handler_43) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) )) - (net un1_timing_trg_found_1_axb_12 (joined - (portRef un1_timing_trg_found_1_axb_12) - (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) + (net m11_6_03_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) )) - (net m12_6_03_3 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_3)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03)) + (net un1_invalid_trg_axb_11 (joined + (portRef un1_invalid_trg_axb_11) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) )) - (net temperature_8 (joined - (portRef (member temperature 3)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) + (net un1_prev_trg_reg_1_axb_11 (joined + (portRef un1_prev_trg_reg_1_axb_11) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) )) - (net common_stat_reg_i_59 (joined - (portRef common_stat_reg_i_59) - (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) + (net m11_6_03_3 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) )) - (net m12_6_03_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03)) + (net un1_spurious_trg_1_axb_5 (joined + (portRef un1_spurious_trg_1_axb_5) + (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + )) + (net un1_timing_trg_found_1_axb_5 (joined + (portRef un1_timing_trg_found_1_axb_5) + (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + )) + (net N_505 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + )) + (net un1_spurious_trg_1_axb_8 (joined + (portRef un1_spurious_trg_1_axb_8) + (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + )) + (net common_stat_reg_i_55 (joined + (portRef common_stat_reg_i_55) + (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + )) + (net N_945 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) )) (net un1_spurious_trg_1_axb_9 (joined (portRef un1_spurious_trg_1_axb_9) @@ -100637,243 +100911,60 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_a2)) (portRef A (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) )) - (net temperature_3 (joined - (portRef (member temperature 8)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - )) - (net common_stat_reg_i_54 (joined - (portRef common_stat_reg_i_54) - (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - )) - (net m7_6_03_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03)) - )) - (net N_2706 (joined - (portRef Z (instanceRef address_RNIGEKU_0_7)) - (portRef C (instanceRef next_API_DATA_OUT_bm_7)) - (portRef C (instanceRef next_API_DATA_OUT_bm_8)) - (portRef C (instanceRef next_API_DATA_OUT_bm_9)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_6)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_5)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_13)) - (portRef C0 (instanceRef next_API_DATA_OUT_21_11)) - (portRef SD (instanceRef next_API_DATA_OUT_21_10)) - )) - (net stat_reg_261 (joined - (portRef stat_reg_261) - (portRef C (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - )) - (net stat_reg_293 (joined - (portRef stat_reg_293) - (portRef D (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - )) - (net m261_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03)) - )) - (net stat_reg_517 (joined - (portRef stat_reg_517) - (portRef C (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - )) - (net stat_reg_549 (joined - (portRef stat_reg_549) - (portRef D (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - )) - (net m517_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) - )) - (net stat_reg_137 (joined - (portRef stat_reg_137) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - )) - (net stat_reg_169 (joined - (portRef stat_reg_169) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - )) - (net m9_6_03_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) - )) - (net stat_reg_201 (joined - (portRef stat_reg_201) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) - )) - (net stat_reg_233 (joined - (portRef stat_reg_233) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) - )) - (net m9_6_03_3 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) - )) - (net stat_reg_265 (joined - (portRef stat_reg_265) - (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - )) - (net stat_reg_297 (joined - (portRef stat_reg_297) - (portRef D (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - )) - (net m265_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03)) - )) - (net stat_reg_329 (joined - (portRef stat_reg_329) - (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - )) - (net stat_reg_361 (joined - (portRef stat_reg_361) - (portRef D (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - )) - (net m265_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03)) - )) - (net stat_reg_144 (joined - (portRef stat_reg_144) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - )) - (net stat_reg_176 (joined - (portRef stat_reg_176) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - )) - (net m0_6_03_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) - )) - (net stat_reg_208 (joined - (portRef stat_reg_208) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - )) - (net stat_reg_240 (joined - (portRef stat_reg_240) - (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - )) - (net m0_6_03_3 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) - )) - (net stat_reg_336 (joined - (portRef stat_reg_336) - (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - )) - (net stat_reg_368 (joined - (portRef stat_reg_368) - (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - )) - (net m256_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) - )) - (net stat_reg_259 (joined - (portRef stat_reg_259) - (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - )) - (net stat_reg_291 (joined - (portRef stat_reg_291) - (portRef D (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - )) - (net m259_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03)) - )) - (net stat_reg_323 (joined - (portRef stat_reg_323) - (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - )) - (net stat_reg_355 (joined - (portRef stat_reg_355) - (portRef D (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - )) - (net m259_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03)) - )) - (net stat_reg_521 (joined - (portRef stat_reg_521) - (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - )) - (net stat_reg_553 (joined - (portRef stat_reg_553) - (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - )) - (net m521_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) - )) - (net stat_reg_585 (joined - (portRef stat_reg_585) - (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - )) - (net stat_reg_617 (joined - (portRef stat_reg_617) - (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - )) - (net m521_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) - )) - (net un1_invalid_trg_axb_12 (joined - (portRef un1_invalid_trg_axb_12) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - )) - (net un1_prev_trg_reg_1_axb_12 (joined - (portRef un1_prev_trg_reg_1_axb_12) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - )) - (net m12_6_03_3_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) - )) - (net un1_spurious_trg_1_axb_6 (joined - (portRef un1_spurious_trg_1_axb_6) - (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - )) - (net un1_timing_trg_found_1_axb_6 (joined - (portRef un1_timing_trg_found_1_axb_6) - (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - )) - (net N_576 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_6)) + (net stat_lvl1_handler_39 (joined + (portRef stat_lvl1_handler_39) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) )) - (net temperature_2 (joined - (portRef (member temperature 9)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (net m7_6_03_1_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) )) - (net common_stat_reg_i_53 (joined - (portRef common_stat_reg_i_53) - (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (net N_2103 (joined + (portRef Z (instanceRef current_state_ns_0_a3_0_1)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_i)) )) - (net N_577 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_6)) + (net N_5934 (joined + (portRef Z (instanceRef current_state_ns_i_i_o2_1_8)) + (portRef C (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) + (portRef C (instanceRef current_state_ns_i_i_a2_0_8)) )) - (net next_address_1_sqmuxa_1 (joined - (portRef Z (instanceRef next_address_1_sqmuxa_1)) - (portRef C (instanceRef next_address_i_m2_cry_0_0_RNO)) + (net N_5936 (joined + (portRef Z (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef A (instanceRef reg_fsm_unknown_3_iv_0)) )) (net un59_next_api_data_out_9 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_16)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) )) - (net stat_reg_57 (joined - (portRef stat_reg_57) + (net ctrl_reg_57 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_57_gen_regoutff_buf_REGISTERS_OUT_57)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) (portRef D (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) + (portRef ctrl_reg_57) )) (net m9_6_03_4_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m9_6_03_1_0)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) )) + (net N_2713 (joined + (portRef Z (instanceRef address_RNIGEKU_0_6)) + (portRef C (instanceRef next_API_DATA_OUT_bm_9)) + (portRef C (instanceRef next_API_DATA_OUT_bm_7)) + (portRef B (instanceRef next_API_DATA_OUT_bm_4)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_0)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_5)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_2)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_8)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_13)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_10)) + (portRef C0 (instanceRef next_API_DATA_OUT_21_11)) + (portRef C (instanceRef next_API_DATA_OUT_21_14)) + )) (net stat_reg_535 (joined (portRef stat_reg_535) (portRef C (instanceRef fsm_un104_next_api_data_out_m519_6_03_0)) @@ -100930,7 +101021,7 @@ (portRef stat_reg_311) (portRef D (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) )) - (net m263_6_03_0_1 (joined + (net m263_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m263_6_03_0)) (portRef A (instanceRef fsm_un104_next_api_data_out_m263_6_03)) )) @@ -101086,7 +101177,7 @@ (portRef stat_reg_371) (portRef D (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) )) - (net m259_6_03_1_0 (joined + (net m259_6_03_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m259_6_03_1)) (portRef B (instanceRef fsm_un104_next_api_data_out_m259_6_03)) )) @@ -101098,7 +101189,7 @@ (portRef stat_reg_307) (portRef D (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) )) - (net m259_6_03_0_0 (joined + (net m259_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m259_6_03_0)) (portRef A (instanceRef fsm_un104_next_api_data_out_m259_6_03)) )) @@ -101136,31 +101227,19 @@ )) (net m516_6_03_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m516_6_03)) - )) - (net stat_reg_404 (joined - (portRef stat_reg_404) - (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) - )) - (net stat_reg_436 (joined - (portRef stat_reg_436) - (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) )) - (net m260_6_03_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_2)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03)) - )) - (net stat_reg_468 (joined - (portRef stat_reg_468) - (portRef C (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) + (net stat_reg_532 (joined + (portRef stat_reg_532) + (portRef C (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) )) - (net stat_reg_500 (joined - (portRef stat_reg_500) - (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) + (net stat_reg_564 (joined + (portRef stat_reg_564) + (portRef D (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) )) - (net m260_6_03_3 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_3)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m260_6_03)) + (net m516_6_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) )) (net stat_reg_340 (joined (portRef stat_reg_340) @@ -101172,7 +101251,7 @@ )) (net m260_6_03_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m260_6_03)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) )) (net stat_reg_276 (joined (portRef stat_reg_276) @@ -101184,7 +101263,7 @@ )) (net m260_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m260_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) )) (net stat_reg_212 (joined (portRef stat_reg_212) @@ -101218,7 +101297,7 @@ (portRef stat_reg_565) (portRef D (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) )) - (net m517_6_03_0_0 (joined + (net m517_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m517_6_03_0)) (portRef B (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) )) @@ -101266,7 +101345,7 @@ (portRef stat_reg_309) (portRef D (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) )) - (net m261_6_03_0_0 (joined + (net m261_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m261_6_03_0)) (portRef A (instanceRef fsm_un104_next_api_data_out_m261_6_03)) )) @@ -101294,6 +101373,18 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_6_03_2)) (portRef B (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) )) + (net stat_reg_336 (joined + (portRef stat_reg_336) + (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + )) + (net stat_reg_368 (joined + (portRef stat_reg_368) + (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + )) + (net m256_6_03_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_1)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m256_6_03)) + )) (net stat_reg_272 (joined (portRef stat_reg_272) (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) @@ -101304,19 +101395,7 @@ )) (net m256_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_1)) - )) - (net stat_reg_592 (joined - (portRef stat_reg_592) - (portRef C (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - )) - (net stat_reg_624 (joined - (portRef stat_reg_624) - (portRef D (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - )) - (net m512_6_03_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m256_6_03)) )) (net stat_reg_528 (joined (portRef stat_reg_528) @@ -101328,7 +101407,55 @@ )) (net m512_6_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m512_6_03)) + )) + (net stat_reg_464 (joined + (portRef stat_reg_464) + (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) + )) + (net stat_reg_496 (joined + (portRef stat_reg_496) + (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) + )) + (net m256_6_03_3 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_3)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03)) + )) + (net stat_reg_400 (joined + (portRef stat_reg_400) + (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) + )) + (net stat_reg_432 (joined + (portRef stat_reg_432) + (portRef D (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) + )) + (net m256_6_03_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m256_6_03)) + )) + (net stat_reg_208 (joined + (portRef stat_reg_208) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + )) + (net stat_reg_240 (joined + (portRef stat_reg_240) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + )) + (net m0_6_03_3 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_3)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) + )) + (net stat_reg_144 (joined + (portRef stat_reg_144) + (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + )) + (net stat_reg_176 (joined + (portRef stat_reg_176) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + )) + (net m0_6_03_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_2)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) )) (net stat_reg_529 (joined (portRef stat_reg_529) @@ -101414,79 +101541,6 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_6_03_2)) (portRef B (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) )) - (net regio_addr_i_0_rep1_1 (joined - (portRef Q (instanceRef address_0_rep1_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m14_6_03_0_1)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) - (portRef C (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef A (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m513_6_03_2)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m12_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m524_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m15_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m527_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m14_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m526_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m258_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m257_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_6_03_3)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m262_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m518_6_03_0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m2_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m0_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_6)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m2_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_1)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_2)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m258_6_03_3)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) - (portRef regio_addr_i_0_rep1_1) - )) (net stat_reg_530 (joined (portRef stat_reg_530) (portRef C (instanceRef fsm_un104_next_api_data_out_m514_6_03_0)) @@ -101571,37 +101625,18 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m2_6_03_2)) (portRef B (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) )) - (net stat_reg_58 (joined - (portRef stat_reg_58) - (portRef D (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - )) - (net m10_6_03_4_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m10_6_03_1_0)) - (portRef A (instanceRef next_API_DATA_OUT_14_bm_10)) - )) - (net stat_reg_56 (joined - (portRef stat_reg_56) - (portRef D (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - )) - (net m8_6_03_4_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m8_6_03_1_0)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) - )) - (net un59_next_api_data_out_10 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) - (portRef B (instanceRef next_API_DATA_OUT_14_am_10)) - )) - (net un1_spurious_trg_1_axb_8 (joined - (portRef un1_spurious_trg_1_axb_8) - (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (net common_ctrl_reg_93 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_93_buf_COMMON_CTRL_REG_OUT_93)) + (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) + (portRef common_ctrl_reg_93) )) - (net common_stat_reg_i_55 (joined - (portRef common_stat_reg_i_55) - (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) + (net un59_next_api_data_out_13 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_20)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) )) - (net N_945 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_o2_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) + (net un59_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_14)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) )) (net stat_lvl1_handler_25 (joined (portRef stat_lvl1_handler_25) @@ -101625,7 +101660,31 @@ )) (net N_608 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_a2_6)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_13)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + )) + (net temperature_2 (joined + (portRef (member temperature 9)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + )) + (net common_stat_reg_i_53 (joined + (portRef common_stat_reg_i_53) + (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + )) + (net N_577 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_2)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + )) + (net un1_spurious_trg_1_axb_6 (joined + (portRef un1_spurious_trg_1_axb_6) + (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) + )) + (net un1_timing_trg_found_1_axb_6 (joined + (portRef un1_timing_trg_found_1_axb_6) + (portRef B (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) + )) + (net N_576_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2_1)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) )) (net stat_lvl1_handler_22 (joined (portRef stat_lvl1_handler_22) @@ -101635,9 +101694,9 @@ (portRef stat_lvl1_handler_54) (portRef C (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) )) - (net N_574 (joined + (net N_574_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_a2)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) )) (net stat_lvl1_handler_24 (joined (portRef stat_lvl1_handler_24) @@ -101649,27 +101708,7 @@ )) (net N_523 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_a2_0)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) - )) - (net temperature_1 (joined - (portRef (member temperature 10)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - )) - (net N_506 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_4)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_5)) - )) - (net un1_spurious_trg_1_axb_5 (joined - (portRef un1_spurious_trg_1_axb_5) - (portRef A (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) - )) - (net un1_timing_trg_found_1_axb_5 (joined - (portRef un1_timing_trg_found_1_axb_5) - (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) - )) - (net N_505 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_3)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) )) (net stat_lvl1_handler_21 (joined (portRef stat_lvl1_handler_21) @@ -101681,7 +101720,7 @@ )) (net N_503 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_a2_1)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_5)) + (portRef A (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) )) (net un1_spurious_trg_1_axb_1 (joined (portRef un1_spurious_trg_1_axb_1) @@ -101707,18 +101746,30 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03_1)) (portRef B (instanceRef fsm_un29_next_api_data_out_m1_6_03)) )) - (net stat_lvl1_handler_23 (joined - (portRef stat_lvl1_handler_23) - (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) + (net un1_spurious_trg_1_axb_7 (joined + (portRef un1_spurious_trg_1_axb_7) + (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) )) - (net stat_lvl1_handler_55 (joined - (portRef stat_lvl1_handler_55) - (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) + (net un1_timing_trg_found_1_axb_7 (joined + (portRef un1_timing_trg_found_1_axb_7) + (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) )) - (net m7_6_03_1_1 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_1)) + (net m7_6_03_3_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_3)) (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) )) + (net temperature_3 (joined + (portRef (member temperature 8)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + )) + (net common_stat_reg_i_54 (joined + (portRef common_stat_reg_i_54) + (portRef C (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + )) + (net m7_6_03_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + )) (net un1_spurious_trg_1_axb_14 (joined (portRef un1_spurious_trg_1_axb_14) (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) @@ -101727,7 +101778,7 @@ (portRef un1_timing_trg_found_1_axb_14) (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) )) - (net m14_6_03_3 (joined + (net m14_6_03_3_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m14_6_03_3)) (portRef C (instanceRef fsm_un29_next_api_data_out_m14_6_03)) )) @@ -101791,6 +101842,30 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m0_6_03_1)) (portRef B (instanceRef fsm_un29_next_api_data_out_m0_6_03)) )) + (net temperature_11 (joined + (portRef (member temperature 0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + )) + (net common_stat_reg_i_62 (joined + (portRef common_stat_reg_i_62) + (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + )) + (net m15_6_03_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_0)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + )) + (net un1_spurious_trg_1_axb_15 (joined + (portRef un1_spurious_trg_1_axb_15) + (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) + )) + (net un1_timing_trg_found_1_axb_15 (joined + (portRef un1_timing_trg_found_1_axb_15) + (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) + )) + (net m15_6_03_3 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_3)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + )) (net un1_spurious_trg_1_axb_2 (joined (portRef un1_spurious_trg_1_axb_2) (portRef A (instanceRef fsm_un29_next_api_data_out_m2_6_03_3)) @@ -101835,10 +101910,70 @@ (portRef common_stat_reg_i_51) (portRef C (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) )) - (net m4_6_03_0 (joined + (net m4_6_03_0_1 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m4_6_03_0)) (portRef A (instanceRef fsm_un29_next_api_data_out_m4_6_03)) )) + (net stat_reg_521 (joined + (portRef stat_reg_521) + (portRef C (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + )) + (net stat_reg_553 (joined + (portRef stat_reg_553) + (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + )) + (net m521_6_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) + )) + (net stat_reg_329 (joined + (portRef stat_reg_329) + (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + )) + (net stat_reg_361 (joined + (portRef stat_reg_361) + (portRef D (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + )) + (net m265_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m265_6_03)) + )) + (net stat_reg_265 (joined + (portRef stat_reg_265) + (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + )) + (net stat_reg_297 (joined + (portRef stat_reg_297) + (portRef D (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + )) + (net m265_6_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m265_6_03)) + )) + (net stat_reg_201 (joined + (portRef stat_reg_201) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) + )) + (net stat_reg_233 (joined + (portRef stat_reg_233) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) + )) + (net m9_6_03_3 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_3)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + )) + (net stat_reg_137 (joined + (portRef stat_reg_137) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) + )) + (net stat_reg_169 (joined + (portRef stat_reg_169) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) + )) + (net m9_6_03_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + )) (net stat_reg_457 (joined (portRef stat_reg_457) (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03_3)) @@ -101863,6 +101998,18 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m265_6_03)) )) + (net stat_reg_583 (joined + (portRef stat_reg_583) + (portRef C (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + )) + (net stat_reg_615 (joined + (portRef stat_reg_615) + (portRef D (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + )) + (net m519_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m519_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) + )) (net stat_reg_519 (joined (portRef stat_reg_519) (portRef C (instanceRef fsm_un230_next_api_data_out_m519_6_03_0)) @@ -101895,7 +102042,7 @@ (portRef stat_reg_295) (portRef D (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) )) - (net m263_6_03_0_2 (joined + (net m263_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m263_6_03_0)) (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_1)) )) @@ -101907,9 +102054,9 @@ (portRef stat_reg_231) (portRef D (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) )) - (net m7_6_03_3_0 (joined + (net m7_6_03_3_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) )) (net stat_reg_135 (joined (portRef stat_reg_135) @@ -101921,7 +102068,7 @@ )) (net m7_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) )) (net stat_reg_518 (joined (portRef stat_reg_518) @@ -101993,7 +102140,7 @@ )) (net m6_6_03_3_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) )) (net stat_reg_134 (joined (portRef stat_reg_134) @@ -102005,7 +102152,7 @@ )) (net m6_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) )) (net stat_reg_515 (joined (portRef stat_reg_515) @@ -102043,6 +102190,30 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03)) )) + (net stat_reg_323 (joined + (portRef stat_reg_323) + (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + )) + (net stat_reg_355 (joined + (portRef stat_reg_355) + (portRef D (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + )) + (net m259_6_03_1_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m259_6_03)) + )) + (net stat_reg_259 (joined + (portRef stat_reg_259) + (portRef C (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + )) + (net stat_reg_291 (joined + (portRef stat_reg_291) + (portRef D (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + )) + (net m259_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m259_6_03)) + )) (net stat_reg_195 (joined (portRef stat_reg_195) (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_3)) @@ -102065,7 +102236,7 @@ )) (net m3_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) )) (net stat_reg_35 (joined (portRef stat_reg_35) @@ -102073,7 +102244,7 @@ )) (net m3_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) )) (net stat_reg_580 (joined (portRef stat_reg_580) @@ -102087,29 +102258,17 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m516_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) )) - (net stat_reg_388 (joined - (portRef stat_reg_388) - (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) - )) - (net stat_reg_420 (joined - (portRef stat_reg_420) - (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) - )) - (net m260_6_03_2_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03)) - )) - (net stat_reg_452 (joined - (portRef stat_reg_452) - (portRef C (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) + (net stat_reg_516 (joined + (portRef stat_reg_516) + (portRef C (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) )) - (net stat_reg_484 (joined - (portRef stat_reg_484) - (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) + (net stat_reg_548 (joined + (portRef stat_reg_548) + (portRef D (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) )) - (net m260_6_03_3_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03)) + (net m516_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m516_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) )) (net stat_reg_324 (joined (portRef stat_reg_324) @@ -102121,7 +102280,7 @@ )) (net m260_6_03_1_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m260_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) )) (net stat_reg_260 (joined (portRef stat_reg_260) @@ -102131,9 +102290,9 @@ (portRef stat_reg_292) (portRef D (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) )) - (net m260_6_03_0_0 (joined + (net m260_6_03_0_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m260_6_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) )) (net stat_reg_196 (joined (portRef stat_reg_196) @@ -102157,15 +102316,19 @@ )) (net m4_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m4_6_03)) )) - (net stat_reg_36 (joined - (portRef stat_reg_36) - (portRef D (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) + (net stat_reg_517 (joined + (portRef stat_reg_517) + (portRef C (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) )) - (net m4_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) + (net stat_reg_549 (joined + (portRef stat_reg_549) + (portRef D (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) + )) + (net m517_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m517_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) )) (net stat_reg_453 (joined (portRef stat_reg_453) @@ -102203,6 +102366,18 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03_1)) (portRef B (instanceRef fsm_un230_next_api_data_out_m261_6_03)) )) + (net stat_reg_261 (joined + (portRef stat_reg_261) + (portRef C (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + )) + (net stat_reg_293 (joined + (portRef stat_reg_293) + (portRef D (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + )) + (net m261_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m261_6_03)) + )) (net stat_reg_197 (joined (portRef stat_reg_197) (portRef C (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) @@ -102213,7 +102388,7 @@ )) (net m5_6_03_3_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) )) (net stat_reg_133 (joined (portRef stat_reg_133) @@ -102225,7 +102400,7 @@ )) (net m5_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) )) (net stat_reg_320 (joined (portRef stat_reg_320) @@ -102247,7 +102422,7 @@ (portRef stat_reg_288) (portRef D (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) )) - (net m256_6_03_0_1 (joined + (net m256_6_03_0_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m256_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m256_6_03)) )) @@ -102271,7 +102446,7 @@ (portRef stat_reg_480) (portRef D (instanceRef fsm_un230_next_api_data_out_m256_6_03_3)) )) - (net m256_6_03_3 (joined + (net m256_6_03_3_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m256_6_03_3)) (portRef D (instanceRef fsm_un230_next_api_data_out_m256_6_03)) )) @@ -102283,7 +102458,7 @@ (portRef stat_reg_416) (portRef D (instanceRef fsm_un230_next_api_data_out_m256_6_03_2)) )) - (net m256_6_03_2 (joined + (net m256_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m256_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m256_6_03)) )) @@ -102309,7 +102484,7 @@ )) (net m0_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) )) (net stat_reg_32 (joined (portRef stat_reg_32) @@ -102317,7 +102492,7 @@ )) (net m0_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) )) (net stat_reg_513 (joined (portRef stat_reg_513) @@ -102401,7 +102576,7 @@ )) (net m1_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) )) (net stat_reg_33 (joined (portRef stat_reg_33) @@ -102409,7 +102584,7 @@ )) (net m1_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) )) (net stat_reg_514 (joined (portRef stat_reg_514) @@ -102493,7 +102668,7 @@ )) (net m2_6_03_2_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) )) (net stat_reg_34 (joined (portRef stat_reg_34) @@ -102501,7 +102676,7 @@ )) (net m2_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) )) (net stat_reg_397 (joined (portRef stat_reg_397) @@ -102515,18 +102690,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m269_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m269_6_03)) )) - (net stat_reg_589 (joined - (portRef stat_reg_589) - (portRef C (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - )) - (net stat_reg_621 (joined - (portRef stat_reg_621) - (portRef D (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - )) - (net m525_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m525_6_03_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) - )) (net stat_reg_525 (joined (portRef stat_reg_525) (portRef C (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) @@ -102537,7 +102700,7 @@ )) (net m525_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m525_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) )) (net stat_reg_461 (joined (portRef stat_reg_461) @@ -102575,18 +102738,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m269_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m269_6_03)) )) - (net stat_reg_205 (joined - (portRef stat_reg_205) - (portRef C (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) - )) - (net stat_reg_237 (joined - (portRef stat_reg_237) - (portRef D (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) - )) - (net m13_6_03_3 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) - )) (net stat_reg_141 (joined (portRef stat_reg_141) (portRef C (instanceRef fsm_un230_next_api_data_out_m13_6_03_2)) @@ -102597,7 +102748,19 @@ )) (net m13_6_03_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + )) + (net stat_reg_590 (joined + (portRef stat_reg_590) + (portRef C (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + )) + (net stat_reg_622 (joined + (portRef stat_reg_622) + (portRef D (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + )) + (net m526_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m526_6_03_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) )) (net stat_reg_526 (joined (portRef stat_reg_526) @@ -102695,76 +102858,51 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m15_6_03_2)) (portRef B (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) )) - (net stat_reg_522 (joined - (portRef stat_reg_522) - (portRef C (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - )) - (net stat_reg_554 (joined - (portRef stat_reg_554) - (portRef D (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - )) - (net m522_6_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) - )) - (net stat_reg_330 (joined - (portRef stat_reg_330) - (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - )) - (net stat_reg_362 (joined - (portRef stat_reg_362) - (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - )) - (net m266_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) - )) (net N_228_1 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_234_1_i_o2_1)) - (portRef A (instanceRef next_API_DATA_OUT_2_am_11)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_bm)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_bm)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_bm)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_bm)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m516_6_03)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_6_03)) (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) (portRef A (instanceRef fsm_un230_next_api_data_out_m14_6_03_0_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) (portRef A (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) (portRef A (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) @@ -102773,6 +102911,57 @@ (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m271_6_03_0)) + (portRef N_228_1) + )) + (net stat_reg_522 (joined + (portRef stat_reg_522) + (portRef C (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + )) + (net stat_reg_554 (joined + (portRef stat_reg_554) + (portRef D (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + )) + (net m522_6_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m522_6_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + )) + (net stat_reg_458 (joined + (portRef stat_reg_458) + (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) + )) + (net stat_reg_490 (joined + (portRef stat_reg_490) + (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) + )) + (net m266_6_03_3 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_3)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03)) + )) + (net stat_reg_394 (joined + (portRef stat_reg_394) + (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) + )) + (net stat_reg_426 (joined + (portRef stat_reg_426) + (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) + )) + (net m266_6_03_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03)) + )) + (net stat_reg_330 (joined + (portRef stat_reg_330) + (portRef C (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + )) + (net stat_reg_362 (joined + (portRef stat_reg_362) + (portRef D (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + )) + (net m266_6_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m266_6_03)) )) (net stat_reg_266 (joined (portRef stat_reg_266) @@ -102784,7 +102973,7 @@ )) (net m266_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m266_6_03)) )) (net stat_reg_202 (joined (portRef stat_reg_202) @@ -102796,7 +102985,7 @@ )) (net m10_6_03_3 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) )) (net stat_reg_138 (joined (portRef stat_reg_138) @@ -102808,7 +102997,7 @@ )) (net m10_6_03_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) )) (net stat_reg_395 (joined (portRef stat_reg_395) @@ -102822,18 +103011,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m267_6_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m267_6_03)) )) - (net stat_reg_587 (joined - (portRef stat_reg_587) - (portRef C (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - )) - (net stat_reg_619 (joined - (portRef stat_reg_619) - (portRef D (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - )) - (net m523_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m523_6_03_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) - )) (net stat_reg_523 (joined (portRef stat_reg_523) (portRef C (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) @@ -102844,7 +103021,7 @@ )) (net m523_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m523_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) )) (net stat_reg_459 (joined (portRef stat_reg_459) @@ -102882,18 +103059,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m267_6_03_0)) (portRef A (instanceRef fsm_un230_next_api_data_out_m267_6_03)) )) - (net stat_reg_203 (joined - (portRef stat_reg_203) - (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) - )) - (net stat_reg_235 (joined - (portRef stat_reg_235) - (portRef D (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) - )) - (net m11_6_03_3 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) - )) (net stat_reg_139 (joined (portRef stat_reg_139) (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) @@ -102904,7 +103069,7 @@ )) (net m11_6_03_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m11_6_03)) )) (net stat_reg_524 (joined (portRef stat_reg_524) @@ -102978,18 +103143,6 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_6_03_2)) (portRef B (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) )) - (net stat_reg_584 (joined - (portRef stat_reg_584) - (portRef C (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - )) - (net stat_reg_616 (joined - (portRef stat_reg_616) - (portRef D (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - )) - (net m520_6_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m520_6_03_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - )) (net stat_reg_520 (joined (portRef stat_reg_520) (portRef C (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) @@ -103002,6 +103155,30 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m520_6_03_0)) (portRef B (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) )) + (net stat_reg_456 (joined + (portRef stat_reg_456) + (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) + )) + (net stat_reg_488 (joined + (portRef stat_reg_488) + (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) + )) + (net m264_6_03_3 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_3)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03)) + )) + (net stat_reg_392 (joined + (portRef stat_reg_392) + (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) + )) + (net stat_reg_424 (joined + (portRef stat_reg_424) + (portRef D (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) + )) + (net m264_6_03_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03)) + )) (net stat_reg_328 (joined (portRef stat_reg_328) (portRef C (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) @@ -103012,7 +103189,7 @@ )) (net m264_6_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_1)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m264_6_03)) )) (net stat_reg_264 (joined (portRef stat_reg_264) @@ -103024,7 +103201,7 @@ )) (net m264_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m264_6_03)) )) (net stat_reg_200 (joined (portRef stat_reg_200) @@ -103036,7 +103213,7 @@ )) (net m8_6_03_3 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_3)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_6_03)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) )) (net stat_reg_136 (joined (portRef stat_reg_136) @@ -103048,31 +103225,7 @@ )) (net m8_6_03_2 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_6_03)) - )) - (net stat_reg_462 (joined - (portRef stat_reg_462) - (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) - )) - (net stat_reg_494 (joined - (portRef stat_reg_494) - (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) - )) - (net m270_6_03_3 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_3)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03)) - )) - (net stat_reg_398 (joined - (portRef stat_reg_398) - (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) - )) - (net stat_reg_430 (joined - (portRef stat_reg_430) - (portRef D (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) - )) - (net m270_6_03_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m270_6_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) )) (net stat_reg_334 (joined (portRef stat_reg_334) @@ -103084,7 +103237,7 @@ )) (net m270_6_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m270_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) )) (net stat_reg_270 (joined (portRef stat_reg_270) @@ -103096,31 +103249,31 @@ )) (net m270_6_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m270_6_03)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) )) - (net un1_invalid_trg_axb_11 (joined - (portRef un1_invalid_trg_axb_11) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (net un193_next_api_data_out_10 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_17)) + (portRef B (instanceRef next_API_DATA_OUT_13_10)) )) - (net un1_prev_trg_reg_1_axb_11 (joined - (portRef un1_prev_trg_reg_1_axb_11) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) + (net trg_int_number_i_11 (joined + (portRef (member trg_int_number_i 4)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) )) - (net m11_6_03_3_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) + (net m11_4_03_4_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) )) - (net stat_lvl1_handler_11 (joined - (portRef stat_lvl1_handler_11) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (net un1_prev_trg_reg_1_axb_8 (joined + (portRef un1_prev_trg_reg_1_axb_8) + (portRef A (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) )) - (net stat_lvl1_handler_43 (joined - (portRef stat_lvl1_handler_43) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) + (net trg_int_number_i_8 (joined + (portRef (member trg_int_number_i 7)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) )) - (net m11_6_03_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) + (net N_945_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_o2_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) )) (net un1_invalid_trg_axb_13 (joined (portRef un1_invalid_trg_axb_13) @@ -103134,30 +103287,6 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) )) - (net un1_invalid_trg_axb_6 (joined - (portRef un1_invalid_trg_axb_6) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) - )) - (net un1_prev_trg_reg_1_axb_6 (joined - (portRef un1_prev_trg_reg_1_axb_6) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) - )) - (net N_576_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) - )) - (net stat_lvl1_handler_6 (joined - (portRef stat_lvl1_handler_6) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - )) - (net stat_lvl1_handler_38 (joined - (portRef stat_lvl1_handler_38) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - )) - (net N_574_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) - )) (net stat_lvl1_handler_13 (joined (portRef stat_lvl1_handler_13) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_1)) @@ -103194,37 +103323,45 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) )) - (net stat_lvl1_handler_39 (joined - (portRef stat_lvl1_handler_39) - (portRef C (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) - )) - (net m7_6_03_1_2 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m7_6_03)) - )) (net un1_invalid_trg_axb_7 (joined (portRef un1_invalid_trg_axb_7) - (portRef A (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) )) (net un1_prev_trg_reg_1_axb_7 (joined (portRef un1_prev_trg_reg_1_axb_7) - (portRef B (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) )) - (net m7_6_03_3_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m7_6_03_3)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m7_6_03)) + (net m7_6_03_3_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) )) (net un1_invalid_trg_axb_14 (joined (portRef un1_invalid_trg_axb_14) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) )) (net un1_prev_trg_reg_1_axb_14 (joined (portRef un1_prev_trg_reg_1_axb_14) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) )) - (net m14_6_03_3_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (net stat_lvl1_handler_14 (joined + (portRef stat_lvl1_handler_14) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + )) + (net stat_lvl1_handler_46 (joined + (portRef stat_lvl1_handler_46) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_0)) + )) + (net un1_invalid_trg_axb_12 (joined + (portRef un1_invalid_trg_axb_12) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + )) + (net un1_prev_trg_reg_1_axb_12 (joined + (portRef un1_prev_trg_reg_1_axb_12) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + )) + (net m12_6_03_3 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) )) (net stat_lvl1_handler_44 (joined (portRef stat_lvl1_handler_44) @@ -103258,18 +103395,6 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO_0)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) )) - (net un1_invalid_trg_axb_0 (joined - (portRef un1_invalid_trg_axb_0) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) - )) - (net un1_prev_trg_reg_1_axb_0 (joined - (portRef un1_prev_trg_reg_1_axb_0) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) - )) - (net m0_6_03_3_2 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) - )) (net link_and_reset_status_0 (joined (portRef (member link_and_reset_status 3)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) @@ -103282,6 +103407,18 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) )) + (net stat_lvl1_handler_0 (joined + (portRef stat_lvl1_handler_0) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + )) + (net stat_lvl1_handler_32 (joined + (portRef stat_lvl1_handler_32) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + )) + (net m0_6_03_1_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO_2)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) + )) (net un1_invalid_trg_axb_15 (joined (portRef un1_invalid_trg_axb_15) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) @@ -103290,7 +103427,7 @@ (portRef un1_prev_trg_reg_1_axb_15) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) )) - (net m15_6_03_3 (joined + (net m15_6_03_3_0 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_2)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) )) @@ -103344,94 +103481,79 @@ )) (net stat_lvl1_handler_12 (joined (portRef stat_lvl1_handler_12) - (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) + (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO_0)) )) (net trg_int_number_i_4 (joined (portRef (member trg_int_number_i 11)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) - )) - (net m4_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_1)) )) (net un225_next_api_data_out_1_axbxc3 (joined (portRef Z (instanceRef un225_next_api_data_out_1_axbxc3)) - (portRef A (instanceRef next_API_DATA_OUT_8_bm_8)) + (portRef A (instanceRef next_API_DATA_OUT_8_am_8)) + (portRef A (instanceRef next_API_DATA_OUT_8_i_m2_am_10)) + (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14)) + (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) + (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) + (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) (portRef A (instanceRef fsm_un229_next_api_data_out_m0_8_03_1)) )) (net stat_lvl1_handler_42 (joined (portRef stat_lvl1_handler_42) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) )) (net trg_information_i_10 (joined (portRef (member trg_information_i 5)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) )) (net m10_6_03_i_0_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_2)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - )) - (net common_stat_reg_i_50 (joined - (portRef common_stat_reg_i_50) - (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - )) - (net trg_type_i_3 (joined - (portRef (member trg_type_i 0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - )) - (net m3_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03)) - )) - (net stat_lvl1_handler_62 (joined - (portRef stat_lvl1_handler_62) - (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - )) - (net trg_code_i_6 (joined - (portRef (member trg_code_i 1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - )) - (net m14_6_03_0_0_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03)) + (portRef Z (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_0_tz)) + (portRef B (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) )) (net trg_information_i_14 (joined (portRef (member trg_information_i 1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) )) (net trg_int_number_i_14 (joined (portRef (member trg_int_number_i 1)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO_1)) )) - (net m14_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) + (net trg_information_i_7 (joined + (portRef (member trg_information_i 8)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) )) - (net stat_lvl1_handler_41 (joined - (portRef stat_lvl1_handler_41) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (net trg_int_number_i_7 (joined + (portRef (member trg_int_number_i 8)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) )) - (net trg_information_i_9 (joined - (portRef (member trg_information_i 6)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) + (net m7_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) )) - (net m9_6_03_i_0_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) + (net common_stat_reg_i_48 (joined + (portRef common_stat_reg_i_48) + (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) )) - (net trg_information_i_12 (joined - (portRef (member trg_information_i 3)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (net trg_type_i_1 (joined + (portRef (member trg_type_i 2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) )) - (net trg_int_number_i_12 (joined - (portRef (member trg_int_number_i 3)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (net m1_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03)) )) - (net m12_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) + (net stat_lvl1_handler_59 (joined + (portRef stat_lvl1_handler_59) + (portRef A (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + )) + (net trg_code_i_3 (joined + (portRef (member trg_code_i 4)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + )) + (net m11_6_03_0_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) )) (net common_stat_reg_i_49 (joined (portRef common_stat_reg_i_49) @@ -103445,6 +103567,66 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m2_6_03_0_1_tz)) (portRef A (instanceRef fsm_un29_next_api_data_out_m2_6_03)) )) + (net stat_lvl1_handler_61 (joined + (portRef stat_lvl1_handler_61) + (portRef A (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + )) + (net trg_code_i_5 (joined + (portRef (member trg_code_i 2)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + )) + (net m13_6_03_i_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + )) + (net stat_lvl1_handler_60 (joined + (portRef stat_lvl1_handler_60) + (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + )) + (net trg_code_i_4 (joined + (portRef (member trg_code_i 3)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + )) + (net m12_6_03_0_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) + )) + (net stat_lvl1_handler_62 (joined + (portRef stat_lvl1_handler_62) + (portRef A (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + )) + (net trg_code_i_6 (joined + (portRef (member trg_code_i 1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + )) + (net m14_6_03_0_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m14_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m14_6_03)) + )) + (net stat_lvl1_handler_63 (joined + (portRef stat_lvl1_handler_63) + (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + )) + (net trg_code_i_7 (joined + (portRef (member trg_code_i 0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + )) + (net m15_6_03_0_0_tz (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + )) + (net stat_lvl1_handler_35 (joined + (portRef stat_lvl1_handler_35) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + )) + (net trg_int_number_i_3 (joined + (portRef (member trg_int_number_i 12)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + )) + (net m3_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) + )) (net trg_information_i_6 (joined (portRef (member trg_information_i 9)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) @@ -103457,29 +103639,29 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am_RNO_1)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) )) - (net stat_lvl1_handler_35 (joined - (portRef stat_lvl1_handler_35) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (net trg_information_i_12 (joined + (portRef (member trg_information_i 3)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) )) - (net trg_int_number_i_3 (joined - (portRef (member trg_int_number_i 12)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) + (net trg_int_number_i_12 (joined + (portRef (member trg_int_number_i 3)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) )) - (net m3_6_03_0_tz_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am_RNO)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) + (net m12_6_03_0_1_tz (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am_RNO)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) )) - (net trg_information_i_7 (joined - (portRef (member trg_information_i 8)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) + (net common_stat_reg_i_50 (joined + (portRef common_stat_reg_i_50) + (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) )) - (net trg_int_number_i_7 (joined - (portRef (member trg_int_number_i 8)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) + (net trg_type_i_3 (joined + (portRef (member trg_type_i 0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) )) - (net m7_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m7_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un169_next_api_data_out_m7_6_03)) + (net m3_6_03_0_tz_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m3_6_03_0_1_tz)) + (portRef A (instanceRef fsm_un29_next_api_data_out_m3_6_03)) )) (net common_stat_reg_i_47 (joined (portRef common_stat_reg_i_47) @@ -103493,71 +103675,29 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m0_6_03_0_1_tz)) (portRef A (instanceRef fsm_un29_next_api_data_out_m0_6_03)) )) - (net stat_lvl1_handler_60 (joined - (portRef stat_lvl1_handler_60) - (portRef A (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - )) - (net trg_code_i_4 (joined - (portRef (member trg_code_i 3)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - )) - (net m12_6_03_0_0_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m12_6_03)) - )) - (net common_stat_reg_i_48 (joined - (portRef common_stat_reg_i_48) - (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - )) - (net trg_type_i_1 (joined - (portRef (member trg_type_i 2)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - )) - (net m1_6_03_0_1_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m1_6_03_0_1_tz)) - (portRef A (instanceRef fsm_un29_next_api_data_out_m1_6_03)) - )) - (net stat_lvl1_handler_59 (joined - (portRef stat_lvl1_handler_59) - (portRef A (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - )) - (net trg_code_i_3 (joined - (portRef (member trg_code_i 4)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - )) - (net m11_6_03_0_0_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_0_tz)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) - )) - (net stat_lvl1_handler_63 (joined - (portRef stat_lvl1_handler_63) - (portRef A (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) - )) - (net trg_code_i_7 (joined - (portRef (member trg_code_i 0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) + (net N_5914 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef A (instanceRef current_state_ns_i_0_16)) + (portRef A (instanceRef current_state_ns_0_i_s_10)) + (portRef A (instanceRef current_state_ns_0_i_s_18)) + (portRef A (instanceRef current_state_ns_0_i_s_1_6)) + (portRef A (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef A (instanceRef next_API_READ_OUT_i_a2_0_a2)) )) - (net m15_6_03_0_0_tz (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_0_tz)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) + (net regio_write_ack_i (joined + (portRef regio_write_ack_i) + (portRef D (instanceRef current_state_ns_i_0_16)) + (portRef D (instanceRef current_state_ns_i_i_0_9)) + (portRef D (instanceRef current_state_ns_0_i_s_18)) + (portRef D (instanceRef current_state_ns_0_i_s_0_6)) + (portRef C (instanceRef next_API_READ_OUT_i_a2_0_a2)) + (portRef D (instanceRef un1_next_nomoredata_1_sqmuxa_i_o2)) )) (net next_global_time_write_0_sqmuxa (joined (portRef Z (instanceRef next_global_time_write_0_sqmuxa)) - (portRef A (instanceRef unknown_RNO)) - (portRef D (instanceRef next_global_time_write_3_sqmuxa)) - )) - (net un38_api_typ_in (joined - (portRef Z (instanceRef current_state_ns_0_a2_2)) - (portRef D (instanceRef current_state_ns_i_15)) - (portRef A (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) - (portRef B (instanceRef current_state_ns_0_i_10)) - (portRef C (instanceRef current_state_ns_0_a3_6_6)) - (portRef B (instanceRef current_state_ns_0_a3_0_1_2)) - )) - (net N_2135_2 (joined - (portRef Z (instanceRef fsm_or_all_tmp_2)) - (portRef A (instanceRef fsm_or_all_tmp)) - (portRef N_2135_2) + (portRef B (instanceRef unknown_RNO)) + (portRef B (instanceRef next_global_time_write_3_sqmuxa)) )) (net regio_addr_i_8 (joined (portRef Q (instanceRef address_8)) @@ -103575,11 +103715,10 @@ )) (net tmp_0 (joined (portRef Z (instanceRef fsm_or_all_tmp)) - (portRef C (instanceRef next_global_time_write_3_sqmuxa)) (portRef B (instanceRef next_unknown_1_sqmuxa_3)) (portRef B (instanceRef next_COMMON_CTRL_REG_STROBEc)) - (portRef C (instanceRef fsm_un24_api_dataready_in_RNIQQ1Q)) - (portRef C (instanceRef next_global_time_write_6_sqmuxa)) + (portRef D (instanceRef next_global_time_write_3_sqmuxa_0)) + (portRef D (instanceRef current_state_ns_0_i_a2_18)) )) (net stat_reg_663 (joined (portRef stat_reg_663) @@ -103597,13 +103736,13 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m515_6_03_2)) (portRef C (instanceRef fsm_un104_next_api_data_out_m515_6_03)) )) - (net stat_reg_660 (joined - (portRef stat_reg_660) - (portRef D (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) + (net stat_reg_656 (joined + (portRef stat_reg_656) + (portRef D (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) )) - (net m516_6_03_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03_2)) - (portRef D (instanceRef fsm_un104_next_api_data_out_m516_6_03)) + (net m512_6_03_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03_2)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m512_6_03)) )) (net stat_reg_657 (joined (portRef stat_reg_657) @@ -103621,93 +103760,45 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m514_6_03_2)) (portRef C (instanceRef fsm_un104_next_api_data_out_m514_6_03)) )) - (net stat_reg_653 (joined - (portRef stat_reg_653) - (portRef D (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - )) - (net m525_6_03_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m525_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) - )) - (net stat_reg_651 (joined - (portRef stat_reg_651) - (portRef D (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - )) - (net m523_6_03_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m523_6_03_2)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) - )) - (net next_state60_4 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_4_0)) - (portRef D (instanceRef fsm_op_eq_next_state60)) - )) - (net un6_api_read_inlto15_8 (joined - (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_8)) - (portRef B (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - )) - (net un6_api_read_inlto15_9 (joined - (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15_9)) - (portRef C (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - )) - (net next_COMMON_CTRL_REG_STROBEc_6 (joined - (portRef Z (instanceRef next_COMMON_CTRL_REG_STROBEc_6)) - (portRef C (instanceRef next_COMMON_CTRL_REG_STROBEc)) - )) - (net stat_reg_49 (joined - (portRef stat_reg_49) - (portRef D (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) + (net stat_reg_52 (joined + (portRef stat_reg_52) + (portRef D (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) )) - (net m1_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) + (net m4_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) )) - (net stat_reg_38 (joined - (portRef stat_reg_38) - (portRef D (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) + (net stat_reg_53 (joined + (portRef stat_reg_53) + (portRef D (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) )) - (net m6_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (net m5_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) )) - (net stat_reg_55 (joined - (portRef stat_reg_55) - (portRef D (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) + (net stat_reg_41 (joined + (portRef stat_reg_41) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) )) - (net m7_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) + (net m9_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) )) (net stat_reg_39 (joined (portRef stat_reg_39) (portRef D (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) )) - (net m7_6_03_0_0 (joined + (net m7_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) - )) - (net stat_reg_42 (joined - (portRef stat_reg_42) - (portRef D (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) - )) - (net m10_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) - )) - (net stat_reg_50 (joined - (portRef stat_reg_50) - (portRef D (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) - )) - (net m2_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) )) - (net stat_reg_53 (joined - (portRef stat_reg_53) - (portRef D (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) + (net stat_reg_54 (joined + (portRef stat_reg_54) + (portRef D (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) )) - (net m5_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) + (net m6_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) )) (net stat_reg_51 (joined (portRef stat_reg_51) @@ -103717,13 +103808,13 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m3_6_03_0_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m3_8_03_0)) )) - (net stat_reg_37 (joined - (portRef stat_reg_37) - (portRef D (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) + (net stat_reg_40 (joined + (portRef stat_reg_40) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) )) - (net m5_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (net m8_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) )) (net stat_reg_48 (joined (portRef stat_reg_48) @@ -103733,29 +103824,57 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_6_03_0_1)) (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) )) - (net stat_reg_41 (joined - (portRef stat_reg_41) - (portRef D (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) + (net stat_reg_42 (joined + (portRef stat_reg_42) + (portRef D (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) )) - (net m9_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_6_03_0_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + (net m10_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) )) - (net stat_reg_54 (joined - (portRef stat_reg_54) - (portRef D (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) + (net stat_reg_38 (joined + (portRef stat_reg_38) + (portRef D (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) )) (net m6_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m6_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) + (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) )) - (net stat_reg_52 (joined - (portRef stat_reg_52) - (portRef D (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) + (net stat_reg_55 (joined + (portRef stat_reg_55) + (portRef D (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) )) - (net m4_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_6_03_0_1)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) + (net m7_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) + )) + (net stat_reg_37 (joined + (portRef stat_reg_37) + (portRef D (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) + )) + (net m5_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_6_03_0_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + )) + (net stat_reg_50 (joined + (portRef stat_reg_50) + (portRef D (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) + )) + (net m2_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m2_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) + )) + (net stat_reg_49 (joined + (portRef stat_reg_49) + (portRef D (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) + )) + (net m1_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m1_6_03_0_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) + )) + (net un1_next_nomoredata_0_sqmuxa_2_i_a2_0 (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_a2_0_0)) + (portRef D (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) )) (net stat_lvl1_handler_40 (joined (portRef stat_lvl1_handler_40) @@ -103765,100 +103884,93 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) )) - (net current_state_ns_i_a3_0_5_8 (joined - (portRef Z (instanceRef current_state_ns_i_a3_0_5_8)) - (portRef D (instanceRef current_state_ns_i_a3_0_8)) - )) (net next_unknown_1_sqmuxa_1 (joined (portRef Z (instanceRef next_unknown_1_sqmuxa_1)) (portRef C (instanceRef next_unknown_1_sqmuxa_3)) )) - (net current_state_ns_0_0_6 (joined - (portRef Z (instanceRef current_state_ns_0_0_6)) - (portRef D (instanceRef current_state_ns_0_2_6)) - )) - (net un193_next_api_data_out_14 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) - (portRef B (instanceRef next_API_DATA_OUT_13_14)) + (net current_state_ns_0_i_s_0_6 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_0_6)) + (portRef C (instanceRef current_state_ns_0_i_s_1_6)) )) - (net un134_next_api_data_out_14_am (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (net un193_next_api_data_out_6 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) + (portRef B (instanceRef next_API_DATA_OUT_13_6)) )) - (net ctrl_reg_87 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_87_gen_regoutff_buf_REGISTERS_OUT_87)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) - (portRef ctrl_reg_87) + (net un134_next_api_data_out_13_am (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) )) - (net un134_next_api_data_out_14_bm (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (net ctrl_reg_70 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_70_gen_regoutff_buf_REGISTERS_OUT_70)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) + (portRef ctrl_reg_70) )) - (net un59_next_api_data_out_12 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) + (net un134_next_api_data_out_13_bm (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) )) - (net un134_next_api_data_out_11_am_0 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (net un254_next_api_data_out_6 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) + (portRef C (instanceRef next_API_DATA_OUT_12_6)) )) - (net ctrl_reg_84 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_84_gen_regoutff_buf_REGISTERS_OUT_84)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef ctrl_reg_84) + (net ctrl_reg_36 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_36_gen_regoutff_buf_REGISTERS_OUT_36)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) + (portRef D (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef ctrl_reg_36) )) - (net un134_next_api_data_out_11_bm_0 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (net un254_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) )) - (net un134_next_api_data_out_4 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) + (net next_API_DATA_OUT_15_am_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_9)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_9)) )) - (net un59_next_api_data_out_4 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) + (net next_API_DATA_OUT_15_bm_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_9)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_9)) )) - (net next_API_DATA_OUT_15_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_10)) + (net N_2619 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_9)) + (portRef D0 (instanceRef next_API_DATA_OUT_19_9)) )) - (net next_API_DATA_OUT_15_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_10)) + (net N_2360 (joined + (portRef Z (instanceRef next_API_DATA_OUT_0_7)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_7)) )) - (net N_2613 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_10)) + (net N_2358 (joined + (portRef Z (instanceRef next_API_DATA_OUT_0_5)) + (portRef A (instanceRef next_API_DATA_OUT_20_bm_5)) )) - (net next_API_DATA_OUT_15_am_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_am_12)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_12)) + (net un134_next_api_data_out_16_am_0 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) )) - (net next_API_DATA_OUT_15_bm_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_bm_12)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_12)) + (net ctrl_reg_89 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_89_gen_regoutff_buf_REGISTERS_OUT_89)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef ctrl_reg_89) )) - (net N_2615 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_12)) - (portRef D0 (instanceRef next_API_DATA_OUT_19_12)) + (net un134_next_api_data_out_16_bm_0 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) )) - (net un134_next_api_data_out_19_am_0 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) + (net un134_next_api_data_out_9 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) )) - (net ctrl_reg_92 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_92_gen_regoutff_buf_REGISTERS_OUT_92)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) - (portRef ctrl_reg_92) + (net next_API_DATA_OUT_15_am_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_7)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_7)) )) - (net un134_next_api_data_out_19_bm_0 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) + (net next_API_DATA_OUT_15_bm_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_7)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_7)) )) - (net un134_next_api_data_out_12 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) - (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) + (net N_2617 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_7)) + (portRef D0 (instanceRef next_API_DATA_OUT_19_7)) )) (net un193_next_api_data_out_5 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_12)) @@ -103879,40 +103991,36 @@ )) (net un254_next_api_data_out_5 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_12)) - (portRef B (instanceRef next_API_DATA_OUT_12_5)) - )) - (net N_2351 (joined - (portRef Z (instanceRef next_API_DATA_OUT_0_5)) - (portRef A (instanceRef next_API_DATA_OUT_20_bm_5)) + (portRef C (instanceRef next_API_DATA_OUT_12_5)) )) (net un134_next_api_data_out_16_am (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) )) - (net ctrl_reg_89 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_89_gen_regoutff_buf_REGISTERS_OUT_89)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) - (portRef ctrl_reg_89) + (net ctrl_reg_73 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_73_gen_regoutff_buf_REGISTERS_OUT_73)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef ctrl_reg_73) )) (net un134_next_api_data_out_16_bm (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) )) - (net un134_next_api_data_out_9 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_16)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) + (net N_2359 (joined + (portRef Z (instanceRef next_API_DATA_OUT_0_6)) + (portRef A (instanceRef next_API_DATA_OUT_20_bm_6)) )) - (net next_API_DATA_OUT_15_am_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_am_9)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_9)) + (net next_API_DATA_OUT_15_am_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_15)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_15)) )) - (net next_API_DATA_OUT_15_bm_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_bm_9)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_9)) + (net next_API_DATA_OUT_15_bm_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_15)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_15)) )) - (net N_2612 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_9)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_9)) + (net N_2625 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_15)) + (portRef D0 (instanceRef next_API_DATA_OUT_19_15)) )) (net next_API_DATA_OUT_15_am_14 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_am_14)) @@ -103922,31 +104030,52 @@ (portRef Z (instanceRef next_API_DATA_OUT_15_bm_14)) (portRef ALUT (instanceRef next_API_DATA_OUT_15_14)) )) - (net N_2617 (joined + (net N_2624 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_14)) (portRef D0 (instanceRef next_API_DATA_OUT_19_14)) )) - (net next_API_DATA_OUT_15_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_15_8)) + (net next_API_DATA_OUT_15_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_4)) )) - (net next_API_DATA_OUT_15_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_15_8)) + (net next_API_DATA_OUT_15_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_4)) )) - (net N_2611 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_8)) - (portRef D0 (instanceRef next_API_DATA_OUT_19_8)) + (net N_2614 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_4)) + (portRef D0 (instanceRef next_API_DATA_OUT_19_4)) + )) + (net next_API_DATA_OUT_15_am_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_am_13)) + (portRef BLUT (instanceRef next_API_DATA_OUT_15_13)) + )) + (net next_API_DATA_OUT_15_bm_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_bm_13)) + (portRef ALUT (instanceRef next_API_DATA_OUT_15_13)) + )) + (net N_2623 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_13)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_13)) + )) + (net common_ctrl_reg_95 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_95_buf_COMMON_CTRL_REG_OUT_95)) + (portRef D (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef common_ctrl_reg_95) + )) + (net un59_next_api_data_out_15 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) )) (net un59_next_api_data_out_14 (joined (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_21)) (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) )) - (net un59_next_api_data_out_8 (joined - (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) + (net un59_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un59_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_11)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) )) - (net un134_next_api_data_out_22_am (joined + (net un134_next_api_data_out_22_am_0 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) )) @@ -103955,13 +104084,48 @@ (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) (portRef ctrl_reg_79) )) - (net un134_next_api_data_out_22_bm (joined + (net un134_next_api_data_out_22_bm_0 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) )) (net un254_next_api_data_out_15 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) - (portRef B (instanceRef next_API_DATA_OUT_12_15)) + (portRef C (instanceRef next_API_DATA_OUT_12_15)) + )) + (net un134_next_api_data_out_21_am_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + )) + (net ctrl_reg_78 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_78_gen_regoutff_buf_REGISTERS_OUT_78)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) + (portRef ctrl_reg_78) + )) + (net un134_next_api_data_out_21_bm_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) + )) + (net un134_next_api_data_out_20_am_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + )) + (net ctrl_reg_77 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_77_gen_regoutff_buf_REGISTERS_OUT_77)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef ctrl_reg_77) + )) + (net un134_next_api_data_out_20_bm_0 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + )) + (net un254_next_api_data_out_13 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (portRef C (instanceRef next_API_DATA_OUT_12_13)) + )) + (net ctrl_reg_12 (joined + (portRef Q (instanceRef gen_regout_0_gen_regoutff1_12_gen_regoutff_buf_REGISTERS_OUT_12)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) + (portRef ctrl_reg_12) )) (net un134_next_api_data_out_19_am (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19_am)) @@ -103978,64 +104142,41 @@ )) (net un254_next_api_data_out_12 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_19)) - (portRef B (instanceRef next_API_DATA_OUT_12_12)) - )) - (net un134_next_api_data_out_18_am (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_am)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) - )) - (net ctrl_reg_75 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_75_gen_regoutff_buf_REGISTERS_OUT_75)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm)) - (portRef ctrl_reg_75) - )) - (net un134_next_api_data_out_18_bm (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18_bm)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) - )) - (net un254_next_api_data_out_11 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) - (portRef B (instanceRef next_API_DATA_OUT_12_11)) - )) - (net un134_next_api_data_out_13_am (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_am)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_12)) )) - (net ctrl_reg_70 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_70_gen_regoutff_buf_REGISTERS_OUT_70)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) - (portRef ctrl_reg_70) + (net un134_next_api_data_out_17_am (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) )) - (net un134_next_api_data_out_13_bm (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13_bm)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) + (net ctrl_reg_74 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_74_gen_regoutff_buf_REGISTERS_OUT_74)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) + (portRef ctrl_reg_74) )) - (net un254_next_api_data_out_6 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_13)) - (portRef B (instanceRef next_API_DATA_OUT_12_6)) + (net un134_next_api_data_out_17_bm (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) )) - (net ctrl_reg_36 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_36_gen_regoutff_buf_REGISTERS_OUT_36)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) - (portRef C (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef ctrl_reg_36) + (net un254_next_api_data_out_10 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) + (portRef C (instanceRef next_API_DATA_OUT_12_10)) )) - (net un134_next_api_data_out_11_am (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_am)) - (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (net un134_next_api_data_out_15_am (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) + (portRef BLUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) )) - (net ctrl_reg_68 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_68_gen_regoutff_buf_REGISTERS_OUT_68)) - (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef ctrl_reg_68) + (net ctrl_reg_72 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_72_gen_regoutff_buf_REGISTERS_OUT_72)) + (portRef B (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) + (portRef ctrl_reg_72) )) - (net un134_next_api_data_out_11_bm (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11_bm)) - (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (net un134_next_api_data_out_15_bm (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) + (portRef ALUT (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) )) - (net un254_next_api_data_out_4 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) - (portRef D1 (instanceRef next_API_DATA_OUT_12_4)) + (net un254_next_api_data_out_8 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (portRef C (instanceRef next_API_DATA_OUT_12_8)) )) (net stat_reg_99 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_35_gen_regoutff_buf_REGISTERS_OUT_35)) @@ -104058,7 +104199,7 @@ )) (net un254_next_api_data_out_3 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_12_3)) + (portRef D1 (instanceRef next_API_DATA_OUT_12_i_m2_3)) )) (net stat_reg_98 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_34_gen_regoutff_buf_REGISTERS_OUT_34)) @@ -104081,7 +104222,7 @@ )) (net un254_next_api_data_out_2 (joined (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_9)) - (portRef D1 (instanceRef next_API_DATA_OUT_12_2)) + (portRef D1 (instanceRef next_API_DATA_OUT_12_i_m2_2)) )) (net stat_reg_97 (joined (portRef Q (instanceRef gen_regout_1_gen_regoutff1_33_gen_regoutff_buf_REGISTERS_OUT_33)) @@ -104124,17 +104265,17 @@ (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_7)) (portRef D1 (instanceRef next_API_DATA_OUT_12_0)) )) + (net un193_next_api_data_out_15 (joined + (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_22)) + (portRef ALUT (instanceRef next_API_DATA_OUT_13_15)) + )) (net un193_next_api_data_out_12 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_19)) - (portRef B (instanceRef next_API_DATA_OUT_13_12)) + (portRef B (instanceRef next_API_DATA_OUT_13_i_m2_12)) )) (net un193_next_api_data_out_8 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_15)) - (portRef B (instanceRef next_API_DATA_OUT_18_am_8)) - )) - (net un193_next_api_data_out_6 (joined - (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_13)) - (portRef B (instanceRef next_API_DATA_OUT_13_6)) + (portRef B (instanceRef next_API_DATA_OUT_13_8)) )) (net un193_next_api_data_out_3 (joined (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_10)) @@ -104152,6 +104293,28 @@ (portRef Z (instanceRef fsm_un193_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un193_next_api_data_out_7)) (portRef B (instanceRef next_API_DATA_OUT_13_0)) )) + (net ctrl_reg_63 (joined + (portRef Q (instanceRef gen_regout_1_gen_regoutff1_63_gen_regoutff_buf_REGISTERS_OUT_63)) + (portRef C (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) + (portRef ctrl_reg_63) + )) + (net un134_next_api_data_out_22_am (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_am)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) + )) + (net ctrl_reg_95 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_95_gen_regoutff_buf_REGISTERS_OUT_95)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) + (portRef ctrl_reg_95) + )) + (net un134_next_api_data_out_22_bm (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22_bm)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) + )) + (net un134_next_api_data_out_15 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_22)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + )) (net un134_next_api_data_out_21_am (joined (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21_am)) (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) @@ -104169,39 +104332,39 @@ (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_21)) (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) )) - (net un134_next_api_data_out_17_am (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) + (net un134_next_api_data_out_20_am (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_am)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) )) - (net ctrl_reg_90 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_90_gen_regoutff_buf_REGISTERS_OUT_90)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) - (portRef ctrl_reg_90) + (net ctrl_reg_93 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_93_gen_regoutff_buf_REGISTERS_OUT_93)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef ctrl_reg_93) )) - (net un134_next_api_data_out_17_bm (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) + (net un134_next_api_data_out_20_bm (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20_bm)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) )) - (net un134_next_api_data_out_10 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_17)) - (portRef B (instanceRef next_API_DATA_OUT_14_bm_10)) + (net un134_next_api_data_out_13 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) )) - (net un134_next_api_data_out_15_am (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_am)) - (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (net un134_next_api_data_out_14_am (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_am)) + (portRef BLUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) )) - (net ctrl_reg_88 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_88_gen_regoutff_buf_REGISTERS_OUT_88)) - (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) - (portRef ctrl_reg_88) + (net ctrl_reg_87 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_87_gen_regoutff_buf_REGISTERS_OUT_87)) + (portRef B (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) + (portRef ctrl_reg_87) )) - (net un134_next_api_data_out_15_bm (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15_bm)) - (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) + (net un134_next_api_data_out_14_bm (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14_bm)) + (portRef ALUT (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) )) - (net un134_next_api_data_out_8 (joined - (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) + (net un134_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) )) (net un134_next_api_data_out_10_am (joined (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_10_am)) @@ -104261,98 +104424,173 @@ )) (net m10_6_03_i_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) )) - (net next_Reg_low_1_sqmuxa_2 (joined - (portRef Z (instanceRef next_Reg_low_1_sqmuxa_2)) - (portRef B0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) + (net next_Reg_low_1_sqmuxa_1 (joined + (portRef Z (instanceRef un1_dat_data_counter_cry_0_0_RNO)) + (portRef B0 (instanceRef un1_dat_data_counter_cry_0_0)) )) - (net N_2073_0 (joined - (portRef Z (instanceRef current_state_ns_i_o4_0_9)) - (portRef A (instanceRef current_state_ns_i_9)) + (net N_136 (joined + (portRef Z (instanceRef current_state_ns_i_i_a2_2_9)) + (portRef A (instanceRef current_state_ns_i_i_0_9)) )) - (net N_2082_0 (joined - (portRef Z (instanceRef current_state_ns_0_o4_7)) - (portRef C (instanceRef current_state_ns_0_i_7)) + (net N_95 (joined + (portRef Z (instanceRef packet_counter_RNIHNC81_1_1)) + (portRef A (instanceRef lengthe_0_i)) + (portRef A (instanceRef current_state_RNI2BP74_7)) + (portRef A (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) )) - (net N_2466 (joined + (net N_2473 (joined (portRef Z (instanceRef current_state_RNIQS091_2)) (portRef A (instanceRef next_API_DATA_OUT_17_am_0)) - (portRef A (instanceRef next_API_DATA_OUT_17_am_14)) (portRef A (instanceRef next_API_DATA_OUT_17_am_15)) + (portRef A (instanceRef next_API_DATA_OUT_17_am_13)) (portRef A (instanceRef next_API_DATA_OUT_17_am_12)) - (portRef A (instanceRef next_API_DATA_OUT_17_am_10)) (portRef A (instanceRef next_API_DATA_OUT_17_am_8)) )) - (net next_api_data_out279 (joined - (portRef Z (instanceRef packet_counter_RNIHNC81_0_1)) - (portRef B (instanceRef next_API_DATA_OUT_17_am_2)) - (portRef C (instanceRef current_state_RNI188F2_7)) - )) - (net trg_int_number_i_8 (joined - (portRef (member trg_int_number_i 7)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - )) - (net N_527 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_a2_4)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) + (net next_address_1_sqmuxa_1 (joined + (portRef Z (instanceRef next_address_1_sqmuxa_1)) + (portRef D (instanceRef next_address_i_m2_cry_0_0_RNO)) )) (net OUT4_1 (joined (portRef Z (instanceRef fsm_un229_next_api_data_out_m0_8_03_1)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_3)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_4)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_1)) - (portRef B (instanceRef next_API_DATA_OUT_9_bm_0)) - )) - (net stat_lvl1_handler_61 (joined - (portRef stat_lvl1_handler_61) - (portRef C (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) - )) - (net m13_6_03_i_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_13)) + (portRef B (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_m2_2_am_4)) + (portRef B (instanceRef next_API_DATA_OUT_9_i_m2_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_1_am_2)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_1_am_3)) + )) + (net next_state_0_sqmuxa (joined + (portRef Z (instanceRef next_state_0_sqmuxa)) + (portRef B (instanceRef un1_current_state3)) + (portRef C (instanceRef addr_counter_enable_RNO)) )) - (net next_state60 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60)) - (portRef C (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) + (net N_5923 (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_2)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) (portRef B (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) - (portRef B (instanceRef nomoredata_RNO)) (portRef B (instanceRef next_state_2_sqmuxa_1)) - (portRef B (instanceRef fsm_un4_dat_dataready_in)) - (portRef B (instanceRef fsm_un3_dat_dataready_in)) + (portRef B (instanceRef current_state_ns_i_i_a2_0_8)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) )) - (net stat_reg_655 (joined - (portRef stat_reg_655) - (portRef D (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) + (net un6_api_read_in_0 (joined + (portRef Z (instanceRef fsm_op_gt_op_gt_un6_api_read_inlto15)) + (portRef D (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) )) - (net m527_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) + (net N_935 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m11_8_03_0_1)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14_am)) + (portRef A (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) + (portRef A (instanceRef next_API_DATA_OUT_1_am_11)) + (portRef A (instanceRef next_API_DATA_OUT_1_am_12)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) )) - (net trg_number_i_3 (joined - (portRef (member trg_number_i 0)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + (net trg_int_number_i_9 (joined + (portRef (member trg_int_number_i 6)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) )) - (net m7_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + (net N_225 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + )) + (net stat_reg_647 (joined + (portRef stat_reg_647) + (portRef D (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) + )) + (net m519_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) + )) + (net stat_reg_646 (joined + (portRef stat_reg_646) + (portRef D (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) + )) + (net m518_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) )) (net stat_reg_644 (joined (portRef stat_reg_644) (portRef D (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) )) - (net m516_6_03_0_0 (joined + (net m516_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m516_6_03_0_0)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) )) - (net stat_reg_643 (joined - (portRef stat_reg_643) - (portRef D (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) + (net stat_reg_661 (joined + (portRef stat_reg_661) + (portRef D (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) )) - (net m515_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) + (net m517_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) + )) + (net stat_reg_45 (joined + (portRef stat_reg_45) + (portRef D (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + )) + (net m13_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_6_03_0_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) + )) + (net m3_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) + )) + (net stat_reg_652 (joined + (portRef stat_reg_652) + (portRef D (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + )) + (net m524_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + )) + (net m4_6_03_0_3 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m4_6_03)) + )) + (net stat_reg_645 (joined + (portRef stat_reg_645) + (portRef D (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) + )) + (net m517_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + )) + (net trg_int_number_i_2 (joined + (portRef (member trg_int_number_i 13)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + )) + (net m2_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) + )) + (net stat_reg_650 (joined + (portRef stat_reg_650) + (portRef D (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + )) + (net m522_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + )) + (net stat_reg_641 (joined + (portRef stat_reg_641) + (portRef D (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + )) + (net m513_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + )) + (net m1_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) )) (net stat_reg_47 (joined (portRef stat_reg_47) @@ -104360,21 +104598,21 @@ )) (net m15_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m15_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) )) - (net stat_reg_645 (joined - (portRef stat_reg_645) - (portRef D (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) + (net stat_reg_44 (joined + (portRef stat_reg_44) + (portRef D (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) )) - (net m517_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m517_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (net m12_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) )) (net trg_number_i_0 (joined (portRef (member trg_number_i 3)) (portRef D (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) )) - (net m4_6_03_0_3 (joined + (net m4_6_03_0_4 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m4_6_03_0_0)) (portRef B (instanceRef fsm_un29_next_api_data_out_m4_6_03)) )) @@ -104384,39 +104622,15 @@ )) (net m520_6_03_0_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m520_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - )) - (net stat_reg_652 (joined - (portRef stat_reg_652) - (portRef D (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) - )) - (net m524_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m524_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) - )) - (net stat_reg_646 (joined - (portRef stat_reg_646) - (portRef D (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) - )) - (net m518_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m518_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) - )) - (net m4_6_03_0_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) )) - (net m3_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) - )) - (net stat_reg_641 (joined - (portRef stat_reg_641) - (portRef D (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) + (net trg_number_i_2 (joined + (portRef (member trg_number_i 1)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) )) - (net m513_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m513_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + (net m6_6_03_i_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) )) (net stat_reg_654 (joined (portRef stat_reg_654) @@ -104424,31 +104638,15 @@ )) (net m526_6_03_0_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m526_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) - )) - (net stat_reg_649 (joined - (portRef stat_reg_649) - (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) - )) - (net m521_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) - )) - (net trg_int_number_i_1 (joined - (portRef (member trg_int_number_i 14)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) - )) - (net m1_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) )) - (net stat_reg_647 (joined - (portRef stat_reg_647) - (portRef D (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) + (net stat_reg_655 (joined + (portRef stat_reg_655) + (portRef D (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) )) - (net m519_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m519_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) + (net m527_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m527_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) )) (net trg_int_number_i_0 (joined (portRef (member trg_int_number_i 15)) @@ -104458,21 +104656,21 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am_RNO)) (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9_am)) )) - (net trg_number_i_2 (joined - (portRef (member trg_number_i 1)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) + (net stat_reg_660 (joined + (portRef stat_reg_660) + (portRef D (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) )) - (net m6_6_03_i_0 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m6_6_03_i_0)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_6)) + (net m516_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03_0_0)) + (portRef C (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) )) - (net trg_int_number_i_2 (joined - (portRef (member trg_int_number_i 13)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) + (net stat_reg_649 (joined + (portRef stat_reg_649) + (portRef D (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) )) - (net m2_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) + (net m521_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m521_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) )) (net stat_reg_662 (joined (portRef stat_reg_662) @@ -104482,17 +104680,21 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m518_6_03_0_0)) (portRef B (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) )) - (net m0_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) + (net stat_reg_642 (joined + (portRef stat_reg_642) + (portRef D (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) )) - (net stat_reg_650 (joined - (portRef stat_reg_650) - (portRef D (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) + (net m514_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) )) - (net m522_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m522_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + (net stat_reg_640 (joined + (portRef stat_reg_640) + (portRef D (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + )) + (net m512_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) )) (net stat_reg_46 (joined (portRef stat_reg_46) @@ -104500,11 +104702,15 @@ )) (net m14_6_03_0_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) )) - (net m2_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) + (net trg_number_i_3 (joined + (portRef (member trg_number_i 0)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + )) + (net m7_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03_0_0)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m7_6_03)) )) (net trg_information_i_11 (joined (portRef (member trg_information_i 4)) @@ -104514,66 +104720,58 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO_0)) (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) )) - (net stat_reg_656 (joined - (portRef stat_reg_656) - (portRef D (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) - )) - (net m512_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03_0_0)) - (portRef C (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (net stat_reg_643 (joined + (portRef stat_reg_643) + (portRef D (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) )) - (net trg_information_i_15 (joined - (portRef (member trg_information_i 0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) + (net m515_6_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m515_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) )) - (net m15_6_03_0_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) + (net m2_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) )) - (net stat_reg_661 (joined - (portRef stat_reg_661) - (portRef D (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) + (net stat_reg_651 (joined + (portRef stat_reg_651) + (portRef D (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) )) - (net m517_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m517_6_03_0_0)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) + (net m523_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m523_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) )) - (net stat_reg_642 (joined - (portRef stat_reg_642) - (portRef D (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) + (net stat_reg_653 (joined + (portRef stat_reg_653) + (portRef D (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) )) - (net m514_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m514_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + (net m525_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m525_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) )) - (net stat_reg_44 (joined - (portRef stat_reg_44) - (portRef D (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) + (net trg_int_number_i_1 (joined + (portRef (member trg_int_number_i 14)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) )) - (net m12_6_03_0_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_6_03_0_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) + (net m1_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am_RNO)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_10_am)) )) - (net stat_reg_640 (joined - (portRef stat_reg_640) - (portRef D (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) + (net trg_information_i_15 (joined + (portRef (member trg_information_i 0)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) )) - (net m512_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m512_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + (net m15_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) )) - (net m1_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_6_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) + (net m0_6_03_0_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_6_03_0_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) )) (net trg_information_i_4 (joined (portRef (member trg_information_i 11)) (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) )) - (net m4_6_03_0_5 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) - )) (net temperature_9 (joined (portRef (member temperature 2)) (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) @@ -104584,7 +104782,11 @@ )) (net m13_6_03_i_0_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i_0_0)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_13)) + (portRef B (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + )) + (net next_timeout_counter_0_o2_0_0 (joined + (portRef Z (instanceRef next_timeout_counter_0_o2_0_0)) + (portRef C (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) )) (net un1_invalid_trg_axb_8 (joined (portRef un1_invalid_trg_axb_8) @@ -104592,7 +104794,7 @@ )) (net m8_6_03_i_1 (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) + (portRef A (instanceRef next_API_DATA_OUT_8_bm_8)) )) (net temperature_6 (joined (portRef (member temperature 5)) @@ -104600,80 +104802,156 @@ )) (net m10_6_03_i_2 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i_2)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) )) - (net N_1700 (joined - (portRef N_1700) - (portRef A (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) + (net common_stat_reg_i_0 (joined + (portRef common_stat_reg_i_0) + (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + )) + (net common_stat_reg_i_2 (joined + (portRef common_stat_reg_i_2) + (portRef C (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_21_0)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) )) (net stat_lvl1_handler_7 (joined (portRef stat_lvl1_handler_7) (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_23_0)) - (portRef B (instanceRef fsm_un169_next_api_data_out_m7_6_03_1)) + (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am_RNO_0)) )) (net link_error_i (joined (portRef link_error_i) (portRef B (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am_RNO)) )) - (net un168_next_api_data_out_20_0_a2_0 (joined - (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) - (portRef B (instanceRef next_API_DATA_OUT_13_am_10)) + (net un168_next_api_data_out_25_0 (joined + (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_25_0)) + (portRef C (instanceRef next_API_DATA_OUT_8_15)) )) - (net N_2116_1 (joined - (portRef Z (instanceRef next_state_1_sqmuxa_1)) - (portRef A (instanceRef timeout_RNO)) + (net trg_code_i_0 (joined + (portRef (member trg_code_i 7)) + (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) + )) + (net m8_6_03_i_0_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_0)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) + )) + (net current_state_ns_0_i_s_1_6 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_1_6)) + (portRef D (instanceRef current_state_ns_0_i_s_2_6)) + )) + (net N_375 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_2_7)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_1_7)) + )) + (net N_5912 (joined + (portRef Z (instanceRef next_address_i_o2_0)) + (portRef C0 (instanceRef next_address_i_m2_s_15_0)) + (portRef A (instanceRef next_address_i_m2_cry_0_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_13_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_13_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_11_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_11_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_9_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_9_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_7_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_7_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_5_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_5_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_3_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_3_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_1_0_RNO_0)) + (portRef A (instanceRef next_address_i_m2_cry_1_0_RNO)) + (portRef A (instanceRef next_address_i_m2_cry_0_0_RNO_0)) + )) + (net N_2120 (joined + (portRef Z (instanceRef current_state_ns_0_a3_7)) + (portRef B (instanceRef current_state_ns_0_i_7)) + )) + (net N_2072_0 (joined + (portRef Z (instanceRef current_state_ns_0_o2_0_a2_14)) + (portRef A (instanceRef current_state_ns_0_a3_0_14)) + )) + (net N_5915 (joined + (portRef Z (instanceRef next_state_1_sqmuxa_1_0_a2_i_o2)) + (portRef B (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef B (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) + (portRef B (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) (portRef A (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) - (portRef B (instanceRef un1_current_state_10)) - (portRef A (instanceRef nomoredata_RNO)) (portRef A (instanceRef next_state_2_sqmuxa_1)) - (portRef A (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) - (portRef A (instanceRef current_state_ns_i_a3_0_8)) + (portRef A (instanceRef current_state_ns_i_i_a2_0_8)) )) (net next_API_DATA_OUT_sn_N_22 (joined - (portRef Z (instanceRef current_state_ns_i_a2_RNILBLQ_8)) + (portRef Z (instanceRef current_state_RNIME6J2_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_7)) + (portRef C (instanceRef next_API_DATA_OUT_20_bm_6)) (portRef C (instanceRef next_API_DATA_OUT_20_bm_5)) (portRef C0 (instanceRef next_API_DATA_OUT_16_0)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_14)) (portRef C0 (instanceRef next_API_DATA_OUT_16_15)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_6)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_10)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_13)) (portRef C0 (instanceRef next_API_DATA_OUT_16_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_8)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_2)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_9)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_13)) (portRef C0 (instanceRef next_API_DATA_OUT_16_4)) - (portRef C0 (instanceRef next_API_DATA_OUT_16_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_14)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_9)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_10)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_1)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_3)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_2)) + (portRef C0 (instanceRef next_API_DATA_OUT_16_8)) )) - (net N_2071_0 (joined - (portRef Z (instanceRef current_state_ns_0_o2_5)) - (portRef B (instanceRef current_state_ns_0_i_5)) - (portRef B (instanceRef current_state_ns_0_i_7)) - (portRef A (instanceRef current_state_ns_i_a3_15)) + (net next_API_DATA_OUT_sn_N_28 (joined + (portRef Z (instanceRef current_state_RNINQ5J2_16)) + (portRef D (instanceRef current_state_RNI2BP74_7)) )) - (net next_state_0_sqmuxa_1 (joined - (portRef Z (instanceRef next_state_0_sqmuxa_1)) - (portRef D (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) - (portRef D (instanceRef reg_fsm_length_3_0)) - (portRef B (instanceRef reg_fsm_length_3_1)) - (portRef B (instanceRef reg_fsm_length_3_2)) - (portRef B (instanceRef reg_fsm_length_3_3)) - (portRef B (instanceRef reg_fsm_length_3_4)) - (portRef B (instanceRef reg_fsm_length_3_5)) - (portRef B (instanceRef reg_fsm_length_3_6)) - (portRef B (instanceRef reg_fsm_length_3_7)) - (portRef B (instanceRef reg_fsm_length_3_8)) - (portRef B (instanceRef reg_fsm_length_3_9)) - (portRef B (instanceRef reg_fsm_length_3_10)) - (portRef B (instanceRef reg_fsm_length_3_11)) - (portRef B (instanceRef reg_fsm_length_3_12)) - (portRef B (instanceRef reg_fsm_length_3_13)) - (portRef B (instanceRef reg_fsm_length_3_14)) + (net stat_reg_60 (joined + (portRef stat_reg_60) + (portRef C (instanceRef next_API_DATA_OUT_1_am_12)) + )) + (net next_API_DATA_OUT_1_am_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_12)) + )) + (net next_API_DATA_OUT_1_bm_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_12)) + )) + (net N_6036 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_12)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_12)) + )) + (net un16_dat_addr_in_4 (joined + (portRef un16_dat_addr_in_4) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_m2_2_bm_4)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m2_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m1_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m3_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (portRef D (instanceRef next_API_DATA_OUT_1_am_8)) + (portRef D (instanceRef next_API_DATA_OUT_1_am_10)) + (portRef B (instanceRef next_API_DATA_OUT_1_am_11)) + (portRef B (instanceRef next_API_DATA_OUT_1_am_12)) )) (net stat_reg_59 (joined (portRef stat_reg_59) @@ -104687,139 +104965,78 @@ (portRef Z (instanceRef next_API_DATA_OUT_1_bm_11)) (portRef ALUT (instanceRef next_API_DATA_OUT_1_11)) )) - (net N_5851 (joined + (net N_6035 (joined (portRef Z (instanceRef next_API_DATA_OUT_1_11)) (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_11)) )) - (net stat_reg_63 (joined - (portRef stat_reg_63) - (portRef C (instanceRef next_API_DATA_OUT_1_am_15)) - )) - (net next_API_DATA_OUT_1_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_1_15)) - )) - (net next_API_DATA_OUT_1_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_1_15)) - )) - (net N_5855 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_15)) - (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_15)) - )) - (net stat_reg_61 (joined - (portRef stat_reg_61) - (portRef C (instanceRef next_API_DATA_OUT_1_am_13)) - )) - (net next_API_DATA_OUT_1_am_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_am_13)) - (portRef BLUT (instanceRef next_API_DATA_OUT_1_13)) - )) - (net next_API_DATA_OUT_1_bm_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_13)) - (portRef ALUT (instanceRef next_API_DATA_OUT_1_13)) - )) - (net N_5853 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_13)) - (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_13)) - )) - (net next_length_1_sqmuxa_1 (joined - (portRef Z (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa_1)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef B0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef B1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef D (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - )) - (net next_DAT_READ_ENABLE_OUT_0_sqmuxa_1 (joined - (portRef Z (instanceRef next_DAT_READ_ENABLE_OUT_0_sqmuxa_1)) - (portRef B (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) - (portRef C (instanceRef current_state_ns_0_i_10)) - (portRef C (instanceRef rom_read_addr_1)) - (portRef C (instanceRef rom_read_addr_2)) - )) - (net next_API_DATA_OUT_sn_N_28 (joined - (portRef Z (instanceRef current_state_ns_i_a2_RNIMNKQ_8)) - (portRef D (instanceRef current_state_RNI188F2_7)) - )) - (net N_2537 (joined + (net N_2544 (joined (portRef Z (instanceRef current_state_RNI7LPA1_16)) (portRef A (instanceRef next_API_DATA_OUT_20_am_5)) + (portRef A (instanceRef next_API_DATA_OUT_20_am_6)) (portRef A (instanceRef next_API_DATA_OUT_17_bm_0)) (portRef B (instanceRef next_API_DATA_OUT_17_3)) - (portRef A (instanceRef next_API_DATA_OUT_17_bm_14)) + (portRef B (instanceRef next_API_DATA_OUT_17_1)) + (portRef B (instanceRef next_API_DATA_OUT_17_10)) (portRef B (instanceRef next_API_DATA_OUT_17_11)) + (portRef B (instanceRef next_API_DATA_OUT_17_14)) (portRef A (instanceRef next_API_DATA_OUT_17_bm_15)) + (portRef B (instanceRef next_API_DATA_OUT_17_7)) + (portRef A (instanceRef next_API_DATA_OUT_17_bm_13)) (portRef A (instanceRef next_API_DATA_OUT_17_bm_12)) - (portRef A (instanceRef next_API_DATA_OUT_17_bm_10)) - (portRef B (instanceRef next_API_DATA_OUT_17_1)) - (portRef A (instanceRef next_API_DATA_OUT_17_bm_8)) - (portRef A (instanceRef next_API_DATA_OUT_17_bm_2)) (portRef B (instanceRef next_API_DATA_OUT_17_9)) - (portRef B (instanceRef next_API_DATA_OUT_17_4)) - (portRef B (instanceRef next_API_DATA_OUT_17_7)) - )) - (net N_2112_1 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_1_2)) - (portRef B (instanceRef current_state_ns_0_i_2)) + (portRef A (instanceRef next_API_DATA_OUT_17_bm_8)) )) (net current_state_ns_0_a3_0_14 (joined (portRef Z (instanceRef current_state_ns_0_a3_0_0_13)) (portRef C (instanceRef current_state_ns_0_i_14)) (portRef C (instanceRef current_state_ns_0_i_13)) )) - (net N_2127 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_14)) - (portRef A (instanceRef current_state_ns_0_i_14)) - )) - (net m261_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03)) - )) - (net m265_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03)) + (net delayed_buf_API_SEND_OUT_Q (joined + (portRef delayed_buf_API_SEND_OUT_Q (instanceRef the_addresses)) + (portRef A (instanceRef API_SEND_OUT)) + (portRef D (instanceRef current_state_ns_0_i_14)) + (portRef D (instanceRef current_state_ns_0_i_s_0_12)) + (portRef D (instanceRef current_state_ns_0_i_13)) )) - (net m259_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_3)) + (net regio_unknown_addr_i (joined + (portRef regio_unknown_addr_i) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2)) + (portRef D (instanceRef next_state_2_sqmuxa_1)) + (portRef D (instanceRef reg_fsm_unknown_3_iv_0)) + (portRef B (instanceRef fsm_un2_timeout_counter_i_o2_1)) )) - (net un12_dat_addr_in_2 (joined - (portRef Z (instanceRef rom_read_addr_1_sqmuxa_2)) - (portRef D (instanceRef buf_rom_read_addr_RNO_0)) - (portRef D (instanceRef rom_read_addr_1_sqmuxa)) - (portRef un12_dat_addr_in_2) + (net N_133 (joined + (portRef Z (instanceRef current_state_ns_i_i_a2_9)) + (portRef B (instanceRef current_state_RNO_9)) )) - (net N_2723 (joined + (net N_2730 (joined (portRef Z (instanceRef current_state_RNIK5KI2_16)) + (portRef C0 (instanceRef next_API_DATA_OUT_14)) (portRef C (instanceRef next_API_DATA_OUT_0)) - (portRef C (instanceRef next_API_DATA_OUT_3)) - (portRef C (instanceRef next_API_DATA_OUT_6)) - (portRef C (instanceRef next_API_DATA_OUT_4)) - (portRef C (instanceRef next_API_DATA_OUT_2)) - (portRef C (instanceRef next_API_DATA_OUT_1)) + (portRef SD (instanceRef next_API_DATA_OUT_6)) (portRef SD (instanceRef next_API_DATA_OUT_5)) + (portRef C0 (instanceRef next_API_DATA_OUT_9)) (portRef C0 (instanceRef next_API_DATA_OUT_7)) - (portRef C0 (instanceRef next_API_DATA_OUT_8)) - (portRef C (instanceRef next_API_DATA_OUT_14)) - (portRef C (instanceRef next_API_DATA_OUT_15)) - (portRef C (instanceRef next_API_DATA_OUT_12)) + (portRef C0 (instanceRef next_API_DATA_OUT_4)) + (portRef C (instanceRef next_API_DATA_OUT_1)) + (portRef C (instanceRef next_API_DATA_OUT_3)) + (portRef C (instanceRef next_API_DATA_OUT_2)) (portRef C (instanceRef next_API_DATA_OUT_10)) (portRef C (instanceRef next_API_DATA_OUT_13)) + (portRef C (instanceRef next_API_DATA_OUT_12)) (portRef C (instanceRef next_API_DATA_OUT_11)) - (portRef C0 (instanceRef next_API_DATA_OUT_9)) + (portRef C (instanceRef next_API_DATA_OUT_15)) + (portRef C (instanceRef next_API_DATA_OUT_8)) + )) + (net un4_dat_dataready_in_i (joined + (portRef Z (instanceRef fsm_un2_timeout_counter_i_o2_1_RNIAGPT)) + (portRef D (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef C (instanceRef current_state_RNIVD6M1_10)) + )) + (net N_5974 (joined + (portRef Z (instanceRef un1_next_state_5_sqmuxa_i_a2)) + (portRef B (instanceRef un1_next_state_5_sqmuxa_i)) + (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_i)) )) (net m519_6_03_4 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m519_6_03)) @@ -104837,22 +105054,22 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m515_6_03)) (portRef B (instanceRef fsm_un104_next_api_data_out_m3_8_03_0_0)) )) - (net m259_6_03_4_0 (joined + (net m259_6_03_4 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m259_6_03)) (portRef A (instanceRef fsm_un104_next_api_data_out_m3_8_03_0_0)) )) - (net m516_6_03_4 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m516_6_03)) - (portRef B (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) - )) - (net m260_6_03_4 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m260_6_03)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) - )) - (net m261_6_03_4_0 (joined + (net m261_6_03_4 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m261_6_03)) (portRef C (instanceRef next_API_DATA_OUT_1_am_5)) )) + (net m512_6_03_4 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m512_6_03)) + (portRef B (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + )) + (net m256_6_03_4 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m256_6_03)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + )) (net m513_6_03_4 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m513_6_03)) (portRef B (instanceRef fsm_un104_next_api_data_out_m1_8_03_0_0)) @@ -104869,29 +105086,45 @@ (portRef Z (instanceRef fsm_un104_next_api_data_out_m258_6_03)) (portRef A (instanceRef fsm_un104_next_api_data_out_m2_8_03_0_0)) )) + (net m7_6_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m7_6_03)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + )) (net m4_6_03_4 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m4_6_03)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) )) + (net m265_6_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m265_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03)) + )) (net m262_6_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m262_6_03)) (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03)) )) - (net m260_6_03_4_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m260_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_4)) + (net m259_6_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m259_6_03)) + (portRef D (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) )) - (net m256_6_03_4 (joined + (net m4_6_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_6_03)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_m2_2_bm_4)) + )) + (net m261_6_03_4_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m261_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + )) + (net m256_6_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m256_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_0)) + (portRef D (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) )) (net m257_6_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m257_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef D (instanceRef next_API_DATA_OUT_9_bm_1)) )) (net m258_6_03_4_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m258_6_03)) - (portRef D (instanceRef next_API_DATA_OUT_9_am_2)) + (portRef D (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) )) (net m269_6_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m269_6_03)) @@ -104901,6 +105134,10 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m271_6_03)) (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03)) )) + (net m266_6_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m266_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03)) + )) (net m267_6_03_4 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m267_6_03)) (portRef D (instanceRef fsm_un230_next_api_data_out_m11_8_03)) @@ -104909,39 +105146,37 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m268_6_03)) (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03)) )) - (net m270_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m270_6_03)) - (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03)) + (net m264_6_03_4 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m264_6_03)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03)) )) - (net N_2107 (joined - (portRef Z (instanceRef next_nomoredata_1_sqmuxa)) - (portRef B (instanceRef current_state_ns_0_2_6)) - (portRef B (instanceRef un1_next_nomoredata_1_sqmuxa_0)) + (net un168_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_14)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) )) - (net un1_next_nomoredata_1_sqmuxa_0 (joined - (portRef Z (instanceRef un1_next_nomoredata_1_sqmuxa_0)) - (portRef C (instanceRef un1_next_nomoredata_1_sqmuxa)) + (net m12_6_03_0_0 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03_0_1)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) )) (net m11_6_03_0_1 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m11_6_03_0_1)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_11)) + (portRef B (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) )) - (net m15_6_03_0_2 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03_0_1)) - (portRef B (instanceRef next_API_DATA_OUT_2_am_15)) + (net m10_6_03_i_1 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) )) - (net un14_i_a3_1_1 (joined - (portRef (member un14_i_a3_1 0)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - (portRef D (instanceRef fsm_un168_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un168_next_api_data_out_20_0_a2_0)) + (net current_state_ns_i_i_0_9 (joined + (portRef Z (instanceRef current_state_ns_i_i_0_9)) + (portRef D (instanceRef current_state_RNO_9)) )) - (net m10_6_03_i_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO_1)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) + (net m8_6_03_i_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) + (portRef B (instanceRef next_API_DATA_OUT_8_bm_8)) )) - (net m9_6_03_i_1 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + (net next_unknown_1_sqmuxa_3 (joined + (portRef Z (instanceRef next_unknown_1_sqmuxa_3)) + (portRef D (instanceRef unknown_RNO)) )) (net temperature_4 (joined (portRef (member temperature 7)) @@ -104949,15 +105184,15 @@ )) (net m8_6_03_i_1_0 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1)) - (portRef B (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) )) - (net common_stat_reg_i_52 (joined - (portRef common_stat_reg_i_52) - (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + (net trg_int_number_i_13 (joined + (portRef (member trg_int_number_i 2)) + (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) )) - (net m5_6_03_i_2 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) - (portRef C (instanceRef next_API_DATA_OUT_2_am_5)) + (net m13_6_03_i_2 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) + (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) )) (net common_stat_reg_i_56 (joined (portRef common_stat_reg_i_56) @@ -104967,166 +105202,191 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m9_6_03_i_2)) (portRef C (instanceRef fsm_un29_next_api_data_out_m9_6_03_i)) )) - (net trg_int_number_i_13 (joined - (portRef (member trg_int_number_i 2)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) + (net common_stat_reg_i_52 (joined + (portRef common_stat_reg_i_52) + (portRef D (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) )) - (net m13_6_03_i_2 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am_RNO_0)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_am)) + (net m5_6_03_i_2 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m5_6_03_i_2)) + (portRef C (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) )) - (net next_unknown_1_sqmuxa_3 (joined - (portRef Z (instanceRef next_unknown_1_sqmuxa_3)) - (portRef C (instanceRef unknown_RNO)) + (net current_state_ns_0_i_s_2_6 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_2_6)) + (portRef C (instanceRef current_state_ns_0_i_s_6)) )) - (net current_state_ns_0_2_6 (joined - (portRef Z (instanceRef current_state_ns_0_2_6)) - (portRef C (instanceRef current_state_ns_0_i_6)) + (net current_state_ns_i_0_0_15 (joined + (portRef Z (instanceRef current_state_ns_i_0_0_15)) + (portRef D (instanceRef current_state_ns_i_0_15)) )) - (net N_2637 (joined + (net N_2644 (joined (portRef Z (instanceRef current_state_RNI5RJ72_2)) (portRef B (instanceRef next_API_DATA_OUT_20_am_5)) - (portRef C (instanceRef next_API_DATA_OUT_20_6)) - (portRef C (instanceRef next_API_DATA_OUT_20_13)) + (portRef B (instanceRef next_API_DATA_OUT_20_am_6)) + (portRef C (instanceRef next_API_DATA_OUT_am_4)) + (portRef C (instanceRef next_API_DATA_OUT_20_2)) (portRef C0 (instanceRef next_API_DATA_OUT_17_0)) (portRef C (instanceRef next_API_DATA_OUT_17_3)) - (portRef C0 (instanceRef next_API_DATA_OUT_17_14)) + (portRef C (instanceRef next_API_DATA_OUT_17_1)) + (portRef C (instanceRef next_API_DATA_OUT_17_10)) (portRef C (instanceRef next_API_DATA_OUT_17_11)) + (portRef C (instanceRef next_API_DATA_OUT_17_14)) (portRef C0 (instanceRef next_API_DATA_OUT_17_15)) + (portRef C (instanceRef next_API_DATA_OUT_17_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_17_13)) (portRef C0 (instanceRef next_API_DATA_OUT_17_12)) - (portRef C0 (instanceRef next_API_DATA_OUT_17_10)) - (portRef C (instanceRef next_API_DATA_OUT_17_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_17_8)) - (portRef C0 (instanceRef next_API_DATA_OUT_17_2)) (portRef C (instanceRef next_API_DATA_OUT_17_9)) - (portRef C (instanceRef next_API_DATA_OUT_17_4)) - (portRef C (instanceRef next_API_DATA_OUT_17_7)) + (portRef C0 (instanceRef next_API_DATA_OUT_17_8)) )) - (net common_stat_reg_i_57 (joined - (portRef common_stat_reg_i_57) - (portRef D (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) + (net next_API_DATA_OUT_1_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_10)) )) - (net N_674 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m10_6_03_i)) - (portRef A (instanceRef next_API_DATA_OUT_14_am_10)) + (net next_API_DATA_OUT_1_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_10)) )) - (net m14_8_03 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m14_8_03)) + (net N_6034 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_10)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_10)) )) - (net un20_dat_addr_in_1 (joined - (portRef un20_dat_addr_in_1 (instanceRef pattern_gen_inst)) - (portRef C (instanceRef REGISTERS_OUT_write_enable_RNO_2)) - (portRef B (instanceRef COMMON_REGISTERS_OUT_write_enable_RNO_2)) - (portRef un20_dat_addr_in_1) + (net next_API_DATA_OUT_1_am_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_8)) )) - (net N_2078_0 (joined - (portRef Z (instanceRef current_state_ns_0_o2_4)) - (portRef B (instanceRef current_state_ns_0_i_4)) + (net next_API_DATA_OUT_1_bm_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_8)) )) - (net m0_8_03 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m0_8_03_0)) - (portRef A (instanceRef fsm_un104_next_api_data_out_m0_8_03_0_0)) + (net N_6032 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_8)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_8)) )) - (net m5_8_03 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + (net m6_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03)) )) - (net m5_8_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + (net m6_8_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03)) )) - (net m9_8_03 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) + (net N_374 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_1_7)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_1_7)) )) - (net un1_next_length_1_sqmuxa_s_15_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) - (portRef D (instanceRef reg_fsm_length_3_15)) + (net N_5976 (joined + (portRef Z (instanceRef current_state_ns_i_i_a2_0_8)) + (portRef A (instanceRef current_state_RNO_10)) + (portRef A (instanceRef current_state_ns_0_i_s_0_12)) )) - (net N_2123 (joined - (portRef Z (instanceRef current_state_ns_0_a3_0_12)) - (portRef A (instanceRef current_state_ns_0_0_12)) + (net un14_dat_addr_in_4 (joined + (portRef un14_dat_addr_in_4) + (portRef D (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m15_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) + (portRef D (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) + (portRef D (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) )) - (net m6_8_03_1 (joined + (net m9_8_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m9_8_03)) + )) + (net m7_8_03 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_8_03_0)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) + )) + (net m6_8_03_1_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m6_8_03_2)) (portRef B (instanceRef next_API_DATA_OUT_1_am_6)) )) - (net m6_8_03 (joined + (net m6_8_03_0 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m6_8_03_0)) (portRef A (instanceRef next_API_DATA_OUT_1_am_6)) )) + (net m4_8_03_0 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_8_03_1)) + (portRef A (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + )) (net m4_8_03 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_8_03_0)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) )) - (net m5_8_03_0 (joined + (net m5_8_03 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_8_03_0)) (portRef A (instanceRef next_API_DATA_OUT_1_am_5)) )) - (net m5_8_03_1_0 (joined + (net m5_8_03_1 (joined (portRef Z (instanceRef fsm_un104_next_api_data_out_m5_8_03_2)) (portRef B (instanceRef next_API_DATA_OUT_1_am_5)) )) - (net m7_8_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_8_03_2)) - (portRef C (instanceRef next_API_DATA_OUT_12_am_7)) + (net m9_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03)) )) (net m7_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_8_03_1)) - (portRef B (instanceRef next_API_DATA_OUT_12_am_7)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_7)) )) - (net m7_8_03_2 (joined + (net m7_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_12_am_7)) - )) - (net m6_8_03_1_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m6_8_03)) - )) - (net m6_8_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m6_8_03)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) )) (net m3_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_3)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) )) (net m3_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m3_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_3)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) )) - (net m4_8_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_4)) + (net m4_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) )) - (net m4_8_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_4)) + (net m5_8_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03_0)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + )) + (net m5_8_03_1_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03_2)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m5_8_03)) )) (net m0_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_0)) + (portRef B (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) )) - (net m0_8_03_2 (joined + (net m0_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m0_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) )) (net m1_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef B (instanceRef next_API_DATA_OUT_9_bm_1)) )) (net m1_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m1_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef A (instanceRef next_API_DATA_OUT_9_bm_1)) )) (net m2_8_03_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_9_am_2)) + (portRef A (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) )) (net m2_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m2_8_03_2)) - (portRef B (instanceRef next_API_DATA_OUT_9_am_2)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) )) (net m13_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_8_03_2)) @@ -105136,9 +105396,13 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_8_03_0)) (portRef B (instanceRef fsm_un230_next_api_data_out_m13_8_03)) )) - (net m14_8_03_1 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m14_8_03)) + (net m14_8_03_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_1)) + (portRef A (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) + )) + (net m14_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_0)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_a2_14)) )) (net m15_8_03 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m15_8_03_0)) @@ -105150,24 +105414,16 @@ )) (net m10_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_8_03_2)) - (portRef C (instanceRef next_API_DATA_OUT_12_am_10)) - )) - (net m10_8_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_8_03_1)) - (portRef B (instanceRef next_API_DATA_OUT_12_am_10)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m10_8_03)) )) (net m10_8_03 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_8_03_0)) - (portRef A (instanceRef next_API_DATA_OUT_12_am_10)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m10_8_03)) )) (net m11_8_03_1 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_8_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m11_8_03)) )) - (net m11_8_03 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_8_03_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m11_8_03)) - )) (net m12_8_03 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_8_03_0)) (portRef B (instanceRef fsm_un230_next_api_data_out_m12_8_03)) @@ -105176,21 +105432,17 @@ (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_8_03_2)) (portRef C (instanceRef fsm_un230_next_api_data_out_m12_8_03)) )) - (net m8_8_03_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03_1)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - )) - (net un1_next_length_1_sqmuxa_0_a (joined - (portRef Z (instanceRef un1_next_length_1_sqmuxa_0_a)) - (portRef A (instanceRef reg_fsm_length_3_0)) + (net m8_8_03_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03)) )) - (net m7_6_03_4_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m7_6_03)) - (portRef A (instanceRef next_API_DATA_OUT_13_am_7)) + (net m8_8_03 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03_0)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03)) )) - (net m12_6_03_4 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m12_6_03)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) + (net next_state_2_sqmuxa_1 (joined + (portRef Z (instanceRef next_state_2_sqmuxa_1)) + (portRef C (instanceRef unknown_RNO)) )) (net temperature_5 (joined (portRef (member temperature 6)) @@ -105200,39 +105452,77 @@ (portRef Z (instanceRef fsm_un29_next_api_data_out_m9_6_03_i)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) )) + (net N_675 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m13_6_03_i)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) + )) (net next_DAT_READ_ENABLE_OUT_3_sqmuxa (joined (portRef Z (instanceRef next_DAT_READ_ENABLE_OUT_3_sqmuxa)) - (portRef B (instanceRef next_address_i_m2_cry_0_0_RNO)) - (portRef C (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) + (portRef B (instanceRef buf_DAT_READ_ENABLE_OUT_RNO)) + (portRef C (instanceRef next_address_i_m2_cry_0_0_RNO)) + )) + (net N_2134 (joined + (portRef Z (instanceRef current_state_ns_0_a3_0_14)) + (portRef A (instanceRef current_state_ns_0_i_14)) )) - (net un1_next_nomoredata_1_sqmuxa_i (joined - (portRef Z (instanceRef un1_next_nomoredata_1_sqmuxa)) - (portRef B (instanceRef reg_fsm_unknown_3_iv)) - (portRef C (instanceRef un1_next_nomoredata_0_sqmuxa_1_0)) + (net next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1 (joined + (portRef Z (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) + (portRef C (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) )) (net m14_6_03_4 (joined (portRef Z (instanceRef fsm_un29_next_api_data_out_m14_6_03)) (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) )) + (net m15_6_03_4 (joined + (portRef Z (instanceRef fsm_un29_next_api_data_out_m15_6_03)) + (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) + )) (net trg_int_number_i_10 (joined (portRef (member trg_int_number_i 5)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) )) (net N_16 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19_RNO)) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) + (portRef Z (instanceRef fsm_un169_next_api_data_out_m10_6_03_i)) + (portRef C (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) )) - (net N_26 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) - (portRef A (instanceRef next_API_DATA_OUT_8_am_8)) + (net current_state_ns_0_i_s_4_6 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_4_6)) + (portRef D (instanceRef current_state_ns_0_i_s_6)) + )) + (net N_2696 (joined + (portRef Z (instanceRef current_state_RNI2BP74_7)) + (portRef C (instanceRef next_API_DATA_OUT_am_9)) + (portRef C (instanceRef next_API_DATA_OUT_am_7)) + (portRef D (instanceRef next_API_DATA_OUT_am_4)) + (portRef SD (instanceRef next_API_DATA_OUT_20_0)) + (portRef SD (instanceRef next_API_DATA_OUT_20_15)) + (portRef C (instanceRef next_API_DATA_OUT_20_11)) + (portRef C0 (instanceRef next_API_DATA_OUT_20_6)) + (portRef C0 (instanceRef next_API_DATA_OUT_20_5)) + (portRef C (instanceRef next_API_DATA_OUT_20_14)) + (portRef C (instanceRef next_API_DATA_OUT_20_10)) + (portRef SD (instanceRef next_API_DATA_OUT_20_13)) + (portRef SD (instanceRef next_API_DATA_OUT_20_12)) + (portRef C (instanceRef next_API_DATA_OUT_20_1)) + (portRef SD (instanceRef next_API_DATA_OUT_20_8)) + (portRef C (instanceRef next_API_DATA_OUT_20_3)) + (portRef D (instanceRef next_API_DATA_OUT_20_2)) + )) + (net un169_next_api_data_out_24_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) )) - (net current_state_ns_i_1_9 (joined - (portRef Z (instanceRef current_state_ns_i_1_9)) - (portRef D (instanceRef current_state_ns_i_9)) + (net un1_tmg_edge_found_i_axb_15 (joined + (portRef un1_tmg_edge_found_i_axb_15) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) + )) + (net un169_next_api_data_out_24_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) )) - (net un1_next_address_0_sqmuxa_0_0 (joined - (portRef Z (instanceRef un1_next_address_0_sqmuxa_0)) - (portRef D (instanceRef un1_next_address_0_sqmuxa)) + (net un169_next_api_data_out_15 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) + (portRef D (instanceRef next_API_DATA_OUT_8_15)) )) (net trg_int_number_i_5 (joined (portRef (member trg_int_number_i 10)) @@ -105254,105 +105544,6 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_14)) (portRef A (instanceRef next_API_DATA_OUT_13_5)) )) - (net un1_next_length_1_sqmuxa_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef C (instanceRef reg_fsm_length_3_14)) - )) - (net un1_next_length_1_sqmuxa_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef C (instanceRef reg_fsm_length_3_13)) - )) - (net un1_next_length_1_sqmuxa_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef C (instanceRef reg_fsm_length_3_12)) - )) - (net un1_next_length_1_sqmuxa_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef C (instanceRef reg_fsm_length_3_11)) - )) - (net un1_next_length_1_sqmuxa_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef C (instanceRef reg_fsm_length_3_10)) - )) - (net un1_next_length_1_sqmuxa_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef C (instanceRef reg_fsm_length_3_9)) - )) - (net un1_next_length_1_sqmuxa_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef C (instanceRef reg_fsm_length_3_8)) - )) - (net un1_next_length_1_sqmuxa_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef C (instanceRef reg_fsm_length_3_7)) - )) - (net un1_next_length_1_sqmuxa_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef C (instanceRef reg_fsm_length_3_6)) - )) - (net un1_next_length_1_sqmuxa_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef C (instanceRef reg_fsm_length_3_5)) - )) - (net un1_next_length_1_sqmuxa_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef C (instanceRef reg_fsm_length_3_4)) - )) - (net un1_next_length_1_sqmuxa_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef C (instanceRef reg_fsm_length_3_3)) - )) - (net un1_next_length_1_sqmuxa_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef C (instanceRef reg_fsm_length_3_2)) - )) - (net un1_next_length_1_sqmuxa_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef C (instanceRef reg_fsm_length_3_1)) - )) - (net N_2689 (joined - (portRef Z (instanceRef current_state_RNI188F2_7)) - (portRef C (instanceRef next_API_DATA_OUT_am_7)) - (portRef C (instanceRef next_API_DATA_OUT_am_8)) - (portRef C (instanceRef next_API_DATA_OUT_am_9)) - (portRef SD (instanceRef next_API_DATA_OUT_20_0)) - (portRef C (instanceRef next_API_DATA_OUT_20_3)) - (portRef SD (instanceRef next_API_DATA_OUT_20_14)) - (portRef SD (instanceRef next_API_DATA_OUT_20_15)) - (portRef SD (instanceRef next_API_DATA_OUT_20_12)) - (portRef D (instanceRef next_API_DATA_OUT_20_6)) - (portRef SD (instanceRef next_API_DATA_OUT_20_10)) - (portRef D (instanceRef next_API_DATA_OUT_20_13)) - (portRef C (instanceRef next_API_DATA_OUT_20_11)) - (portRef SD (instanceRef next_API_DATA_OUT_20_2)) - (portRef C (instanceRef next_API_DATA_OUT_20_1)) - (portRef C0 (instanceRef next_API_DATA_OUT_20_5)) - (portRef C (instanceRef next_API_DATA_OUT_20_4)) - )) - (net un169_next_api_data_out_24_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) - )) - (net un1_tmg_edge_found_i_axb_15 (joined - (portRef un1_tmg_edge_found_i_axb_15) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) - )) - (net un169_next_api_data_out_24_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_24)) - )) - (net un169_next_api_data_out_13_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) - )) - (net un1_tmg_edge_found_i_axb_4 (joined - (portRef un1_tmg_edge_found_i_axb_4) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) - )) - (net un169_next_api_data_out_13_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_13)) - )) (net un169_next_api_data_out_11_am (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11_am)) (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_11)) @@ -105401,164 +105592,155 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_9)) (portRef A (instanceRef next_API_DATA_OUT_13_0)) )) - (net un4_timeout_counter (joined - (portRef Z (instanceRef fsm_un3_dat_dataready_in)) - (portRef B (instanceRef timeout_RNO)) - (portRef A (instanceRef fsm_un2_timeout_counter)) - )) - (net un4_dat_dataready_in (joined - (portRef Z (instanceRef fsm_un4_dat_dataready_in)) - (portRef D (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) - (portRef C (instanceRef un1_current_state_10)) - )) - (net N_2061_0 (joined - (portRef Z (instanceRef next_address_0_sqmuxa)) - (portRef A (instanceRef current_state_ns_0_i_4)) - (portRef A (instanceRef current_state_ns_0_i_5)) - (portRef A (instanceRef rom_read_addr_0)) - (portRef A (instanceRef un1_next_address_0_sqmuxa)) - (portRef B (instanceRef current_state_ns_0_i_3)) - )) - (net un1_next_nomoredata_0_sqmuxa_3_0_0 (joined - (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_1_0)) - (portRef D (instanceRef timeout_RNO)) - (portRef D (instanceRef unknown_RNO)) - (portRef C (instanceRef nomoredata_RNO)) + (net next_address_i_m2_axb_0 (joined + (portRef Z (instanceRef next_address_i_m2_cry_0_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_0_0)) )) - (net un1_next_state_5_sqmuxa_i (joined - (portRef Z (instanceRef un1_next_state_5_sqmuxa)) - (portRef C (instanceRef dont_understand_RNO_0)) + (net next_address_i_m2_axb_1 (joined + (portRef Z (instanceRef next_address_i_m2_cry_1_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_1_0)) )) - (net un1_next_address_0_sqmuxa_0 (joined - (portRef Z (instanceRef un1_next_address_0_sqmuxa)) - (portRef C0 (instanceRef next_address_i_m2_s_15_0)) - (portRef D (instanceRef next_address_i_m2_cry_0_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_13_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_13_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_11_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_11_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_9_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_9_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_7_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_7_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_5_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_5_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_3_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_3_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_1_0_RNO_0)) - (portRef B (instanceRef next_address_i_m2_cry_1_0_RNO)) - (portRef B (instanceRef next_address_i_m2_cry_0_0_RNO_0)) + (net next_address_i_m2_axb_2 (joined + (portRef Z (instanceRef next_address_i_m2_cry_1_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_1_0)) )) - (net next_state_2_sqmuxa_1 (joined - (portRef Z (instanceRef next_state_2_sqmuxa_1)) - (portRef B (instanceRef unknown_RNO)) + (net next_address_i_m2_axb_3 (joined + (portRef Z (instanceRef next_address_i_m2_cry_3_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_3_0)) )) - (net m4_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) - (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) + (net next_address_i_m2_axb_4 (joined + (portRef Z (instanceRef next_address_i_m2_cry_3_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_3_0)) )) - (net m9_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m9_8_03_0_0)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m9_8_03)) + (net next_address_i_m2_axb_5 (joined + (portRef Z (instanceRef next_address_i_m2_cry_5_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_5_0)) )) - (net m8_8_03_0_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03_0_0)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m8_8_03)) + (net next_address_i_m2_axb_6 (joined + (portRef Z (instanceRef next_address_i_m2_cry_5_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_5_0)) )) - (net current_state_ns_0_0_12 (joined - (portRef Z (instanceRef current_state_ns_0_0_12)) - (portRef D (instanceRef current_state_ns_0_i_12)) + (net next_address_i_m2_axb_7 (joined + (portRef Z (instanceRef next_address_i_m2_cry_7_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_7_0)) )) - (net un169_next_api_data_out_23_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (net next_address_i_m2_axb_8 (joined + (portRef Z (instanceRef next_address_i_m2_cry_7_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_7_0)) )) - (net un1_tmg_edge_found_i_axb_14 (joined - (portRef un1_tmg_edge_found_i_axb_14) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) + (net next_address_i_m2_axb_9 (joined + (portRef Z (instanceRef next_address_i_m2_cry_9_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_9_0)) )) - (net un169_next_api_data_out_23_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) + (net next_address_i_m2_axb_10 (joined + (portRef Z (instanceRef next_address_i_m2_cry_9_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_9_0)) )) - (net un169_next_api_data_out_14 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_23)) - (portRef A (instanceRef next_API_DATA_OUT_13_14)) + (net next_address_i_m2_axb_11 (joined + (portRef Z (instanceRef next_address_i_m2_cry_11_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_11_0)) )) - (net rom_read_dout_7 (joined - (portRef rom_read_dout_7 (instanceRef board_rom)) - (portRef D (instanceRef next_API_DATA_OUT_17_3)) - (portRef B (instanceRef next_API_DATA_OUT_17_bm_14)) - (portRef B (instanceRef next_API_DATA_OUT_17_bm_10)) - (portRef D (instanceRef next_API_DATA_OUT_17_7)) + (net next_address_i_m2_axb_12 (joined + (portRef Z (instanceRef next_address_i_m2_cry_11_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_11_0)) )) - (net N_2646 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_7)) - (portRef B (instanceRef next_API_DATA_OUT_am_7)) + (net next_address_i_m2_axb_13 (joined + (portRef Z (instanceRef next_address_i_m2_cry_13_0_RNO)) + (portRef A0 (instanceRef next_address_i_m2_cry_13_0)) )) - (net rom_read_dout_2 (joined - (portRef rom_read_dout_2 (instanceRef board_rom)) - (portRef D (instanceRef next_API_DATA_OUT_17_1)) - (portRef B (instanceRef next_API_DATA_OUT_17_bm_2)) - (portRef D (instanceRef next_API_DATA_OUT_17_4)) + (net next_address_i_m2_axb_14 (joined + (portRef Z (instanceRef next_address_i_m2_cry_13_0_RNO_0)) + (portRef A1 (instanceRef next_address_i_m2_cry_13_0)) )) - (net N_2643 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_4)) - (portRef B (instanceRef next_API_DATA_OUT_20_4)) + (net N_5910 (joined + (portRef Z (instanceRef un1_next_nomoredata_0_sqmuxa_2_i_o2)) + (portRef A (instanceRef unknown_RNO)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_1_i)) + (portRef A (instanceRef un1_next_nomoredata_0_sqmuxa_2_i)) )) - (net trg_int_number_i_9 (joined - (portRef (member trg_int_number_i 6)) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + (net N_2526 (joined + (portRef Z (instanceRef current_state_RNIVD6M1_10)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_15)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_11)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_13)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_4)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_14)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_9)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_10)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_12)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_1)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_3)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_2)) + (portRef A (instanceRef next_API_DATA_OUT_16_am_8)) + (portRef A (instanceRef next_API_DATA_OUT_10_6)) + (portRef A (instanceRef next_API_DATA_OUT_10_5)) + (portRef A (instanceRef next_API_DATA_OUT_10_7)) )) - (net un169_next_api_data_out_18_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) + (net length_s_15 (joined + (portRef S0 (instanceRef length_s_0_15)) + (portRef A (instanceRef length_lm_0_15)) )) - (net un1_tmg_edge_found_i_axb_9 (joined - (portRef un1_tmg_edge_found_i_axb_9) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef the_addresses)) + (portRef D (instanceRef length_lm_0_14)) + (portRef D (instanceRef length_lm_0_13)) + (portRef D (instanceRef length_lm_0_12)) + (portRef D (instanceRef length_lm_0_11)) + (portRef D (instanceRef length_lm_0_10)) + (portRef D (instanceRef length_lm_0_9)) + (portRef D (instanceRef length_lm_0_8)) + (portRef D (instanceRef length_lm_0_7)) + (portRef D (instanceRef length_lm_0_6)) + (portRef D (instanceRef length_lm_0_5)) + (portRef D (instanceRef length_lm_0_4)) + (portRef D (instanceRef length_lm_0_3)) + (portRef D (instanceRef length_lm_0_2)) + (portRef D (instanceRef length_lm_0_1)) + (portRef D (instanceRef length_lm_0_0)) + (portRef C (instanceRef length_lm_0_15)) + (portRef D (instanceRef current_state_nss_0_i_0)) )) - (net un169_next_api_data_out_18_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) + (net current_state_ns_0_i_s_0_12 (joined + (portRef Z (instanceRef current_state_ns_0_i_s_0_12)) + (portRef C (instanceRef current_state_ns_0_i_s_12)) )) - (net un169_next_api_data_out_21_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + (net m7_8_03_0_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m7_8_03_0_0)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_7)) )) - (net un1_tmg_edge_found_i_axb_12 (joined - (portRef un1_tmg_edge_found_i_axb_12) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) + (net m14_8_03_0_1 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03_0_0)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_14)) )) - (net un169_next_api_data_out_21_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + (net m4_8_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m4_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) )) - (net un169_next_api_data_out_12 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) - (portRef A (instanceRef next_API_DATA_OUT_13_12)) + (net m4_8_03_0_2 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m4_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_m2_2_bm_4)) )) - (net rom_read_dout_9 (joined - (portRef rom_read_dout_9 (instanceRef board_rom)) - (portRef D (instanceRef next_API_DATA_OUT_20_am_5)) - (portRef D (instanceRef next_API_DATA_OUT_17_11)) - (portRef D (instanceRef next_API_DATA_OUT_17_9)) + (net m7_8_03_0_1 (joined + (portRef Z (instanceRef fsm_un104_next_api_data_out_m7_8_03_0_0)) + (portRef B (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) )) - (net N_2648 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_9)) - (portRef B (instanceRef next_API_DATA_OUT_am_9)) + (net un169_next_api_data_out_15_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) )) - (net next_API_DATA_OUT_17_am_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_am_2)) - (portRef BLUT (instanceRef next_API_DATA_OUT_17_2)) + (net un1_tmg_edge_found_i_axb_6 (joined + (portRef un1_tmg_edge_found_i_axb_6) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) )) - (net next_API_DATA_OUT_17_bm_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_bm_2)) - (portRef ALUT (instanceRef next_API_DATA_OUT_17_2)) + (net un169_next_api_data_out_15_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) )) - (net N_2641 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_2)) - (portRef D0 (instanceRef next_API_DATA_OUT_20_2)) + (net un169_next_api_data_out_6 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) + (portRef A (instanceRef next_API_DATA_OUT_13_6)) )) (net next_API_DATA_OUT_17_am_8 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_am_8)) @@ -105572,41 +105754,34 @@ (portRef Z (instanceRef next_API_DATA_OUT_17_bm_8)) (portRef ALUT (instanceRef next_API_DATA_OUT_17_8)) )) - (net N_2647 (joined + (net N_2654 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_8)) - (portRef B (instanceRef next_API_DATA_OUT_am_8)) - )) - (net rom_read_addr_0 (joined - (portRef Z (instanceRef rom_read_addr_0)) - (portRef (member rom_read_addr 2) (instanceRef board_rom)) - )) - (net next_API_DATA_OUT_2_am_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_6)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_6)) + (portRef D0 (instanceRef next_API_DATA_OUT_20_8)) )) - (net next_API_DATA_OUT_2_bm_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_6)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_6)) + (net rom_read_dout_9 (joined + (portRef rom_read_dout_9 (instanceRef board_rom)) + (portRef D (instanceRef next_API_DATA_OUT_17_7)) + (portRef D (instanceRef next_API_DATA_OUT_17_9)) )) - (net N_5862 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_6)) - (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_6)) + (net N_2655 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_9)) + (portRef B (instanceRef next_API_DATA_OUT_am_9)) )) - (net N_2640 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_1)) - (portRef B (instanceRef next_API_DATA_OUT_20_1)) + (net un169_next_api_data_out_16_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16)) )) - (net next_API_DATA_OUT_17_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_17_10)) + (net un1_tmg_edge_found_i_axb_7 (joined + (portRef un1_tmg_edge_found_i_axb_7) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm)) )) - (net next_API_DATA_OUT_17_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_17_10)) + (net un169_next_api_data_out_16_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16)) )) - (net N_2649 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_10)) - (portRef D0 (instanceRef next_API_DATA_OUT_20_10)) + (net un169_next_api_data_out_7 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_16)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_1_7)) )) (net next_API_DATA_OUT_17_am_12 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_am_12)) @@ -105621,10 +105796,35 @@ (portRef Z (instanceRef next_API_DATA_OUT_17_bm_12)) (portRef ALUT (instanceRef next_API_DATA_OUT_17_12)) )) - (net N_2651 (joined + (net N_2658 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_12)) (portRef D0 (instanceRef next_API_DATA_OUT_20_12)) )) + (net next_API_DATA_OUT_17_am_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_am_13)) + (portRef BLUT (instanceRef next_API_DATA_OUT_17_13)) + )) + (net rom_read_dout_6 (joined + (portRef rom_read_dout_6 (instanceRef board_rom)) + (portRef D (instanceRef next_API_DATA_OUT_20_am_6)) + (portRef B (instanceRef next_API_DATA_OUT_17_bm_13)) + )) + (net next_API_DATA_OUT_17_bm_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_bm_13)) + (portRef ALUT (instanceRef next_API_DATA_OUT_17_13)) + )) + (net N_2659 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_13)) + (portRef D0 (instanceRef next_API_DATA_OUT_20_13)) + )) + (net N_2653 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_7)) + (portRef B (instanceRef next_API_DATA_OUT_am_7)) + )) + (net rom_read_addr_0 (joined + (portRef Z (instanceRef rom_read_addr_0)) + (portRef (member rom_read_addr 2) (instanceRef board_rom)) + )) (net next_API_DATA_OUT_17_am_15 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_am_15)) (portRef BLUT (instanceRef next_API_DATA_OUT_17_15)) @@ -105633,27 +105833,48 @@ (portRef Z (instanceRef next_API_DATA_OUT_17_bm_15)) (portRef ALUT (instanceRef next_API_DATA_OUT_17_15)) )) - (net N_2654 (joined + (net N_2661 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_15)) (portRef D0 (instanceRef next_API_DATA_OUT_20_15)) )) - (net N_2650 (joined + (net rom_read_dout_3 (joined + (portRef rom_read_dout_3 (instanceRef board_rom)) + (portRef D (instanceRef next_API_DATA_OUT_20_am_5)) + (portRef D (instanceRef next_API_DATA_OUT_17_3)) + (portRef D (instanceRef next_API_DATA_OUT_17_1)) + (portRef D (instanceRef next_API_DATA_OUT_17_10)) + (portRef D (instanceRef next_API_DATA_OUT_17_11)) + (portRef D (instanceRef next_API_DATA_OUT_17_14)) + )) + (net N_2660 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_14)) + (portRef B (instanceRef next_API_DATA_OUT_20_14)) + )) + (net N_2657 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_11)) (portRef B (instanceRef next_API_DATA_OUT_20_11)) )) - (net next_API_DATA_OUT_17_am_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_am_14)) - (portRef BLUT (instanceRef next_API_DATA_OUT_17_14)) + (net N_2656 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_10)) + (portRef B (instanceRef next_API_DATA_OUT_20_10)) )) - (net next_API_DATA_OUT_17_bm_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_bm_14)) - (portRef ALUT (instanceRef next_API_DATA_OUT_17_14)) + (net next_API_DATA_OUT_2_i_m2_am_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_6)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_6)) )) - (net N_2653 (joined - (portRef Z (instanceRef next_API_DATA_OUT_17_14)) - (portRef D0 (instanceRef next_API_DATA_OUT_20_14)) + (net next_API_DATA_OUT_2_i_m2_bm_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_6)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_6)) )) - (net N_2642 (joined + (net N_256 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_6)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_6)) + )) + (net N_2647 (joined + (portRef Z (instanceRef next_API_DATA_OUT_17_1)) + (portRef B (instanceRef next_API_DATA_OUT_20_1)) + )) + (net N_2649 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_3)) (portRef B (instanceRef next_API_DATA_OUT_20_3)) )) @@ -105669,7 +105890,7 @@ (portRef Z (instanceRef next_API_DATA_OUT_17_bm_0)) (portRef ALUT (instanceRef next_API_DATA_OUT_17_0)) )) - (net N_2639 (joined + (net N_2646 (joined (portRef Z (instanceRef next_API_DATA_OUT_17_0)) (portRef D0 (instanceRef next_API_DATA_OUT_20_0)) )) @@ -105685,9 +105906,21 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22_bm)) (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_22)) )) - (net m11_4_03_4_0 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) - (portRef B (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) + (net un169_next_api_data_out_21_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + )) + (net un1_tmg_edge_found_i_axb_12 (joined + (portRef un1_tmg_edge_found_i_axb_12) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) + )) + (net un169_next_api_data_out_21_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + )) + (net un169_next_api_data_out_12 (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_21)) + (portRef A (instanceRef next_API_DATA_OUT_13_i_m2_12)) )) (net un169_next_api_data_out_20_am (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am)) @@ -105701,30 +105934,6 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_bm)) (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20)) )) - (net un1_tmg_edge_found_i_axb_10 (joined - (portRef un1_tmg_edge_found_i_axb_10) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) - )) - (net un169_next_api_data_out_10 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_19)) - (portRef C (instanceRef next_API_DATA_OUT_13_am_10)) - )) - (net un169_next_api_data_out_15_am (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_am)) - (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) - )) - (net un1_tmg_edge_found_i_axb_6 (joined - (portRef un1_tmg_edge_found_i_axb_6) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) - )) - (net un169_next_api_data_out_15_bm (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15_bm)) - (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) - )) - (net un169_next_api_data_out_6 (joined - (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_15)) - (portRef A (instanceRef next_API_DATA_OUT_13_6)) - )) (net un169_next_api_data_out_12_am (joined (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12_am)) (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12)) @@ -105741,389 +105950,484 @@ (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_12)) (portRef A (instanceRef next_API_DATA_OUT_13_3)) )) - (net N_2574 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_5)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_5)) + (net length_s_0 (joined + (portRef S1 (instanceRef length_cry_0_0)) + (portRef B (instanceRef length_lm_0_0)) )) - (net N_2571 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_2)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_2)) + (net length_s_1 (joined + (portRef S0 (instanceRef length_cry_0_1)) + (portRef B (instanceRef length_lm_0_1)) )) - (net N_2128 (joined - (portRef Z (instanceRef current_state_ns_i_a3_15)) - (portRef B (instanceRef current_state_ns_i_15)) + (net length_s_2 (joined + (portRef S1 (instanceRef length_cry_0_1)) + (portRef B (instanceRef length_lm_0_2)) )) - (net rom_read_addr_2 (joined - (portRef Z (instanceRef rom_read_addr_2)) - (portRef (member rom_read_addr 0) (instanceRef board_rom)) + (net length_s_3 (joined + (portRef S0 (instanceRef length_cry_0_3)) + (portRef B (instanceRef length_lm_0_3)) + )) + (net length_s_4 (joined + (portRef S1 (instanceRef length_cry_0_3)) + (portRef B (instanceRef length_lm_0_4)) + )) + (net length_s_5 (joined + (portRef S0 (instanceRef length_cry_0_5)) + (portRef B (instanceRef length_lm_0_5)) + )) + (net length_s_6 (joined + (portRef S1 (instanceRef length_cry_0_5)) + (portRef B (instanceRef length_lm_0_6)) + )) + (net length_s_7 (joined + (portRef S0 (instanceRef length_cry_0_7)) + (portRef B (instanceRef length_lm_0_7)) + )) + (net length_s_8 (joined + (portRef S1 (instanceRef length_cry_0_7)) + (portRef B (instanceRef length_lm_0_8)) + )) + (net length_s_9 (joined + (portRef S0 (instanceRef length_cry_0_9)) + (portRef B (instanceRef length_lm_0_9)) + )) + (net length_s_10 (joined + (portRef S1 (instanceRef length_cry_0_9)) + (portRef B (instanceRef length_lm_0_10)) + )) + (net length_s_11 (joined + (portRef S0 (instanceRef length_cry_0_11)) + (portRef B (instanceRef length_lm_0_11)) + )) + (net length_s_12 (joined + (portRef S1 (instanceRef length_cry_0_11)) + (portRef B (instanceRef length_lm_0_12)) + )) + (net length_s_13 (joined + (portRef S0 (instanceRef length_cry_0_13)) + (portRef B (instanceRef length_lm_0_13)) + )) + (net length_s_14 (joined + (portRef S1 (instanceRef length_cry_0_13)) + (portRef B (instanceRef length_lm_0_14)) + )) + (net un1_current_state3 (joined + (portRef Z (instanceRef un1_current_state3)) + (portRef D (instanceRef lengthe_0_i)) + )) + (net buf_API_SHORT_TRANSFER_OUT_Q (joined + (portRef Q (instanceRef buf_API_SHORT_TRANSFER_OUT)) + (portRef D (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) + (portRef buf_API_SHORT_TRANSFER_OUT_Q) )) (net rom_read_addr_1 (joined (portRef Z (instanceRef rom_read_addr_1)) (portRef (member rom_read_addr 1) (instanceRef board_rom)) )) - (net N_2569 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_0)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_0)) + (net rom_read_addr_2 (joined + (portRef Z (instanceRef rom_read_addr_2)) + (portRef (member rom_read_addr 0) (instanceRef board_rom)) )) - (net N_2570 (joined + (net N_2581 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_5)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_5)) + )) + (net N_2507 (joined + (portRef Z (instanceRef next_API_DATA_OUT_8_15)) + (portRef BLUT (instanceRef next_API_DATA_OUT_13_15)) + )) + (net next_API_DATA_OUT_2_i_m2_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_10)) + )) + (net next_API_DATA_OUT_2_i_m2_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_10)) + )) + (net N_260 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_10)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_10)) + )) + (net N_2577 (joined (portRef Z (instanceRef next_API_DATA_OUT_13_1)) (portRef B (instanceRef next_API_DATA_OUT_21_bm_1)) )) - (net un2_timeout_counter_0 (joined - (portRef Z (instanceRef fsm_un2_timeout_counter)) - (portRef C (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) + (net N_2578 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_2)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_2)) )) - (net OUT5_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_5)) + (net N_2576 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_0)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_0)) + )) + (net next_address_i_m2_cry_0_0_RNO (joined + (portRef Z (instanceRef next_address_i_m2_cry_0_0_RNO)) + (portRef B0 (instanceRef next_address_i_m2_cry_0_0)) )) (net OUT6_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m6_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_6)) + (portRef B (instanceRef next_API_DATA_OUT_12_6)) + )) + (net OUT5_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m5_8_03)) + (portRef B (instanceRef next_API_DATA_OUT_12_5)) )) (net OUT13_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m13_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_13)) - )) - (net OUT14_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m14_8_03)) - (portRef B (instanceRef next_API_DATA_OUT_12_14)) + (portRef B (instanceRef next_API_DATA_OUT_12_13)) )) (net OUT15_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m15_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_15)) + (portRef B (instanceRef next_API_DATA_OUT_12_15)) + )) + (net OUT10_0 (joined + (portRef Z (instanceRef fsm_un230_next_api_data_out_m10_8_03)) + (portRef B (instanceRef next_API_DATA_OUT_12_10)) )) (net OUT11_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m11_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_11)) + (portRef B (instanceRef next_API_DATA_OUT_12_11)) )) (net OUT12_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m12_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_12_12)) - )) - (net m8_6_03_4 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03)) - (portRef B (instanceRef fsm_un230_next_api_data_out_m8_8_03)) + (portRef B (instanceRef next_API_DATA_OUT_12_i_m2_12)) )) (net OUT8_0 (joined (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_8_03)) - (portRef A (instanceRef next_API_DATA_OUT_18_bm_8)) - )) - (net next_API_DATA_OUT_2_am_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_11)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_11)) - )) - (net next_API_DATA_OUT_2_bm_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_11)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_11)) - )) - (net N_5867 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_11)) - (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_11)) - )) - (net next_API_DATA_OUT_2_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_15)) - )) - (net common_ctrl_reg_95 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_95_buf_COMMON_CTRL_REG_OUT_95)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_15)) - (portRef common_ctrl_reg_95) + (portRef B (instanceRef next_API_DATA_OUT_12_8)) )) - (net next_API_DATA_OUT_2_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_15)) + (net N_2535 (joined + (portRef Z (instanceRef next_API_DATA_OUT_10_7)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_7)) )) - (net N_5871 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_15)) - (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_15)) + (net N_2533 (joined + (portRef Z (instanceRef next_API_DATA_OUT_10_5)) + (portRef B (instanceRef next_API_DATA_OUT_20_bm_5)) )) - (net next_API_DATA_OUT_2_am_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_5)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_5)) + (net next_API_DATA_OUT_2_i_m2_am_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_5)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_5)) )) - (net next_API_DATA_OUT_2_bm_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_5)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_5)) + (net next_API_DATA_OUT_2_i_m2_bm_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_5)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_5)) )) - (net N_5861 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_5)) + (net N_6137 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_5)) (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_5)) )) - (net next_API_DATA_OUT_2_am_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_am_13)) - (portRef BLUT (instanceRef next_API_DATA_OUT_2_13)) - )) - (net common_ctrl_reg_93 (joined - (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_2_gen_cregoutff1_93_buf_COMMON_CTRL_REG_OUT_93)) - (portRef D (instanceRef next_API_DATA_OUT_2_bm_13)) - (portRef common_ctrl_reg_93) - )) - (net next_API_DATA_OUT_2_bm_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_bm_13)) - (portRef ALUT (instanceRef next_API_DATA_OUT_2_13)) - )) - (net N_5869 (joined - (portRef Z (instanceRef next_API_DATA_OUT_2_13)) - (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_13)) + (net un169_next_api_data_out_18_am (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_am)) + (portRef BLUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) )) - (net next_address_i_m2_axb_0 (joined - (portRef Z (instanceRef next_address_i_m2_cry_0_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_0_0)) + (net un1_tmg_edge_found_i_axb_9 (joined + (portRef un1_tmg_edge_found_i_axb_9) + (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) )) - (net next_address_i_m2_axb_1 (joined - (portRef Z (instanceRef next_address_i_m2_cry_1_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_1_0)) + (net un169_next_api_data_out_18_bm (joined + (portRef Z (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18_bm)) + (portRef ALUT (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_18)) )) - (net next_address_i_m2_axb_2 (joined - (portRef Z (instanceRef next_address_i_m2_cry_1_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_1_0)) + (net N_2534 (joined + (portRef Z (instanceRef next_API_DATA_OUT_10_6)) + (portRef B (instanceRef next_API_DATA_OUT_20_bm_6)) )) - (net next_address_i_m2_axb_3 (joined - (portRef Z (instanceRef next_address_i_m2_cry_3_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_3_0)) + (net next_API_DATA_OUT_2_i_m2_am_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_12)) )) - (net next_address_i_m2_axb_4 (joined - (portRef Z (instanceRef next_address_i_m2_cry_3_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_3_0)) + (net next_API_DATA_OUT_2_i_m2_bm_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_12)) )) - (net next_address_i_m2_axb_5 (joined - (portRef Z (instanceRef next_address_i_m2_cry_5_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_5_0)) + (net N_262 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_12)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_12)) )) - (net next_address_i_m2_axb_6 (joined - (portRef Z (instanceRef next_address_i_m2_cry_5_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_5_0)) + (net next_API_DATA_OUT_2_i_m2_am_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_11)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_11)) )) - (net next_address_i_m2_axb_7 (joined - (portRef Z (instanceRef next_address_i_m2_cry_7_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_7_0)) + (net next_API_DATA_OUT_2_i_m2_bm_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_11)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_11)) )) - (net next_address_i_m2_axb_8 (joined - (portRef Z (instanceRef next_address_i_m2_cry_7_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_7_0)) + (net N_261 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_11)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_11)) )) - (net next_address_i_m2_axb_9 (joined - (portRef Z (instanceRef next_address_i_m2_cry_9_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_9_0)) + (net next_API_DATA_OUT_2_i_m2_am_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_am_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_2_i_m2_8)) )) - (net next_address_i_m2_axb_10 (joined - (portRef Z (instanceRef next_address_i_m2_cry_9_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_9_0)) + (net next_API_DATA_OUT_2_i_m2_bm_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_bm_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_2_i_m2_8)) )) - (net next_address_i_m2_axb_11 (joined - (portRef Z (instanceRef next_address_i_m2_cry_11_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_11_0)) + (net N_258 (joined + (portRef Z (instanceRef next_API_DATA_OUT_2_i_m2_8)) + (portRef D0 (instanceRef next_API_DATA_OUT_14_i_m2_8)) )) - (net next_address_i_m2_axb_12 (joined - (portRef Z (instanceRef next_address_i_m2_cry_11_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_11_0)) + (net N_2582 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_6)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_6)) )) - (net next_address_i_m2_axb_13 (joined - (portRef Z (instanceRef next_address_i_m2_cry_13_0_RNO)) - (portRef A0 (instanceRef next_address_i_m2_cry_13_0)) + (net N_292 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_i_m2_12)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_12)) )) - (net next_address_i_m2_axb_14 (joined - (portRef Z (instanceRef next_address_i_m2_cry_13_0_RNO_0)) - (portRef A1 (instanceRef next_address_i_m2_cry_13_0)) + (net un14_i_a3_0_1 (joined + (portRef (member un14_i_a3_0 0)) + (portRef C (instanceRef next_API_DATA_OUT_8_i_m2_am_10)) + (portRef D (instanceRef fsm_un169_next_api_data_out_m10_6_03_i_1)) )) - (net next_API_DATA_OUT_13_am_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_7)) + (net next_API_DATA_OUT_8_i_m2_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_8_i_m2_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_8_i_m2_10)) )) - (net next_API_DATA_OUT_13_bm_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_7)) + (net un1_tmg_edge_found_i_axb_10 (joined + (portRef un1_tmg_edge_found_i_axb_10) + (portRef A (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) )) - (net N_2576 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_7)) - (portRef D0 (instanceRef next_API_DATA_OUT_18_7)) + (net next_API_DATA_OUT_8_i_m2_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_8_i_m2_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_8_i_m2_10)) )) - (net N_2581 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_12)) - (portRef BLUT (instanceRef next_API_DATA_OUT_18_12)) + (net N_289 (joined + (portRef Z (instanceRef next_API_DATA_OUT_8_i_m2_10)) + (portRef A (instanceRef next_API_DATA_OUT_13_10)) )) - (net N_2575 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_6)) - (portRef B (instanceRef next_API_DATA_OUT_21_bm_6)) + (net N_5861 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_3_4)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_1_14)) + (portRef C (instanceRef next_API_DATA_OUT_18_i_1_7)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) + (portRef N_5861) )) - (net N_2583 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_14)) - (portRef BLUT (instanceRef next_API_DATA_OUT_18_14)) + (net N_361 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_0_4)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_4)) )) - (net N_2572 (joined + (net N_2579 (joined (portRef Z (instanceRef next_API_DATA_OUT_13_3)) (portRef B (instanceRef next_API_DATA_OUT_21_bm_3)) )) + (net lvl1_tmg_trg_missing_flag (joined + (portRef lvl1_tmg_trg_missing_flag) + (portRef C (instanceRef next_API_DATA_OUT_8_am_8)) + (portRef C (instanceRef fsm_un169_next_api_data_out_m8_6_03_i_2)) + )) (net next_API_DATA_OUT_8_am_8 (joined (portRef Z (instanceRef next_API_DATA_OUT_8_am_8)) (portRef BLUT (instanceRef next_API_DATA_OUT_8_8)) )) - (net lvl1_tmg_trg_missing_flag (joined - (portRef lvl1_tmg_trg_missing_flag) - (portRef C (instanceRef next_API_DATA_OUT_8_bm_8)) - (portRef D (instanceRef fsm_un169_next_api_data_out_m8_6_03_i)) - )) (net next_API_DATA_OUT_8_bm_8 (joined (portRef Z (instanceRef next_API_DATA_OUT_8_bm_8)) (portRef ALUT (instanceRef next_API_DATA_OUT_8_8)) )) - (net N_2493 (joined + (net N_2500 (joined (portRef Z (instanceRef next_API_DATA_OUT_8_8)) - (portRef A (instanceRef next_API_DATA_OUT_18_am_8)) + (portRef A (instanceRef next_API_DATA_OUT_13_8)) )) - (net next_address_i_m2_cry_0_0_RNO (joined - (portRef Z (instanceRef next_address_i_m2_cry_0_0_RNO)) - (portRef B0 (instanceRef next_address_i_m2_cry_0_0)) + (net next_API_DATA_OUT_1_am_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_5)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_5)) )) - (net next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1 (joined - (portRef Z (instanceRef next_API_SHORT_TRANSFER_OUT_1_sqmuxa_1)) - (portRef C (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) + (net next_API_DATA_OUT_1_bm_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_5)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_5)) + )) + (net N_6029 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_5)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_5)) + )) + (net stat_reg_3 (joined + (portRef stat_reg_3) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_1_am_3)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) + )) + (net next_API_DATA_OUT_12_i_m2_1_am_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_am_3)) + (portRef BLUT (instanceRef next_API_DATA_OUT_12_i_m2_1_3)) + )) + (net next_API_DATA_OUT_12_i_m2_1_bm_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_3)) + (portRef ALUT (instanceRef next_API_DATA_OUT_12_i_m2_1_3)) + )) + (net N_298 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_3)) + (portRef D0 (instanceRef next_API_DATA_OUT_12_i_m2_3)) )) - (net next_API_DATA_OUT_14_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_10)) + (net stat_reg_2 (joined + (portRef stat_reg_2) + (portRef C (instanceRef next_API_DATA_OUT_12_i_m2_1_am_2)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) )) - (net next_API_DATA_OUT_14_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_10)) + (net next_API_DATA_OUT_12_i_m2_1_am_2 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_am_2)) + (portRef BLUT (instanceRef next_API_DATA_OUT_12_i_m2_1_2)) )) - (net N_4470 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_10)) - (portRef D0 (instanceRef next_API_DATA_OUT_19_10)) + (net next_API_DATA_OUT_12_i_m2_1_bm_2 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_bm_2)) + (portRef ALUT (instanceRef next_API_DATA_OUT_12_i_m2_1_2)) )) - (net next_API_DATA_OUT_9_am_0 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_am_0)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9_0)) + (net N_297_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_1_2)) + (portRef D0 (instanceRef next_API_DATA_OUT_12_i_m2_2)) )) (net stat_reg_0 (joined (portRef stat_reg_0) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_0)) + (portRef C (instanceRef next_API_DATA_OUT_9_i_m2_am_0)) (portRef C (instanceRef fsm_un230_next_api_data_out_m0_6_03_0)) )) - (net next_API_DATA_OUT_9_bm_0 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_bm_0)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9_0)) + (net next_API_DATA_OUT_9_i_m2_am_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_9_i_m2_am_0)) + (portRef BLUT (instanceRef next_API_DATA_OUT_9_i_m2_0)) )) - (net N_2503 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_0)) + (net next_API_DATA_OUT_9_i_m2_bm_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_9_i_m2_bm_0)) + (portRef ALUT (instanceRef next_API_DATA_OUT_9_i_m2_0)) + )) + (net N_296 (joined + (portRef Z (instanceRef next_API_DATA_OUT_9_i_m2_0)) (portRef D0 (instanceRef next_API_DATA_OUT_12_0)) )) - (net next_API_DATA_OUT_1_am_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_am_6)) - (portRef BLUT (instanceRef next_API_DATA_OUT_1_6)) + (net stat_reg_4 (joined + (portRef stat_reg_4) + (portRef C (instanceRef next_API_DATA_OUT_18_i_m2_2_am_4)) + (portRef B (instanceRef fsm_un230_next_api_data_out_m4_4_03)) )) - (net next_API_DATA_OUT_1_bm_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_6)) - (portRef ALUT (instanceRef next_API_DATA_OUT_1_6)) + (net next_API_DATA_OUT_18_i_m2_2_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_m2_2_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_18_i_m2_2_4)) )) - (net N_5846 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_6)) - (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_6)) + (net next_API_DATA_OUT_18_i_m2_2_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_m2_2_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_18_i_m2_2_4)) )) - (net next_API_DATA_OUT_1_am_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_am_5)) - (portRef BLUT (instanceRef next_API_DATA_OUT_1_5)) + (net N_295 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_m2_2_4)) + (portRef A (instanceRef next_API_DATA_OUT_18_i_4)) )) - (net next_API_DATA_OUT_1_bm_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_5)) - (portRef ALUT (instanceRef next_API_DATA_OUT_1_5)) + (net N_6126 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_10)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_10)) )) - (net N_5845 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_5)) - (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_5)) + (net stat_reg_1 (joined + (portRef stat_reg_1) + (portRef C (instanceRef next_API_DATA_OUT_9_am_1)) + (portRef C (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) )) (net next_API_DATA_OUT_9_am_1 (joined (portRef Z (instanceRef next_API_DATA_OUT_9_am_1)) (portRef BLUT (instanceRef next_API_DATA_OUT_9_1)) )) - (net stat_reg_1 (joined - (portRef stat_reg_1) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_1)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m1_6_03_0)) - )) (net next_API_DATA_OUT_9_bm_1 (joined (portRef Z (instanceRef next_API_DATA_OUT_9_bm_1)) (portRef ALUT (instanceRef next_API_DATA_OUT_9_1)) )) - (net N_2504 (joined + (net N_2511 (joined (portRef Z (instanceRef next_API_DATA_OUT_9_1)) (portRef D0 (instanceRef next_API_DATA_OUT_12_1)) )) - (net next_API_DATA_OUT_9_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9_4)) + (net next_API_DATA_OUT_1_am_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_am_6)) + (portRef BLUT (instanceRef next_API_DATA_OUT_1_6)) )) - (net stat_reg_4 (joined - (portRef stat_reg_4) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_4)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m4_6_03_0)) + (net next_API_DATA_OUT_1_bm_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_6)) + (portRef ALUT (instanceRef next_API_DATA_OUT_1_6)) )) - (net next_API_DATA_OUT_9_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9_4)) + (net N_6030 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_6)) + (portRef D1 (instanceRef next_API_DATA_OUT_14_i_m2_6)) )) - (net N_2507 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_4)) - (portRef D0 (instanceRef next_API_DATA_OUT_12_4)) + (net next_API_DATA_OUT_18_i_1_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_1_7)) + (portRef D (instanceRef next_API_DATA_OUT_bm_7)) )) - (net next_API_DATA_OUT_9_am_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_am_3)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9_3)) + (net N_368 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_1_14)) )) - (net stat_reg_3 (joined - (portRef stat_reg_3) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_3)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m3_6_03_0)) + (net next_API_DATA_OUT_18_i_1_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_1_14)) + (portRef D (instanceRef next_API_DATA_OUT_21_14)) )) - (net next_API_DATA_OUT_9_bm_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_bm_3)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9_3)) + (net next_API_DATA_OUT_16_am_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_8)) )) - (net N_2506 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_3)) - (portRef D0 (instanceRef next_API_DATA_OUT_12_3)) + (net next_API_DATA_OUT_16_bm_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_8)) )) - (net next_API_DATA_OUT_9_am_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_am_2)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9_2)) + (net N_2636 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_8)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_8)) )) - (net stat_reg_2 (joined - (portRef stat_reg_2) - (portRef C (instanceRef next_API_DATA_OUT_9_bm_2)) - (portRef C (instanceRef fsm_un230_next_api_data_out_m2_6_03_0)) + (net N_372 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_7)) + (portRef A (instanceRef next_API_DATA_OUT_bm_7)) )) - (net next_API_DATA_OUT_9_bm_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_bm_2)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9_2)) + (net next_API_DATA_OUT_16_am_2 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_2)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_2)) )) - (net N_2505 (joined - (portRef Z (instanceRef next_API_DATA_OUT_9_2)) - (portRef D0 (instanceRef next_API_DATA_OUT_12_2)) + (net next_API_DATA_OUT_16_bm_2 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_2)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_2)) )) - (net N_89 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_11)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_11)) + (net N_2630 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_2)) + (portRef B (instanceRef next_API_DATA_OUT_20_2)) )) - (net next_API_DATA_OUT_14_i_m2_am_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_12)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_12)) + (net next_API_DATA_OUT_16_am_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_3)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_3)) )) - (net next_API_DATA_OUT_14_i_m2_bm_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_12)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_12)) + (net next_API_DATA_OUT_16_bm_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_3)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_3)) )) - (net N_90 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_12)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_12)) + (net N_2631 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_3)) + (portRef A (instanceRef next_API_DATA_OUT_20_3)) )) - (net N_93 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_15)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_15)) + (net next_API_DATA_OUT_16_am_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_1)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_1)) )) - (net N_91 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_13)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_13)) + (net next_API_DATA_OUT_16_bm_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_1)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_1)) + )) + (net N_2629 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_1)) + (portRef A (instanceRef next_API_DATA_OUT_20_1)) + )) + (net next_API_DATA_OUT_16_am_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_12)) + )) + (net next_API_DATA_OUT_16_bm_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_12)) + )) + (net N_2640 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_12)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_12)) + )) + (net next_API_DATA_OUT_16_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_10)) + )) + (net next_API_DATA_OUT_16_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_10)) + )) + (net N_2638 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_10)) + (portRef A (instanceRef next_API_DATA_OUT_20_10)) )) (net next_API_DATA_OUT_14_i_m2_am_9 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_9)) @@ -106133,409 +106437,341 @@ (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_9)) (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_9)) )) - (net N_88 (joined + (net N_267 (joined (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_9)) - (portRef D0 (instanceRef next_API_DATA_OUT_19_9)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_9)) )) - (net next_API_DATA_OUT_14_i_m2_am_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_14)) + (net N_6720 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_8)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_8)) )) - (net next_API_DATA_OUT_14_i_m2_bm_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_14)) + (net next_API_DATA_OUT_16_am_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_9)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_9)) )) - (net N_92 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_14)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_14)) + (net next_API_DATA_OUT_16_bm_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_9)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_9)) )) - (net N_682 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) - (portRef A (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) + (net N_2637 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_9)) + (portRef A (instanceRef next_API_DATA_OUT_am_9)) )) - (net next_API_DATA_OUT_14_i_m2_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_8)) + (net next_API_DATA_OUT_16_am_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_14)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_14)) )) - (net next_API_DATA_OUT_14_i_m2_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_8)) + (net next_API_DATA_OUT_16_bm_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_14)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_14)) )) - (net N_87 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_8)) - (portRef D1 (instanceRef next_API_DATA_OUT_19_8)) + (net N_2642 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_14)) + (portRef A (instanceRef next_API_DATA_OUT_20_14)) )) - (net next_API_DATA_OUT_12_am_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_am_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_12_7)) + (net next_API_DATA_OUT_16_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_4)) )) - (net next_API_DATA_OUT_12_bm_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_bm_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_12_7)) + (net next_API_DATA_OUT_16_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_4)) )) - (net N_2560 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_7)) - (portRef D1 (instanceRef next_API_DATA_OUT_18_7)) + (net N_2632 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_4)) + (portRef B (instanceRef next_API_DATA_OUT_am_4)) )) - (net N_2564 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_11)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_11)) + (net next_API_DATA_OUT_16_am_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_13)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_13)) )) - (net N_2558 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_5)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_5)) + (net next_API_DATA_OUT_16_bm_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_13)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_13)) )) - (net N_2519 (joined - (portRef Z (instanceRef fsm_op_eq_next_state60_RNIRIQP1)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_0)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_3)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_14)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_15)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_12)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_6)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_10)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_13)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_11)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_8)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_2)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_1)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_9)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_4)) - (portRef A (instanceRef next_API_DATA_OUT_16_am_7)) - (portRef A (instanceRef next_API_DATA_OUT_10_5)) + (net N_2641 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_13)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_13)) )) - (net N_2526 (joined - (portRef Z (instanceRef next_API_DATA_OUT_10_5)) - (portRef B (instanceRef next_API_DATA_OUT_20_bm_5)) + (net next_API_DATA_OUT_16_am_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_11)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_11)) )) - (net un254_next_api_data_out_13 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_20)) - (portRef B (instanceRef next_API_DATA_OUT_12_13)) + (net next_API_DATA_OUT_16_bm_11 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_11)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_11)) )) - (net N_2566 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_13)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_13)) + (net N_2639 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_11)) + (portRef A (instanceRef next_API_DATA_OUT_20_11)) )) - (net next_API_DATA_OUT_13_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_13_10)) + (net next_API_DATA_OUT_16_am_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_15)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_15)) )) - (net next_API_DATA_OUT_13_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_13_10)) + (net next_API_DATA_OUT_16_bm_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_15)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_15)) )) - (net N_2579 (joined - (portRef Z (instanceRef next_API_DATA_OUT_13_10)) - (portRef D0 (instanceRef next_API_DATA_OUT_18_10)) + (net N_2643 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_15)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_15)) )) - (net next_API_DATA_OUT_12_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_12_10)) + (net next_API_DATA_OUT_14_i_m2_am_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_15)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_15)) )) - (net next_API_DATA_OUT_12_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_12_10)) + (net next_API_DATA_OUT_14_i_m2_bm_15 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_15)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_15)) )) - (net N_2563 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_18_10)) + (net N_6131 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_15)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_15)) )) - (net N_2559 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_6)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_6)) + (net next_API_DATA_OUT_14_i_m2_am_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_14)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_14)) )) - (net N_2565 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_12)) - (portRef ALUT (instanceRef next_API_DATA_OUT_18_12)) + (net next_API_DATA_OUT_14_i_m2_bm_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_14)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_14)) )) - (net N_2568 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_15)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_15)) + (net N_6130 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_14)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_14)) )) - (net N_2567 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_14)) - (portRef ALUT (instanceRef next_API_DATA_OUT_18_14)) + (net next_API_DATA_OUT_14_i_m2_am_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_13)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_13)) )) - (net N_2683 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_10)) - (portRef D0 (instanceRef next_API_DATA_OUT_21_10)) + (net next_API_DATA_OUT_14_i_m2_bm_13 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_13)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_13)) )) - (net next_API_DATA_OUT_14_i_m2_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_4)) + (net N_6129 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_13)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_13)) )) - (net next_API_DATA_OUT_14_i_m2_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_4)) + (net N_6128 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_12)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_12)) )) - (net N_83 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_4)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_4)) + (net N_6127 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_11)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_11)) )) - (net N_84 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_5)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_5)) + (net N_365 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_14)) + (portRef A (instanceRef next_API_DATA_OUT_21_14)) )) - (net N_2555 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_2)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_2)) + (net next_API_DATA_OUT_16_am_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_am_0)) + (portRef BLUT (instanceRef next_API_DATA_OUT_16_0)) )) - (net N_2553 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_0)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_0)) + (net next_API_DATA_OUT_16_bm_0 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_bm_0)) + (portRef ALUT (instanceRef next_API_DATA_OUT_16_0)) )) - (net N_2554 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_1)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_1)) + (net N_2628 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_0)) + (portRef D1 (instanceRef next_API_DATA_OUT_20_0)) )) - (net N_2557 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_4)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_4)) + (net N_2566 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_6)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_6)) )) - (net N_85 (joined - (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_6)) - (portRef A (instanceRef next_API_DATA_OUT_21_am_6)) + (net N_2565 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_5)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_5)) )) - (net buf_API_SHORT_TRANSFER_OUT_Q (joined - (portRef Q (instanceRef buf_API_SHORT_TRANSFER_OUT)) - (portRef D (instanceRef next_API_SHORT_TRANSFER_OUT_f0)) - (portRef buf_API_SHORT_TRANSFER_OUT_Q) - )) - (net N_2556 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_3)) - (portRef A (instanceRef next_API_DATA_OUT_21_bm_3)) - )) - (net N_2682 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_9)) - (portRef B (instanceRef next_API_DATA_OUT_bm_9)) - )) - (net N_2681 (joined - (portRef Z (instanceRef next_API_DATA_OUT_19_8)) - (portRef B (instanceRef next_API_DATA_OUT_bm_8)) - )) - (net next_API_DATA_OUT_16_am_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_7)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_7)) - )) - (net next_API_DATA_OUT_16_bm_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_7)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_7)) - )) - (net N_2628 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_7)) - (portRef A (instanceRef next_API_DATA_OUT_am_7)) - )) - (net N_2662 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_7)) - (portRef A (instanceRef next_API_DATA_OUT_bm_7)) - )) - (net next_API_DATA_OUT_16_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_4)) - )) - (net next_API_DATA_OUT_16_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_4)) - )) - (net N_2625 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_4)) - (portRef A (instanceRef next_API_DATA_OUT_20_4)) - )) - (net next_API_DATA_OUT_16_am_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_9)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_9)) - )) - (net next_API_DATA_OUT_16_bm_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_9)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_9)) - )) - (net N_2630 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_9)) - (portRef A (instanceRef next_API_DATA_OUT_am_9)) + (net N_2575 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_15)) + (portRef A (instanceRef next_API_DATA_OUT_18_15)) )) - (net next_API_DATA_OUT_16_am_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_1)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_1)) + (net N_2586 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_10)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_10)) )) - (net next_API_DATA_OUT_16_bm_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_1)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_1)) + (net N_2570 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_10)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_10)) )) - (net N_2622 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_1)) - (portRef A (instanceRef next_API_DATA_OUT_20_1)) + (net un254_next_api_data_out_11 (joined + (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_18)) + (portRef C (instanceRef next_API_DATA_OUT_12_11)) )) - (net next_API_DATA_OUT_16_am_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_2)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_2)) + (net N_2571 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_11)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_11)) )) - (net next_API_DATA_OUT_16_bm_2 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_2)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_2)) + (net N_303 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_12)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_12)) )) - (net N_2623 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_2)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_2)) + (net N_2573 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_13)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_13)) )) - (net next_API_DATA_OUT_16_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_8)) + (net N_2584 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_8)) + (portRef B (instanceRef next_API_DATA_OUT_21_bm_8)) )) - (net next_API_DATA_OUT_16_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_8)) + (net N_2568 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_8)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_8)) )) - (net N_2629 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_8)) - (portRef A (instanceRef next_API_DATA_OUT_am_8)) + (net N_6123 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_5)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_5)) )) - (net next_API_DATA_OUT_16_am_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_11)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_11)) + (net N_301 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_3)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_3)) )) - (net next_API_DATA_OUT_16_bm_11 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_11)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_11)) + (net N_6124 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_6)) + (portRef B (instanceRef next_API_DATA_OUT_21_am_6)) )) - (net N_2632 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_11)) - (portRef A (instanceRef next_API_DATA_OUT_20_11)) + (net N_302 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_i_m2_2)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_2)) )) - (net next_API_DATA_OUT_16_am_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_13)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_13)) + (net next_API_DATA_OUT_14_i_m2_am_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_7)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_7)) )) - (net next_API_DATA_OUT_16_bm_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_13)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_13)) + (net next_API_DATA_OUT_14_i_m2_bm_7 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_7)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_7)) )) - (net N_2634 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_13)) - (portRef B (instanceRef next_API_DATA_OUT_20_13)) + (net N_266 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_7)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_7)) )) - (net next_API_DATA_OUT_16_am_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_10)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_10)) + (net next_API_DATA_OUT_14_i_m2_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14_i_m2_4)) )) - (net next_API_DATA_OUT_16_bm_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_10)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_10)) + (net un134_next_api_data_out_4 (joined + (portRef Z (instanceRef fsm_un134_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_11)) + (portRef C (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) )) - (net N_2631 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_10)) + (net next_API_DATA_OUT_14_i_m2_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14_i_m2_4)) )) - (net N_2665 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_10)) - (portRef D1 (instanceRef next_API_DATA_OUT_21_10)) + (net N_6122 (joined + (portRef Z (instanceRef next_API_DATA_OUT_14_i_m2_4)) + (portRef D1 (instanceRef next_API_DATA_OUT_19_4)) )) - (net next_API_DATA_OUT_16_am_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_6)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_6)) + (net N_2561 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_1)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_1)) )) - (net next_API_DATA_OUT_16_bm_6 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_6)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_6)) + (net N_2560 (joined + (portRef Z (instanceRef next_API_DATA_OUT_12_0)) + (portRef A (instanceRef next_API_DATA_OUT_21_bm_0)) )) - (net N_2627 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_6)) - (portRef B (instanceRef next_API_DATA_OUT_20_6)) + (net N_2699 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_2)) + (portRef A (instanceRef next_API_DATA_OUT_2)) )) - (net next_API_DATA_OUT_16_am_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_12)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_12)) + (net N_2700 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_3)) + (portRef A (instanceRef next_API_DATA_OUT_3)) )) - (net next_API_DATA_OUT_16_bm_12 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_12)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_12)) + (net N_2705 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_8)) + (portRef A (instanceRef next_API_DATA_OUT_8)) )) - (net N_2633 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_12)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_12)) + (net N_2698 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_1)) + (portRef A (instanceRef next_API_DATA_OUT_1)) )) - (net next_API_DATA_OUT_16_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_15)) + (net N_2709 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_12)) + (portRef A (instanceRef next_API_DATA_OUT_12)) )) - (net next_API_DATA_OUT_16_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_15)) + (net N_2710 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_13)) + (portRef A (instanceRef next_API_DATA_OUT_13)) )) - (net N_2636 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_15)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_15)) + (net N_2707 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_10)) + (portRef A (instanceRef next_API_DATA_OUT_10)) )) - (net next_API_DATA_OUT_18_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_18_8)) + (net N_2711 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_14)) + (portRef BLUT (instanceRef next_API_DATA_OUT_14)) )) - (net un254_next_api_data_out_8 (joined - (portRef Z (instanceRef fsm_un254_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un134_next_api_data_out_15)) - (portRef B (instanceRef next_API_DATA_OUT_18_bm_8)) + (net next_API_DATA_OUT_20_bm_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_bm_5)) + (portRef ALUT (instanceRef next_API_DATA_OUT_20_5)) )) - (net next_API_DATA_OUT_18_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_18_8)) + (net next_API_DATA_OUT_20_am_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_am_5)) + (portRef BLUT (instanceRef next_API_DATA_OUT_20_5)) )) - (net N_2663 (joined - (portRef Z (instanceRef next_API_DATA_OUT_18_8)) - (portRef A (instanceRef next_API_DATA_OUT_bm_8)) + (net N_2702 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_5)) + (portRef D0 (instanceRef next_API_DATA_OUT_5)) )) - (net next_API_DATA_OUT_16_am_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_14)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_14)) + (net N_2689 (joined + (portRef Z (instanceRef next_API_DATA_OUT_19_9)) + (portRef B (instanceRef next_API_DATA_OUT_bm_9)) )) - (net next_API_DATA_OUT_16_bm_14 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_14)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_14)) + (net next_API_DATA_OUT_20_bm_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_bm_6)) + (portRef ALUT (instanceRef next_API_DATA_OUT_20_6)) )) - (net N_2635 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_14)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_14)) + (net next_API_DATA_OUT_20_am_6 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_am_6)) + (portRef BLUT (instanceRef next_API_DATA_OUT_20_6)) )) - (net next_API_DATA_OUT_16_am_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_3)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_3)) + (net N_2703 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_6)) + (portRef D0 (instanceRef next_API_DATA_OUT_6)) )) - (net next_API_DATA_OUT_16_bm_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_3)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_3)) + (net N_2694 (joined + (portRef Z (instanceRef next_API_DATA_OUT_19_14)) + (portRef B (instanceRef next_API_DATA_OUT_21_14)) )) - (net N_2624 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_3)) - (portRef A (instanceRef next_API_DATA_OUT_20_3)) + (net N_2708 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_11)) + (portRef A (instanceRef next_API_DATA_OUT_11)) )) - (net next_API_DATA_OUT_16_am_0 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_am_0)) - (portRef BLUT (instanceRef next_API_DATA_OUT_16_0)) + (net N_2712 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_15)) + (portRef A (instanceRef next_API_DATA_OUT_15)) )) - (net next_API_DATA_OUT_16_bm_0 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_bm_0)) - (portRef ALUT (instanceRef next_API_DATA_OUT_16_0)) + (net N_2697 (joined + (portRef Z (instanceRef next_API_DATA_OUT_20_0)) + (portRef A (instanceRef next_API_DATA_OUT_0)) )) - (net N_2621 (joined - (portRef Z (instanceRef next_API_DATA_OUT_16_0)) - (portRef D1 (instanceRef next_API_DATA_OUT_20_0)) + (net N_5828 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_4)) + (portRef C (instanceRef next_API_DATA_OUT_bm_4)) )) - (net N_2610 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_7)) - (portRef B (instanceRef next_API_DATA_OUT_19_7)) + (net N_2591 (joined + (portRef Z (instanceRef next_API_DATA_OUT_13_15)) + (portRef B (instanceRef next_API_DATA_OUT_18_15)) )) - (net N_2680 (joined + (net N_2687 (joined (portRef Z (instanceRef next_API_DATA_OUT_19_7)) (portRef B (instanceRef next_API_DATA_OUT_bm_7)) )) - (net N_2717 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_10)) - (portRef B (instanceRef next_API_DATA_OUT_10)) + (net N_2684 (joined + (portRef Z (instanceRef next_API_DATA_OUT_19_4)) + (portRef A (instanceRef next_API_DATA_OUT_bm_4)) )) - (net N_2694 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_4)) - (portRef A (instanceRef next_API_DATA_OUT_4)) + (net N_2728 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_14)) + (portRef ALUT (instanceRef next_API_DATA_OUT_14)) )) - (net N_2614 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_11)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_11)) + (net N_249 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_i_m2_11)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_11)) )) (net next_API_DATA_OUT_21_am_11 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_am_11)) @@ -106545,37 +106781,25 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_11)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_11)) )) - (net N_2718 (joined + (net N_2725 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_11)) (portRef B (instanceRef next_API_DATA_OUT_11)) )) - (net next_API_DATA_OUT_20_bm_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_bm_5)) - (portRef ALUT (instanceRef next_API_DATA_OUT_20_5)) - )) - (net next_API_DATA_OUT_20_am_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_am_5)) - (portRef BLUT (instanceRef next_API_DATA_OUT_20_5)) - )) - (net N_2695 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_5)) - (portRef D0 (instanceRef next_API_DATA_OUT_5)) - )) - (net N_2691 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_1)) - (portRef A (instanceRef next_API_DATA_OUT_1)) + (net N_2620 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_10)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_10)) )) - (net N_2692 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_2)) - (portRef A (instanceRef next_API_DATA_OUT_2)) + (net next_API_DATA_OUT_21_am_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_10)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_10)) )) - (net N_2701 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_11)) - (portRef A (instanceRef next_API_DATA_OUT_11)) + (net next_API_DATA_OUT_21_bm_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_10)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_10)) )) - (net N_2616 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_13)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_13)) + (net N_2724 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_10)) + (portRef B (instanceRef next_API_DATA_OUT_10)) )) (net next_API_DATA_OUT_21_am_13 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_am_13)) @@ -106585,91 +106809,43 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_13)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_13)) )) - (net N_2720 (joined + (net N_2727 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_13)) (portRef B (instanceRef next_API_DATA_OUT_13)) )) - (net N_2703 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_13)) - (portRef A (instanceRef next_API_DATA_OUT_13)) + (net N_2622 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_12)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_12)) )) - (net N_2700 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_10)) - (portRef A (instanceRef next_API_DATA_OUT_10)) + (net next_API_DATA_OUT_21_am_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_12)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_12)) )) - (net N_2696 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_6)) - (portRef A (instanceRef next_API_DATA_OUT_6)) + (net next_API_DATA_OUT_21_bm_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_12)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_12)) )) - (net N_2702 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_12)) - (portRef A (instanceRef next_API_DATA_OUT_12)) + (net N_2726 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_12)) + (portRef B (instanceRef next_API_DATA_OUT_12)) )) (net N_2618 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_15)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_15)) + (portRef Z (instanceRef next_API_DATA_OUT_15_8)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_8)) )) - (net next_API_DATA_OUT_21_am_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_15)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_15)) + (net next_API_DATA_OUT_21_am_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_8)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_8)) )) - (net next_API_DATA_OUT_21_bm_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_15)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_15)) + (net next_API_DATA_OUT_21_bm_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_8)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_8)) )) (net N_2722 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_15)) - (portRef B (instanceRef next_API_DATA_OUT_15)) - )) - (net N_2705 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_15)) - (portRef A (instanceRef next_API_DATA_OUT_15)) - )) - (net N_2704 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_14)) - (portRef A (instanceRef next_API_DATA_OUT_14)) - )) - (net N_2693 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_3)) - (portRef A (instanceRef next_API_DATA_OUT_3)) - )) - (net N_2690 (joined - (portRef Z (instanceRef next_API_DATA_OUT_20_0)) - (portRef A (instanceRef next_API_DATA_OUT_0)) - )) - (net N_2608 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_5)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_5)) - )) - (net next_API_DATA_OUT_21_am_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_5)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_5)) - )) - (net next_API_DATA_OUT_21_bm_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_5)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_5)) - )) - (net N_2712 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_5)) - (portRef D1 (instanceRef next_API_DATA_OUT_5)) - )) - (net N_2604 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_1)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_1)) - )) - (net next_API_DATA_OUT_21_am_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_1)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_1)) - )) - (net next_API_DATA_OUT_21_bm_1 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_1)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_1)) - )) - (net N_2708 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_1)) - (portRef B (instanceRef next_API_DATA_OUT_1)) + (portRef Z (instanceRef next_API_DATA_OUT_21_8)) + (portRef B (instanceRef next_API_DATA_OUT_8)) )) - (net N_2605 (joined + (net N_2612 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_2)) (portRef A (instanceRef next_API_DATA_OUT_21_am_2)) )) @@ -106681,29 +106857,45 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_2)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_2)) )) - (net N_2709 (joined + (net N_2716 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_2)) (portRef B (instanceRef next_API_DATA_OUT_2)) )) - (net N_2607 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_4)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_4)) + (net N_2613 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_3)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_3)) )) - (net next_API_DATA_OUT_21_am_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_4)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_4)) + (net next_API_DATA_OUT_21_am_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_3)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_3)) )) - (net next_API_DATA_OUT_21_bm_4 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_4)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_4)) + (net next_API_DATA_OUT_21_bm_3 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_3)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_3)) )) - (net N_2711 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_4)) - (portRef B (instanceRef next_API_DATA_OUT_4)) + (net N_2717 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_3)) + (portRef B (instanceRef next_API_DATA_OUT_3)) )) - (net N_2609 (joined + (net N_2615 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_5)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_5)) + )) + (net next_API_DATA_OUT_21_am_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_5)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_5)) + )) + (net next_API_DATA_OUT_21_bm_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_5)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_5)) + )) + (net N_2719 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_5)) + (portRef D1 (instanceRef next_API_DATA_OUT_5)) + )) + (net N_2616 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_6)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_6)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_6)) )) (net next_API_DATA_OUT_21_am_6 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_am_6)) @@ -106713,29 +106905,29 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_6)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_6)) )) - (net N_2713 (joined + (net N_2720 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_6)) - (portRef B (instanceRef next_API_DATA_OUT_6)) + (portRef D1 (instanceRef next_API_DATA_OUT_6)) )) - (net N_2606 (joined - (portRef Z (instanceRef next_API_DATA_OUT_15_3)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_3)) + (net N_2611 (joined + (portRef Z (instanceRef next_API_DATA_OUT_15_1)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_1)) )) - (net next_API_DATA_OUT_21_am_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_am_3)) - (portRef BLUT (instanceRef next_API_DATA_OUT_21_3)) + (net next_API_DATA_OUT_21_am_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_am_1)) + (portRef BLUT (instanceRef next_API_DATA_OUT_21_1)) )) - (net next_API_DATA_OUT_21_bm_3 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_bm_3)) - (portRef ALUT (instanceRef next_API_DATA_OUT_21_3)) + (net next_API_DATA_OUT_21_bm_1 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_bm_1)) + (portRef ALUT (instanceRef next_API_DATA_OUT_21_1)) )) - (net N_2710 (joined - (portRef Z (instanceRef next_API_DATA_OUT_21_3)) - (portRef B (instanceRef next_API_DATA_OUT_3)) + (net N_2715 (joined + (portRef Z (instanceRef next_API_DATA_OUT_21_1)) + (portRef B (instanceRef next_API_DATA_OUT_1)) )) - (net N_2603 (joined + (net N_2610 (joined (portRef Z (instanceRef next_API_DATA_OUT_15_0)) - (portRef B (instanceRef next_API_DATA_OUT_21_am_0)) + (portRef A (instanceRef next_API_DATA_OUT_21_am_0)) )) (net next_API_DATA_OUT_21_am_0 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_am_0)) @@ -106745,25 +106937,21 @@ (portRef Z (instanceRef next_API_DATA_OUT_21_bm_0)) (portRef ALUT (instanceRef next_API_DATA_OUT_21_0)) )) - (net N_2707 (joined + (net N_2714 (joined (portRef Z (instanceRef next_API_DATA_OUT_21_0)) (portRef B (instanceRef next_API_DATA_OUT_0)) )) - (net next_API_DATA_OUT_am_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_am_9)) - (portRef BLUT (instanceRef next_API_DATA_OUT_9)) - )) - (net next_API_DATA_OUT_bm_9 (joined - (portRef Z (instanceRef next_API_DATA_OUT_bm_9)) - (portRef ALUT (instanceRef next_API_DATA_OUT_9)) + (net next_API_DATA_OUT_am_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_am_4)) + (portRef BLUT (instanceRef next_API_DATA_OUT_4)) )) - (net next_API_DATA_OUT_am_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_am_8)) - (portRef BLUT (instanceRef next_API_DATA_OUT_8)) + (net next_API_DATA_OUT_bm_4 (joined + (portRef Z (instanceRef next_API_DATA_OUT_bm_4)) + (portRef ALUT (instanceRef next_API_DATA_OUT_4)) )) - (net next_API_DATA_OUT_bm_8 (joined - (portRef Z (instanceRef next_API_DATA_OUT_bm_8)) - (portRef ALUT (instanceRef next_API_DATA_OUT_8)) + (net N_2635 (joined + (portRef Z (instanceRef next_API_DATA_OUT_16_7)) + (portRef A (instanceRef next_API_DATA_OUT_am_7)) )) (net next_API_DATA_OUT_am_7 (joined (portRef Z (instanceRef next_API_DATA_OUT_am_7)) @@ -106773,32 +106961,13 @@ (portRef Z (instanceRef next_API_DATA_OUT_bm_7)) (portRef ALUT (instanceRef next_API_DATA_OUT_7)) )) - (net next_API_DATA_OUT_12_bm_1_10 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_bm_1_10)) - (portRef A (instanceRef next_API_DATA_OUT_12_bm_10)) - )) - (net ctrl_reg_74 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_74_gen_regoutff_buf_REGISTERS_OUT_74)) - (portRef C (instanceRef next_API_DATA_OUT_12_bm_10)) - (portRef ctrl_reg_74) - )) - (net next_API_DATA_OUT_12_bm_1_7 (joined - (portRef Z (instanceRef next_API_DATA_OUT_12_bm_1_7)) - (portRef A (instanceRef next_API_DATA_OUT_12_bm_7)) - )) - (net ctrl_reg_71 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_71_gen_regoutff_buf_REGISTERS_OUT_71)) - (portRef C (instanceRef next_API_DATA_OUT_12_bm_7)) - (portRef ctrl_reg_71) - )) - (net next_API_DATA_OUT_1_bm_1_5 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_5)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_5)) + (net next_API_DATA_OUT_am_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_am_9)) + (portRef BLUT (instanceRef next_API_DATA_OUT_9)) )) - (net ctrl_reg_85 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_85_gen_regoutff_buf_REGISTERS_OUT_85)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_5)) - (portRef ctrl_reg_85) + (net next_API_DATA_OUT_bm_9 (joined + (portRef Z (instanceRef next_API_DATA_OUT_bm_9)) + (portRef ALUT (instanceRef next_API_DATA_OUT_9)) )) (net next_API_DATA_OUT_1_bm_1_6 (joined (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_6)) @@ -106809,52 +106978,32 @@ (portRef C (instanceRef next_API_DATA_OUT_1_bm_6)) (portRef ctrl_reg_86) )) - (net current_state_ns_0_i_1_6 (joined - (portRef Z (instanceRef current_state_ns_0_i_1_6)) - (portRef D (instanceRef current_state_ns_0_i_6)) - )) - (net un1_timing_trg_found_1_axb_8 (joined - (portRef un1_timing_trg_found_1_axb_8) - (portRef A (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - )) - (net trg_code_i_0 (joined - (portRef (member trg_code_i 7)) - (portRef D (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - )) - (net m8_6_03_i_1_1 (joined - (portRef Z (instanceRef fsm_un29_next_api_data_out_m8_6_03_i_1_0)) - (portRef C (instanceRef fsm_un29_next_api_data_out_m8_6_03_i)) - )) - (net stat_reg_40 (joined - (portRef stat_reg_40) - (portRef D (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - )) - (net m8_6_03_1_0 (joined - (portRef Z (instanceRef fsm_un230_next_api_data_out_m8_6_03_1_0)) - (portRef A (instanceRef fsm_un230_next_api_data_out_m8_6_03)) + (net next_API_DATA_OUT_1_bm_1_5 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_5)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_5)) )) - (net next_API_DATA_OUT_1_bm_1_13 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_13)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_13)) + (net ctrl_reg_85 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_85_gen_regoutff_buf_REGISTERS_OUT_85)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_5)) + (portRef ctrl_reg_85) )) - (net ctrl_reg_93 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_93_gen_regoutff_buf_REGISTERS_OUT_93)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_13)) - (portRef ctrl_reg_93) + (net ctrl_reg_88 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_88_gen_regoutff_buf_REGISTERS_OUT_88)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_8)) + (portRef ctrl_reg_88) )) - (net ctrl_reg_63 (joined - (portRef Q (instanceRef gen_regout_1_gen_regoutff1_63_gen_regoutff_buf_REGISTERS_OUT_63)) - (portRef D (instanceRef next_API_DATA_OUT_1_bm_1_15)) - (portRef ctrl_reg_63) + (net next_API_DATA_OUT_1_bm_1_8 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_8)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_8)) )) - (net next_API_DATA_OUT_1_bm_1_15 (joined - (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_15)) - (portRef A (instanceRef next_API_DATA_OUT_1_bm_15)) + (net ctrl_reg_90 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_90_gen_regoutff_buf_REGISTERS_OUT_90)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_1_10)) + (portRef ctrl_reg_90) )) - (net ctrl_reg_95 (joined - (portRef Q (instanceRef gen_regout_2_gen_regoutff1_95_gen_regoutff_buf_REGISTERS_OUT_95)) - (portRef C (instanceRef next_API_DATA_OUT_1_bm_15)) - (portRef ctrl_reg_95) + (net next_API_DATA_OUT_1_bm_1_10 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_10)) + (portRef B (instanceRef next_API_DATA_OUT_1_bm_10)) )) (net next_API_DATA_OUT_1_bm_1_11 (joined (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_11)) @@ -106865,21 +107014,43 @@ (portRef C (instanceRef next_API_DATA_OUT_1_bm_11)) (portRef ctrl_reg_91) )) + (net next_API_DATA_OUT_1_bm_1_12 (joined + (portRef Z (instanceRef next_API_DATA_OUT_1_bm_1_12)) + (portRef A (instanceRef next_API_DATA_OUT_1_bm_12)) + )) + (net ctrl_reg_92 (joined + (portRef Q (instanceRef gen_regout_2_gen_regoutff1_92_gen_regoutff_buf_REGISTERS_OUT_92)) + (portRef C (instanceRef next_API_DATA_OUT_1_bm_12)) + (portRef ctrl_reg_92) + )) + (net common_ctrl_reg_46 (joined + (portRef Q (instanceRef gen_normal_ctrl_regs_gen_cregout_1_gen_cregoutff1_46_buf_COMMON_CTRL_REG_OUT_46)) + (portRef D (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) + (portRef common_ctrl_reg_46) + )) + (net next_API_DATA_OUT_18_i_a2_2_1_14 (joined + (portRef Z (instanceRef next_API_DATA_OUT_18_i_a2_2_1_14)) + (portRef B (instanceRef next_API_DATA_OUT_18_i_a2_2_14)) + )) (net DAT_DATAREADY_IN_befored_1 (joined (portRef Z (instanceRef DAT_DATAREADY_IN_befored_1)) (portRef A (instanceRef DAT_DATAREADY_IN_befored)) )) - (net trg_int_number_i_11 (joined - (portRef (member trg_int_number_i 4)) - (portRef A (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef reset_i_fast_1 (instanceRef the_addresses)) + (portRef D (instanceRef DAT_DATAREADY_IN_befored)) + (portRef D (instanceRef next_timeout_counter_0_o2_0_RNIB2H31_0)) )) - (net common_stat_reg_i_2 (joined - (portRef common_stat_reg_i_2) - (portRef C (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (net un1_THE_ENDPOINT_6 (joined + (portRef Z (instanceRef API_SEND_OUT)) + (portRef (member un1_the_endpoint 0)) )) - (net common_stat_reg_i_0 (joined - (portRef common_stat_reg_i_0) - (portRef D (instanceRef fsm_un169_next_api_data_out_THE_ENDPOINT_genbuffers_3_geniobuf_gen_regio_regIO_fsm_un169_next_api_data_out_20_am_RNO)) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef reset_i_fast_r9 (instanceRef the_addresses)) + (portRef A (instanceRef un1_current_state3)) + (portRef B (instanceRef current_state_RNI2T4Q_18)) )) (net next_address_i_m2_cry_0 (joined (portRef COUT (instanceRef next_address_i_m2_cry_0_0)) @@ -106922,79 +107093,6 @@ (net next_address_i_m2_s_15_0_S1 (joined (portRef S1 (instanceRef next_address_i_m2_s_15_0)) )) - (net global_time_i_cry_0 (joined - (portRef COUT (instanceRef global_time_i_cry_0_0)) - (portRef CIN (instanceRef global_time_i_cry_0_1)) - )) - (net global_time_i_cry_0_S0_0 (joined - (portRef S0 (instanceRef global_time_i_cry_0_0)) - )) - (net global_time_i_cry_2 (joined - (portRef COUT (instanceRef global_time_i_cry_0_1)) - (portRef CIN (instanceRef global_time_i_cry_0_3)) - )) - (net global_time_i_cry_4 (joined - (portRef COUT (instanceRef global_time_i_cry_0_3)) - (portRef CIN (instanceRef global_time_i_cry_0_5)) - )) - (net global_time_i_cry_6 (joined - (portRef COUT (instanceRef global_time_i_cry_0_5)) - (portRef CIN (instanceRef global_time_i_cry_0_7)) - )) - (net global_time_i_cry_8 (joined - (portRef COUT (instanceRef global_time_i_cry_0_7)) - (portRef CIN (instanceRef global_time_i_cry_0_9)) - )) - (net global_time_i_cry_10 (joined - (portRef COUT (instanceRef global_time_i_cry_0_9)) - (portRef CIN (instanceRef global_time_i_cry_0_11)) - )) - (net global_time_i_cry_12 (joined - (portRef COUT (instanceRef global_time_i_cry_0_11)) - (portRef CIN (instanceRef global_time_i_cry_0_13)) - )) - (net global_time_i_cry_14 (joined - (portRef COUT (instanceRef global_time_i_cry_0_13)) - (portRef CIN (instanceRef global_time_i_cry_0_15)) - )) - (net global_time_i_cry_16 (joined - (portRef COUT (instanceRef global_time_i_cry_0_15)) - (portRef CIN (instanceRef global_time_i_cry_0_17)) - )) - (net global_time_i_cry_18 (joined - (portRef COUT (instanceRef global_time_i_cry_0_17)) - (portRef CIN (instanceRef global_time_i_cry_0_19)) - )) - (net global_time_i_cry_20 (joined - (portRef COUT (instanceRef global_time_i_cry_0_19)) - (portRef CIN (instanceRef global_time_i_cry_0_21)) - )) - (net global_time_i_cry_22 (joined - (portRef COUT (instanceRef global_time_i_cry_0_21)) - (portRef CIN (instanceRef global_time_i_cry_0_23)) - )) - (net global_time_i_cry_24 (joined - (portRef COUT (instanceRef global_time_i_cry_0_23)) - (portRef CIN (instanceRef global_time_i_cry_0_25)) - )) - (net global_time_i_cry_26 (joined - (portRef COUT (instanceRef global_time_i_cry_0_25)) - (portRef CIN (instanceRef global_time_i_cry_0_27)) - )) - (net global_time_i_cry_28 (joined - (portRef COUT (instanceRef global_time_i_cry_0_27)) - (portRef CIN (instanceRef global_time_i_cry_0_29)) - )) - (net global_time_i_cry_30 (joined - (portRef COUT (instanceRef global_time_i_cry_0_29)) - (portRef CIN (instanceRef global_time_i_s_0_31)) - )) - (net global_time_i_s_0_COUT_31 (joined - (portRef COUT (instanceRef global_time_i_s_0_31)) - )) - (net global_time_i_s_0_S1_31 (joined - (portRef S1 (instanceRef global_time_i_s_0_31)) - )) (net trg_timing_valid_i (joined (portRef trg_timing_valid_i) (portRef A0 (instanceRef time_since_last_trg_i_s_0_31)) @@ -107104,49 +107202,160 @@ (net time_since_last_trg_i_s_0_S1_31 (joined (portRef S1 (instanceRef time_since_last_trg_i_s_0_31)) )) - (net un1_next_length_1_sqmuxa_cry_0 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) + (net global_time_i_cry_0 (joined + (portRef COUT (instanceRef global_time_i_cry_0_0)) + (portRef CIN (instanceRef global_time_i_cry_0_1)) + )) + (net global_time_i_cry_0_S0_0 (joined + (portRef S0 (instanceRef global_time_i_cry_0_0)) + )) + (net global_time_i_cry_2 (joined + (portRef COUT (instanceRef global_time_i_cry_0_1)) + (portRef CIN (instanceRef global_time_i_cry_0_3)) + )) + (net global_time_i_cry_4 (joined + (portRef COUT (instanceRef global_time_i_cry_0_3)) + (portRef CIN (instanceRef global_time_i_cry_0_5)) + )) + (net global_time_i_cry_6 (joined + (portRef COUT (instanceRef global_time_i_cry_0_5)) + (portRef CIN (instanceRef global_time_i_cry_0_7)) + )) + (net global_time_i_cry_8 (joined + (portRef COUT (instanceRef global_time_i_cry_0_7)) + (portRef CIN (instanceRef global_time_i_cry_0_9)) + )) + (net global_time_i_cry_10 (joined + (portRef COUT (instanceRef global_time_i_cry_0_9)) + (portRef CIN (instanceRef global_time_i_cry_0_11)) + )) + (net global_time_i_cry_12 (joined + (portRef COUT (instanceRef global_time_i_cry_0_11)) + (portRef CIN (instanceRef global_time_i_cry_0_13)) + )) + (net global_time_i_cry_14 (joined + (portRef COUT (instanceRef global_time_i_cry_0_13)) + (portRef CIN (instanceRef global_time_i_cry_0_15)) + )) + (net global_time_i_cry_16 (joined + (portRef COUT (instanceRef global_time_i_cry_0_15)) + (portRef CIN (instanceRef global_time_i_cry_0_17)) + )) + (net global_time_i_cry_18 (joined + (portRef COUT (instanceRef global_time_i_cry_0_17)) + (portRef CIN (instanceRef global_time_i_cry_0_19)) + )) + (net global_time_i_cry_20 (joined + (portRef COUT (instanceRef global_time_i_cry_0_19)) + (portRef CIN (instanceRef global_time_i_cry_0_21)) + )) + (net global_time_i_cry_22 (joined + (portRef COUT (instanceRef global_time_i_cry_0_21)) + (portRef CIN (instanceRef global_time_i_cry_0_23)) + )) + (net global_time_i_cry_24 (joined + (portRef COUT (instanceRef global_time_i_cry_0_23)) + (portRef CIN (instanceRef global_time_i_cry_0_25)) + )) + (net global_time_i_cry_26 (joined + (portRef COUT (instanceRef global_time_i_cry_0_25)) + (portRef CIN (instanceRef global_time_i_cry_0_27)) + )) + (net global_time_i_cry_28 (joined + (portRef COUT (instanceRef global_time_i_cry_0_27)) + (portRef CIN (instanceRef global_time_i_cry_0_29)) + )) + (net global_time_i_cry_30 (joined + (portRef COUT (instanceRef global_time_i_cry_0_29)) + (portRef CIN (instanceRef global_time_i_s_0_31)) + )) + (net global_time_i_s_0_COUT_31 (joined + (portRef COUT (instanceRef global_time_i_s_0_31)) + )) + (net global_time_i_s_0_S1_31 (joined + (portRef S1 (instanceRef global_time_i_s_0_31)) + )) + (net length_cry_0 (joined + (portRef COUT (instanceRef length_cry_0_0)) + (portRef CIN (instanceRef length_cry_0_1)) + )) + (net length_cry_0_S0_0 (joined + (portRef S0 (instanceRef length_cry_0_0)) )) - (net un1_next_length_1_sqmuxa_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) + (net length_cry_2 (joined + (portRef COUT (instanceRef length_cry_0_1)) + (portRef CIN (instanceRef length_cry_0_3)) )) - (net un1_next_length_1_sqmuxa_cry_0_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_cry_0_0)) + (net length_cry_4 (joined + (portRef COUT (instanceRef length_cry_0_3)) + (portRef CIN (instanceRef length_cry_0_5)) )) - (net un1_next_length_1_sqmuxa_cry_2 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_1_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) + (net length_cry_6 (joined + (portRef COUT (instanceRef length_cry_0_5)) + (portRef CIN (instanceRef length_cry_0_7)) )) - (net un1_next_length_1_sqmuxa_cry_4 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_3_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) + (net length_cry_8 (joined + (portRef COUT (instanceRef length_cry_0_7)) + (portRef CIN (instanceRef length_cry_0_9)) )) - (net un1_next_length_1_sqmuxa_cry_6 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_5_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) + (net length_cry_10 (joined + (portRef COUT (instanceRef length_cry_0_9)) + (portRef CIN (instanceRef length_cry_0_11)) )) - (net un1_next_length_1_sqmuxa_cry_8 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_7_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) + (net length_cry_12 (joined + (portRef COUT (instanceRef length_cry_0_11)) + (portRef CIN (instanceRef length_cry_0_13)) )) - (net un1_next_length_1_sqmuxa_cry_10 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_9_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) + (net length_cry_14 (joined + (portRef COUT (instanceRef length_cry_0_13)) + (portRef CIN (instanceRef length_s_0_15)) )) - (net un1_next_length_1_sqmuxa_cry_12 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_11_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) + (net length_s_0_COUT_15 (joined + (portRef COUT (instanceRef length_s_0_15)) )) - (net un1_next_length_1_sqmuxa_cry_14 (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_cry_13_0)) - (portRef CIN (instanceRef un1_next_length_1_sqmuxa_s_15_0)) + (net length_s_0_S1_15 (joined + (portRef S1 (instanceRef length_s_0_15)) )) - (net un1_next_length_1_sqmuxa_s_15_0_COUT (joined - (portRef COUT (instanceRef un1_next_length_1_sqmuxa_s_15_0)) + (net un1_dat_data_counter_cry_0 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_0_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_1_0)) )) - (net un1_next_length_1_sqmuxa_s_15_0_S1 (joined - (portRef S1 (instanceRef un1_next_length_1_sqmuxa_s_15_0)) + (net un1_dat_data_counter_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_dat_data_counter_cry_0_0)) + )) + (net un1_dat_data_counter_cry_2 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_1_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_3_0)) + )) + (net un1_dat_data_counter_cry_4 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_3_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_5_0)) + )) + (net un1_dat_data_counter_cry_6 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_5_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_7_0)) + )) + (net un1_dat_data_counter_cry_8 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_7_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_9_0)) + )) + (net un1_dat_data_counter_cry_10 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_9_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_11_0)) + )) + (net un1_dat_data_counter_cry_12 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_11_0)) + (portRef CIN (instanceRef un1_dat_data_counter_cry_13_0)) + )) + (net un1_dat_data_counter_cry_14 (joined + (portRef COUT (instanceRef un1_dat_data_counter_cry_13_0)) + (portRef CIN (instanceRef un1_dat_data_counter_s_15_0)) + )) + (net un1_dat_data_counter_s_15_0_COUT (joined + (portRef COUT (instanceRef un1_dat_data_counter_s_15_0)) + )) + (net un1_dat_data_counter_s_15_0_S1 (joined + (portRef S1 (instanceRef un1_dat_data_counter_s_15_0)) )) (net un3_local_time_i_1_cry_0 (joined (portRef COUT (instanceRef un3_local_time_i_1_cry_0_0)) @@ -107176,46 +107385,10 @@ (net un3_local_time_i_1_s_7_0_S1 (joined (portRef S1 (instanceRef un3_local_time_i_1_s_7_0)) )) - (net un1_next_Reg_low_1_sqmuxa_cry_0 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_next_Reg_low_1_sqmuxa_cry_0_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_2 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_1_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_4 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_3_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_6 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_5_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_8 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_7_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_10 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_9_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_12 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_11_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_cry_14 (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_cry_13_0)) - (portRef CIN (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_s_15_0_COUT (joined - (portRef COUT (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) - )) - (net un1_next_Reg_low_1_sqmuxa_s_15_0_S1 (joined - (portRef S1 (instanceRef un1_next_Reg_low_1_sqmuxa_s_15_0)) + (net reg_enable_pattern_1 (joined + (portRef (member reg_enable_pattern 0) (instanceRef pattern_gen_inst)) + (portRef B (instanceRef REGISTERS_OUT_write_enable_RNO_1)) + (portRef (member reg_enable_pattern 0)) )) (net buf_IDRAM_ADDR_IN_0 (joined (portRef (member buf_idram_addr_in 1)) @@ -107357,32 +107530,9 @@ (portRef buf_IDRAM_WR_IN_0_i) (portRef buf_IDRAM_WR_IN_0_i (instanceRef the_addresses)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef reset_i_rep1_1 (instanceRef the_addresses)) - (portRef D (instanceRef DAT_DATAREADY_IN_befored)) - )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef reset_i_fast_1 (instanceRef the_addresses)) - (portRef D (instanceRef next_API_READ_OUT_2_sqmuxa_RNI84T91)) - )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef reset_i_rep1 (instanceRef the_addresses)) - )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef reset_i_fast_r5 (instanceRef the_addresses)) - )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef the_addresses)) - (portRef D (instanceRef current_state_nss_0_i_0)) - )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef reset_i_fast_r4 (instanceRef the_addresses)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef reset_i_fast_r7 (instanceRef the_addresses)) )) ) (property HGROUP (string "RegIO_group")) @@ -107392,9 +107542,9 @@ (view netlist (viewType NETLIST) (interface (port (array (rename ipu_length_i "ipu_length_i(15:0)") 16) (direction INPUT)) - (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction INPUT)) (port (array (rename buf_apl_dataready_out "buf_APL_DATAREADY_OUT(1:1)") 1) (direction INPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction INPUT)) + (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction INPUT)) (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(5:3)") 3) (direction INPUT)) (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(31:16)") 16) (direction OUTPUT)) (port (array (rename buf_apl_read_in "buf_APL_READ_IN(1:1)") 1) (direction OUTPUT)) @@ -107426,92 +107576,72 @@ (port (array (rename ipu_readout_type_i "ipu_readout_type_i(3:0)") 4) (direction OUTPUT)) (port (array (rename ipu_data_i "ipu_data_i(31:0)") 32) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_0 (direction INPUT)) - (port buf_api_stat_fifo_to_int_11 (direction INPUT)) (port (array (rename buf_apl_packet_num_in "buf_APL_PACKET_NUM_IN(3:3)") 1) (direction OUTPUT)) - (port (array (rename state "state(1:0)") 2) (direction OUTPUT)) + (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(46:46)") 1) (direction INPUT)) (port (array (rename buf_ipu_length_in_i "buf_IPU_LENGTH_IN_i(1:1)") 1) (direction OUTPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (direction OUTPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_fast_r9 (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port FF_23_RNICS201 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) (port reset_i_fast_r8 (direction INPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction OUTPUT)) + (port reset_i_fast_r9 (direction INPUT)) + (port N_5723_i (direction OUTPUT)) (port m174_2_03_3_i_4 (direction INPUT)) (port m173_2_03_3_i_4 (direction INPUT)) - (port last_second_word_waiting (direction OUTPUT)) (port reset_i_fast_1_i (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port ipu_readout_finished_i (direction INPUT)) - (port N_4877 (direction OUTPUT)) - (port d_N_9 (direction OUTPUT)) - (port waiting_word (direction OUTPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction OUTPUT)) - (port m6_6_03_1_1 (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port reset_i_fast_r2 (direction INPUT)) - (port suppress_output_fast (direction INPUT)) + (port reset_i_fast_r3 (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port suppress_output (direction INPUT)) (port m161_2_03 (direction INPUT)) (port m166_2_03_4_i_4 (direction INPUT)) - (port waiting_word_RNIIPH92 (direction OUTPUT)) + (port reset_i_rep1 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) (port ipu_dataready_i (direction INPUT)) (port ipu_start_readout_i (direction OUTPUT)) ) (contents (instance buf_START_READOUT_RNITAC1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance buf_IPU_LENGTH_IN_RNIIU14_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance state_ns_1_0__N_15_i (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance waiting_word_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance waiting_word_RNILP643 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C (B A)))")) + (instance waiting_word_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(B+!A)))")) ) - (instance state_1_sqmuxa_1_0_a2_RNII2T81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) + (instance state_RNIUO4U1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) ) (instance first_ipu_read_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance state_ns_1_0__m14_i_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B A))+D A)")) + ) + (instance state_RNI9ARC1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance packet_number_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (!C (!B !A)+C (B !A)))")) ) - (instance waiting_word_RNIRJ1U1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) - (instance last_second_word_waiting_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (!B+A)+C A))")) + (instance state_ns_1_0__m14_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C A+C (!B A)))")) ) - (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance N_4899_i_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C+(!B+A)))")) ) (instance waiting_word_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance ipu_read_before_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance un1_state_4_m5_0_a2_0_RNIOP431 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(!D (!B+A)+D (!C+(!B+A)))")) ) - (instance state_RNIQVO41_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance buf_API_DATAREADY_OUT_RNI36KI1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(!B+A)))")) ) - (instance state_RNI0NLM_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance last_second_word_waiting_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (!B+A)+C A))")) ) - (instance buf_API_DATAREADY_OUT_RNIJU2E1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance buf_API_DATA_OUT_RNO_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance state_RNIORD21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance state_RNIIUJV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) (instance evt_number_mismatch_fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B+A))")) @@ -107546,34 +107676,34 @@ ) (instance reg_IPU_DATA_high_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) - ) (instance reg_IPU_DATA_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_high_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reg_IPU_DATA_10 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance reg_IPU_DATA_high_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_high_10 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) + (instance reg_IPU_DATA_10 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance reg_IPU_DATA_11 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance reg_IPU_DATA_high_11 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) @@ -107752,101 +107882,134 @@ ) (instance buf_API_DATAREADY_OUT (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance last_second_word_waiting_RNIRGQG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance second_word_waiting_iv_i_o3_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) + ) + (instance ipu_read_before_RNIMUFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance state_s0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_s0_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance state_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance dhdr_counter_RNIUKBF_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) ) - (instance dhdr_counter_RNIVKBF_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance dhdr_counter_RNIVUOA_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance dhdr_counter_RNIUKBF_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance state_s3_0_a3_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance dhdr_counter_RNIGJIS_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance ipu_read_before_RNIMUFL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance dhdr_counter_RNICK5O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance second_word_waiting_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance buf_INFORMATION_1_sqmuxa_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance buf_API_DATA_OUT_RNO_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance last_second_word_waiting_RNI5O8R (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_ns_1_0__m14_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+A))")) + ) + (instance buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance first_ipu_read_RNI7EER (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B A)))")) + ) + (instance state_RNI0NLM_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) (instance PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance buf_INFORMATION_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance ipu_read_before_RNI438A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B)+D (!C A))")) ) - (instance buf_API_DATA_OUT_RNO_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance first_ipu_read_RNIPC2R1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) + (instance buf_INFORMATION_1_sqmuxa_0_a3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance buf_API_DATA_OUT_RNO_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance state_ns_1_0__m14_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance reg_IPU_DATA_high_RNI39F41_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C+(B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance reg_IPU_DATA_high_RNITUQ81_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C+(B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance un1_reset_5_i_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance first_ipu_read_RNIBCIU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A)))")) + ) + (instance buf_API_DATA_OUT_RNO_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) + ) + (instance buf_API_DATA_OUT_RNO_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) (instance buf_API_DATA_OUT_RNO_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) + ) + (instance buf_API_DATA_OUT_RNO_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B !A)+D (!C+(B !A)))")) ) (instance buf_IPU_LENGTH_IN_lm_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B A)+C (!B+A)))")) @@ -107896,276 +108059,233 @@ (instance buf_IPU_LENGTH_IN_lm_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B A)+C (!B+A)))")) ) - (instance state_ns_1_0__m12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance second_word_waiting_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D C)")) - ) - (instance waiting_word_RNI4DE12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)))")) - ) - (instance un1_state_4_m5_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance first_ipu_readc_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(!B+!A)))")) + (instance second_word_waiting_iv_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B !A)+C !A))")) ) - (instance state_1_sqmuxa_1_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(B+!A)))")) ) - (instance buf_INFORMATION_1_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance last_second_word_waiting_RNIKQVT1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B A))+D B)")) ) - (instance waiting_word_RNIIPH92 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) + (instance buf_IPU_LENGTH_IN_RNIICKP2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) ) - (instance ipu_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (C !B))")) + (instance buf_IPU_LENGTH_IN_RNIUC2G2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (!B+A)))")) ) - (instance waiting_word_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C+(B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance buf_API_DATA_OUT_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance buf_API_DATA_OUT_RNO_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) - ) - (instance buf_API_DATA_OUT_RNO_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance buf_API_DATA_OUT_RNO_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance first_ipu_read_RNIG4QR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C A)")) ) - (instance buf_API_DATA_OUT_RNO_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C+(!B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_1_sqmuxa_1_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_INFORMATION_1_sqmuxa_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C !B)")) ) - (instance buf_API_DATA_OUT_RNO_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance last_second_word_waiting_RNIPK9V2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance buf_API_DATA_OUT_RNO_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance state_ns_1_0__m14_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B A)+C B))")) ) - (instance buf_API_DATA_OUT_RNO_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_NUMBER_1_sqmuxa_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance waiting_word_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance state_ns_1_0__N_18_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A))+D (!C+B))")) ) - (instance buf_API_DATA_OUT_RNO_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance buf_API_DATA_OUT_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B A)+C !B))")) ) - (instance buf_API_DATA_OUT_RNO_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C B)+D (C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B A)+C !B))")) ) - (instance PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+A)+D (!C !B+C (!B A)))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C !A+C (B !A)))")) ) - (instance waiting_word_RNIHSE42 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A))+D (C+B))")) + (instance PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B !A))+D (!B !A))")) ) - (instance buf_NUMBER_1_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance ipu_read_before_RNI3PTQ4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B+A))+D (!C (!B+A)+C A))")) ) (instance buf_API_DATA_OUT_RNO_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (property lut_function (string "(!D (!C B+C (B A))+D (!C (B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B A))+D (!C A+C (!B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance un1_reset_5_i_i_0_RNIREU61 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)))")) ) - (instance buf_API_DATA_OUT_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance waiting_word_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D !B)")) ) - (instance buf_API_DATA_OUT_RNO_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATAREADY_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !C+D (!C (!B !A)))")) ) - (instance buf_API_DATA_OUT_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance dhdr_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A))+D (!B !A+B A))")) ) - (instance buf_API_DATA_OUT_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance buf_API_DATA_OUT_RNO_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance buf_API_DATA_OUT_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance buf_API_DATA_OUT_RNO_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance buf_API_DATA_OUT_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) - ) - (instance buf_API_DATA_OUT_RNO_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) - ) - (instance buf_API_DATA_OUT_RNO_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) - ) - (instance buf_API_DATA_OUT_RNO_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (property lut_function (string "(B A)")) ) - (instance waiting_word_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B A))+D (!C A+C (!B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance state_RNI7NK53_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B A))+D (!C+B))")) + (instance buf_API_DATA_OUT_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance PROC_STATE_MACHINE_dhdr_counter_6_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A))+D (!B A+B !A))")) + (instance buf_API_DATA_OUT_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance state_ns_1_0__N_18_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D (!C (B A)+C !B))")) + (instance buf_API_DATA_OUT_RNO_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance un1_reset_5_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A)))")) + (instance buf_API_DATA_OUT_RNO_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance state_ns_1_0__m14_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D (!C (!B+!A)+C (B !A)))")) + (instance state_ns_1_0__m14_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance state_ns_1_0__m14_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance dhdr_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !B)+D (C !B))")) ) - (instance state_ns_1_0__m14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance PROC_STATE_MACHINE_dhdr_counter_6_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A+B !A))+D B)")) + (instance buf_API_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B+A)+C A))")) ) - (instance buf_API_DATA_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B+A)+C A))")) ) (instance buf_API_DATA_OUT_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) (instance buf_API_DATA_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance buf_API_DATA_OUT_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) (instance buf_API_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance buf_API_DATA_OUT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_API_DATA_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) (instance buf_API_DATA_OUT_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (!C !B+C (!B A)))")) - ) - (instance buf_API_DATA_OUT_RNO_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!A)+D (!C B+C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance buf_API_DATA_OUT_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) + (instance buf_API_DATA_OUT_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance dhdr_counter_RNI5GV11_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B !A))+D (!C (!B !A)))")) + (instance buf_API_DATA_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) - (instance ipu_read_before_RNIAKE12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C B)+D (!C (B+A)+C (B !A)))")) + (instance buf_API_DATA_OUT_RNO_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))")) ) - (instance buf_TYPEe_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance buf_API_DATA_OUT_RNO_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance buf_TYPEe_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) @@ -108173,23 +108293,26 @@ (instance buf_TYPEe_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) + (instance buf_TYPEe_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) (instance buf_TYPEe_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance un1_state_4_m5_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) + (instance ipu_read_before_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A))+D !C)")) ) - (instance un1_reset_5_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (!C (B+A)+C A))")) + (instance ipu_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C (B !A)+C (B+A)))")) ) - (instance first_ipu_read_RNI4UO91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+!A)+D (!C (B+!A)+C B))")) + (instance buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) - (instance first_ipu_readc_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C (B !A)))")) + (instance buf_NUMBER_1_sqmuxa_0_a3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance first_ipu_read_0_sqmuxa_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance state_1_sqmuxa_2_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) (instance buf_IPU_LENGTH_IN_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -108351,82 +108474,25 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_1)) (portRef A1 (instanceRef un1_api_length_out_1_cry_0_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_1)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_1)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_1)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) (portRef A (instanceRef buf_IPU_LENGTH_IN_RNIIU14_1)) )) (net buf_IPU_LENGTH_IN_i_1 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_RNIIU14_1)) (portRef (member buf_ipu_length_in_i 0)) )) - (net N_15 (joined - (portRef Z (instanceRef state_ns_1_0__m14)) - (portRef A (instanceRef state_ns_1_0__N_15_i)) - )) - (net N_15_i (joined - (portRef Z (instanceRef state_ns_1_0__N_15_i)) - (portRef D (instanceRef state_0)) - )) - (net buf_API_DATA_OUT_0_sqmuxa_1 (joined - (portRef Z (instanceRef waiting_word_RNO)) - (portRef D (instanceRef waiting_word)) - )) - (net waiting_word_RNIIPH92 (joined - (portRef Z (instanceRef waiting_word_RNIIPH92)) - (portRef A (instanceRef waiting_word_RNILP643)) - (portRef B (instanceRef waiting_word_RNO)) - (portRef waiting_word_RNIIPH92) - )) - (net N_4869_i (joined - (portRef Z (instanceRef waiting_word_RNILP643)) - (portRef SP (instanceRef reg_IPU_DATA_15)) - (portRef SP (instanceRef reg_IPU_DATA_high_15)) - (portRef SP (instanceRef reg_IPU_DATA_14)) - (portRef SP (instanceRef reg_IPU_DATA_high_14)) - (portRef SP (instanceRef reg_IPU_DATA_high_13)) - (portRef SP (instanceRef reg_IPU_DATA_13)) - (portRef SP (instanceRef reg_IPU_DATA_high_12)) - (portRef SP (instanceRef reg_IPU_DATA_12)) - (portRef SP (instanceRef reg_IPU_DATA_high_11)) - (portRef SP (instanceRef reg_IPU_DATA_11)) - (portRef SP (instanceRef reg_IPU_DATA_high_10)) - (portRef SP (instanceRef reg_IPU_DATA_10)) - (portRef SP (instanceRef reg_IPU_DATA_9)) - (portRef SP (instanceRef reg_IPU_DATA_high_9)) - (portRef SP (instanceRef reg_IPU_DATA_8)) - (portRef SP (instanceRef reg_IPU_DATA_high_8)) - (portRef SP (instanceRef reg_IPU_DATA_7)) - (portRef SP (instanceRef reg_IPU_DATA_high_7)) - (portRef SP (instanceRef reg_IPU_DATA_6)) - (portRef SP (instanceRef reg_IPU_DATA_high_6)) - (portRef SP (instanceRef reg_IPU_DATA_5)) - (portRef SP (instanceRef reg_IPU_DATA_high_5)) - (portRef SP (instanceRef reg_IPU_DATA_4)) - (portRef SP (instanceRef reg_IPU_DATA_high_4)) - (portRef SP (instanceRef reg_IPU_DATA_high_3)) - (portRef SP (instanceRef reg_IPU_DATA_3)) - (portRef SP (instanceRef reg_IPU_DATA_2)) - (portRef SP (instanceRef reg_IPU_DATA_high_2)) - (portRef SP (instanceRef reg_IPU_DATA_high_1)) - (portRef SP (instanceRef reg_IPU_DATA_1)) - (portRef SP (instanceRef reg_IPU_DATA_high_0)) - (portRef SP (instanceRef reg_IPU_DATA_0)) - )) - (net state_1_sqmuxa_1 (joined - (portRef Z (instanceRef state_1_sqmuxa_1_0_a2)) - (portRef C (instanceRef state_ns_1_0__m14_am)) - (portRef D (instanceRef buf_START_READOUT)) - (portRef B (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) - )) (net state_1 (joined (portRef Q (instanceRef state_1)) - (portRef A (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2)) - (portRef C (instanceRef first_ipu_read_RNI4UO91)) - (portRef B (instanceRef state_ns_1_0__m14_bm)) - (portRef B (instanceRef state_ns_1_0__m14_am)) - (portRef B (instanceRef un1_reset_5_i)) - (portRef C (instanceRef state_ns_1_0__N_18_i)) - (portRef C (instanceRef state_RNI7NK53_1)) + (portRef B (instanceRef un1_reset_5_i_i_0_RNIREU61)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) + (portRef D (instanceRef state_ns_1_0__N_18_i)) + (portRef C (instanceRef state_ns_1_0__m14_i_a3)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I)) + (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) + (portRef C (instanceRef first_ipu_read_RNIG4QR)) + (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_14)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_13)) @@ -108443,213 +108509,227 @@ (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_2)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_1)) (portRef B (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_3_8)) + (portRef C (instanceRef first_ipu_read_RNIBCIU)) + (portRef C (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) + (portRef C (instanceRef reg_IPU_DATA_high_RNI39F41_0)) + (portRef D (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef D (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i)) - (portRef B (instanceRef state_s3_0_a3_i_o2)) - (portRef B (instanceRef state_s0_0_a2)) + (portRef B (instanceRef state_RNI0NLM_0)) + (portRef C (instanceRef last_second_word_waiting_RNI5O8R)) + (portRef B (instanceRef state_s0_0_a2_0_a2)) (portRef SP (instanceRef buf_API_DATAREADY_OUT)) (portRef CD (instanceRef first_ipu_read)) - (portRef B (instanceRef state_RNIORD21_0)) - (portRef A (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) - (portRef B (instanceRef state_RNI0NLM_0)) - (portRef B (instanceRef state_RNIQVO41_0)) - (portRef C (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) - (portRef C (instanceRef ipu_read_before_RNO_0)) - (portRef C (instanceRef waiting_word_RNO_2)) - (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) - (portRef C (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) - (portRef C (instanceRef waiting_word_RNO)) - (portRef (member state 0)) + (portRef A (instanceRef state_RNIIUJV_0)) + (portRef B (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) + (portRef A (instanceRef state_RNI9ARC1_0)) + (portRef A (instanceRef waiting_word_RNO_1)) )) (net state_0 (joined (portRef Q (instanceRef state_0)) - (portRef B (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2)) - (portRef D (instanceRef first_ipu_read_RNI4UO91)) - (portRef A (instanceRef un1_reset_5_i_0)) - (portRef D (instanceRef ipu_read_before_RNIAKE12)) - (portRef C (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef C0 (instanceRef state_ns_1_0__m14)) - (portRef B (instanceRef state_ns_1_0__N_18_i)) - (portRef B (instanceRef first_ipu_readc_0)) - (portRef B (instanceRef waiting_word_RNI4DE12)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_7)) + (portRef D (instanceRef dhdr_counter_RNO_0)) + (portRef B (instanceRef state_ns_1_0__m14_i_a3)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_5)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_9)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_14)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_15)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_12)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_10)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_6)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_1)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_11)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_4)) + (portRef B (instanceRef first_ipu_read_RNIBCIU)) + (portRef D (instanceRef un1_reset_5_i_i_0)) + (portRef D (instanceRef ipu_read_before_RNI438A1)) (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i)) - (portRef A (instanceRef state_s3_0_a3_i_o2)) + (portRef A (instanceRef state_RNI0NLM_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_8)) + (portRef C (instanceRef dhdr_counter_RNICK5O_1)) + (portRef C (instanceRef dhdr_counter_RNIGJIS_1)) (portRef B (instanceRef dhdr_counter_RNIUKBF_0)) - (portRef B (instanceRef dhdr_counter_RNIVKBF_1)) - (portRef A (instanceRef state_s0_0_a2)) - (portRef C (instanceRef state_RNIORD21_0)) - (portRef B (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) - (portRef C (instanceRef state_RNI0NLM_0)) - (portRef C (instanceRef state_RNIQVO41_0)) - (portRef D (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) - (portRef D (instanceRef ipu_read_before_RNO_0)) - (portRef D (instanceRef waiting_word_RNO_2)) - (portRef D (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) - (portRef B (instanceRef first_ipu_read_RNO)) - (portRef D (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) - (portRef D (instanceRef waiting_word_RNO)) - (portRef (member state 1)) - )) - (net state_1_sqmuxa_2 (joined - (portRef Z (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) - (portRef B (instanceRef buf_TYPEe_2)) - (portRef B (instanceRef buf_TYPEe_3)) - (portRef B (instanceRef buf_TYPEe_0)) - (portRef B (instanceRef buf_TYPEe_1)) - )) - (net N_4915_1 (joined - (portRef Z (instanceRef first_ipu_readc_2)) - (portRef C (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2)) - (portRef A (instanceRef state_ns_1_0__m14_bm)) - (portRef A (instanceRef state_ns_1_0__N_18_i)) - (portRef A (instanceRef first_ipu_readc_0)) + (portRef A (instanceRef state_s0_0_a2_0_a2)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2)) + (portRef B (instanceRef state_RNIIUJV_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_10)) + (portRef A (instanceRef state_ns_1_0__m14_i_a2)) + (portRef C (instanceRef state_RNI9ARC1_0)) (portRef C (instanceRef first_ipu_read_RNO)) + (portRef C (instanceRef state_RNIUO4U1_0)) + (portRef C (instanceRef waiting_word_RNO_1)) )) - (net first_ipu_readc (joined - (portRef Z (instanceRef first_ipu_read_RNO)) - (portRef D (instanceRef first_ipu_read)) + (net buf_API_DATA_OUT_0_sqmuxa_1_i_0_0 (joined + (portRef Z (instanceRef waiting_word_RNO_1)) + (portRef C (instanceRef waiting_word_RNO)) )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_14)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_13)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_12)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_11)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_10)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_9)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_8)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_7)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_6)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_5)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_4)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_3)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_2)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_1)) - (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) - (portRef A (instanceRef packet_number_RNO_0)) - (portRef A (instanceRef first_ipu_read_RNO)) + (net ipu_dataready_i (joined + (portRef ipu_dataready_i) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0)) + (portRef D (instanceRef ipu_read_before_RNO)) + (portRef D (instanceRef state_ns_1_0__m14_i_a3)) + (portRef D (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) + (portRef D (instanceRef state_RNI9ARC1_0)) + (portRef D (instanceRef first_ipu_read_RNO)) + (portRef A (instanceRef state_RNIUO4U1_0)) + (portRef D (instanceRef waiting_word_RNO_1)) )) - (net packet_number_4_0 (joined - (portRef Z (instanceRef packet_number_RNO_0)) - (portRef D (instanceRef packet_number_0)) + (net buf_API_DATA_OUT_12_iv_2_596_i_o3_i_o2_m4_e_2 (joined + (portRef Z (instanceRef last_second_word_waiting_RNI5O8R)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) + (portRef B (instanceRef state_RNIUO4U1_0)) )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1) - (portRef A (instanceRef waiting_word_RNIRJ1U1)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0)) + (portRef A (instanceRef state_ns_1_0__m14_i_a3)) + (portRef C (instanceRef second_word_waiting_iv_i_o3_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_10)) + (portRef A (instanceRef ipu_read_before_RNI438A1)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2)) + (portRef A (instanceRef ipu_read_before_RNIMUFL)) + (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a2)) + (portRef C (instanceRef state_RNIIUJV_0)) + (portRef C (instanceRef last_second_word_waiting_RNO)) + (portRef C (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) + (portRef A (instanceRef N_4899_i_i_o3_0)) + (portRef C (instanceRef packet_number_RNO_0)) + (portRef B (instanceRef state_RNI9ARC1_0)) + (portRef B (instanceRef first_ipu_read_RNO)) + (portRef D (instanceRef state_RNIUO4U1_0)) + (portRef B (instanceRef waiting_word_RNO_1)) )) - (net port_select_int_0 (joined - (portRef (member port_select_int 0)) - (portRef C (instanceRef waiting_word_RNIRJ1U1)) + (net state_RNIUO4U1_0 (joined + (portRef Z (instanceRef state_RNIUO4U1_0)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef B (instanceRef ipu_read_before_RNI3PTQ4)) )) - (net d_N_9 (joined - (portRef Z (instanceRef waiting_word_RNIRJ1U1)) - (portRef d_N_9) + (net first_ipu_readc (joined + (portRef Z (instanceRef first_ipu_read_RNO)) + (portRef D (instanceRef first_ipu_read)) )) - (net reset_i_fast_r2 (joined - (portRef reset_i_fast_r2) - (portRef D (instanceRef waiting_word_RNIIPH92)) - (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0)) - (portRef A (instanceRef state_RNIORD21_0)) - (portRef A (instanceRef ipu_read_before_RNO_0)) - (portRef A (instanceRef last_second_word_waiting_RNO)) - (portRef A (instanceRef state_1_sqmuxa_1_0_a2_RNII2T81)) + (net N_5820 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_a2)) + (portRef C (instanceRef state_ns_1_0__N_18_i)) + (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef A (instanceRef state_ns_1_0__m14_i_a3_0)) )) (net waiting_word (joined (portRef Q (instanceRef waiting_word)) - (portRef A (instanceRef un1_state_4_m5_0_a2_0)) - (portRef C (instanceRef waiting_word_RNIHSE42)) - (portRef B (instanceRef ipu_read_before_RNO)) - (portRef C (instanceRef waiting_word_RNIIPH92)) - (portRef C (instanceRef waiting_word_RNI4DE12)) - (portRef C (instanceRef second_word_waiting_iv_i)) - (portRef C (instanceRef state_ns_1_0__m12)) - (portRef D (instanceRef first_ipu_read_RNIPC2R1)) - (portRef B (instanceRef waiting_word_RNO_2)) + (portRef C (instanceRef ipu_read_before_RNO_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_0)) + (portRef D (instanceRef waiting_word_RNO)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I)) + (portRef D (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef C (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) + (portRef D (instanceRef second_word_waiting_iv_i_o3_0)) + (portRef D (instanceRef first_ipu_read_RNIBCIU)) + (portRef D (instanceRef first_ipu_read_RNI7EER)) + (portRef C (instanceRef state_ns_1_0__m14_i_o2)) + (portRef D (instanceRef last_second_word_waiting_RNI5O8R)) (portRef B (instanceRef last_second_word_waiting_RNO)) - (portRef D (instanceRef waiting_word_RNIRJ1U1)) - (portRef waiting_word) + (portRef A (instanceRef waiting_word_RNO_2)) + (portRef B (instanceRef state_ns_1_0__m14_i_a3_0)) )) - (net buf_api_stat_fifo_to_int_11 (joined - (portRef buf_api_stat_fifo_to_int_11) - (portRef A (instanceRef first_ipu_readc_2)) - (portRef B (instanceRef first_ipu_read_RNI4UO91)) - (portRef A (instanceRef ipu_read_before_RNIAKE12)) - (portRef A (instanceRef first_ipu_read_RNIPC2R1)) - (portRef A (instanceRef second_word_waiting_iv_i_a2_0)) - (portRef A (instanceRef ipu_read_before_RNIMUFL)) - (portRef C (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) - (portRef B (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) - (portRef B (instanceRef ipu_read_before_RNO_0)) - (portRef C (instanceRef last_second_word_waiting_RNO)) - (portRef C (instanceRef packet_number_RNO_0)) + (net saved_IPU_READOUT_FINISHED_IN (joined + (portRef Q (instanceRef saved_IPU_READOUT_FINISHED_IN)) + (portRef B (instanceRef state_ns_1_0__m14_i_o2)) + (portRef A (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) + (portRef C (instanceRef state_ns_1_0__m14_i_a3_0)) )) (net buf_APL_DATAREADY_IN_1 (joined (portRef Q (instanceRef buf_API_DATAREADY_OUT)) - (portRef C (instanceRef un1_state_4_m5_0_a2_0)) - (portRef A (instanceRef state_ns_1_0__m12)) - (portRef A (instanceRef last_second_word_waiting_RNIRGQG)) - (portRef D (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) + (portRef A (instanceRef ipu_read_before_RNO_0)) + (portRef D (instanceRef waiting_word_RNO_0)) + (portRef B (instanceRef waiting_word_RNO)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (portRef A (instanceRef last_second_word_waiting_RNIKQVT1)) + (portRef B (instanceRef second_word_waiting_iv_i_o3_0)) + (portRef A (instanceRef first_ipu_read_RNI7EER)) + (portRef A (instanceRef state_ns_1_0__m14_i_o2)) + (portRef A (instanceRef last_second_word_waiting_RNI5O8R)) + (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a2)) (portRef D (instanceRef last_second_word_waiting_RNO)) + (portRef D (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) (portRef D (instanceRef packet_number_RNO_0)) - (portRef (member buf_apl_dataready_in 0)) + (portRef D (instanceRef state_ns_1_0__m14_i_a3_0)) )) - (net un1_reset_2_0_i (joined - (portRef Z (instanceRef last_second_word_waiting_RNO)) - (portRef CD (instanceRef last_second_word_waiting)) + (net N_5757 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_a3_0)) + (portRef B (instanceRef state_ns_1_0__m14_i)) )) - (net ipu_dataready_i (joined - (portRef ipu_dataready_i) - (portRef D (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef C (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) - (portRef C (instanceRef ipu_read_before_RNO)) - (portRef D (instanceRef un1_state_4_m5_0_a2_2)) - (portRef D (instanceRef waiting_word_RNI4DE12)) - (portRef D (instanceRef state_ns_1_0__m12)) - (portRef A (instanceRef waiting_word_RNO_2)) - (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) - (portRef A (instanceRef waiting_word_RNO)) + (net first_ipu_read_0_sqmuxa (joined + (portRef Z (instanceRef state_RNI9ARC1_0)) + (portRef C (instanceRef dhdr_counter_RNO_1)) + (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) + (portRef D (instanceRef make_compare)) )) - (net ipu_read_before (joined - (portRef Q (instanceRef ipu_read_before)) - (portRef C (instanceRef ipu_read_before_RNIAKE12)) - (portRef B (instanceRef ipu_read_before_RNIMUFL)) - (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) + (net N_5710_i (joined + (portRef Z (instanceRef packet_number_RNO_0)) + (portRef D (instanceRef packet_number_0)) + )) + (net m166_2_03_4_i_4 (joined + (portRef m166_2_03_4_i_4) + (portRef B (instanceRef waiting_word_RNO_2)) + (portRef B (instanceRef N_4899_i_i_o3_0)) + (portRef B (instanceRef state_ns_1_0__m14_i_a2)) + )) + (net m161_2_03 (joined + (portRef m161_2_03) + (portRef C (instanceRef waiting_word_RNO_2)) + (portRef C (instanceRef N_4899_i_i_o3_0)) + (portRef C (instanceRef state_ns_1_0__m14_i_a2)) )) - (net buf_API_DATAREADY_OUT_3_i_m_1 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_RNO)) - (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) + (net suppress_output (joined + (portRef suppress_output) + (portRef D (instanceRef waiting_word_RNO_2)) + (portRef D (instanceRef N_4899_i_i_o3_0)) + (portRef D (instanceRef state_ns_1_0__m14_i_a2)) + )) + (net N_5735 (joined + (portRef Z (instanceRef N_4899_i_i_o3_0)) + (portRef A (instanceRef last_second_word_waiting_RNIPK9V2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_8)) )) - (net d_m4_0_a3_0_1 (joined + (net N_5744 (joined (portRef Z (instanceRef waiting_word_RNO_2)) - (portRef D (instanceRef waiting_word_RNO_1)) + (portRef C (instanceRef waiting_word_RNO_0)) )) - (net ipu_read_before_RNO_0 (joined - (portRef Z (instanceRef ipu_read_before_RNO_0)) - (portRef SP (instanceRef ipu_read_before)) + (net N_5731 (joined + (portRef Z (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) + (portRef A (instanceRef dhdr_counter_RNO_0)) + (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) )) - (net un1_state_4_m5_0_a2_0 (joined - (portRef Z (instanceRef un1_state_4_m5_0_a2_0)) - (portRef C (instanceRef un1_state_4_m5_0_a2_2)) - (portRef A (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) + (net last_second_word_waiting_RNO (joined + (portRef Z (instanceRef last_second_word_waiting_RNO)) + (portRef CD (instanceRef last_second_word_waiting)) )) - (net un1_state_4_m5_0_a2_0_RNIOP431 (joined - (portRef Z (instanceRef un1_state_4_m5_0_a2_0_RNIOP431)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (net buf_IPU_LENGTH_IN_10 (joined + (portRef Q (instanceRef buf_IPU_LENGTH_IN_10)) + (portRef A0 (instanceRef un1_api_length_out_1_cry_9_0)) + (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_9)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_10)) + )) + (net dhdr_counter_0 (joined + (portRef Q (instanceRef dhdr_counter_0)) + (portRef C (instanceRef dhdr_counter_RNO_0)) + (portRef B (instanceRef un1_reset_5_i_i_0)) + (portRef B (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef A (instanceRef dhdr_counter_RNIVUOA_0)) + (portRef A (instanceRef dhdr_counter_RNIUKBF_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_3_10)) + )) + (net N_4812 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_10)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_10)) )) (net GND (joined (portRef GND) @@ -108720,29 +108800,10 @@ (portRef B0 (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) )) - (net buf_IPU_LENGTH_INlde_i_a2_2 (joined - (portRef Z (instanceRef state_RNIQVO41_0)) - (portRef B (instanceRef first_ipu_read_RNIPC2R1)) - )) - (net state_RNI0NLM_0 (joined - (portRef Z (instanceRef state_RNI0NLM_0)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_27)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_22)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_21)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_18)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_17)) - (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_16)) - )) - (net N_261_0 (joined - (portRef Z (instanceRef buf_API_DATAREADY_OUT_RNIJU2E1)) - (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) - (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) - (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0)) - )) - (net N_294 (joined - (portRef Z (instanceRef state_RNIORD21_0)) - (portRef D (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) - (portRef D (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) + (net N_5733 (joined + (portRef Z (instanceRef state_RNIIUJV_0)) + (portRef A (instanceRef ipu_read_before_RNO)) + (portRef B (instanceRef waiting_word_RNO_0)) )) (net evt_number_mismatch (joined (portRef Q (instanceRef evt_number_mismatch)) @@ -108775,24 +108836,22 @@ (portRef Z (instanceRef evt_code_mismatch_fb)) (portRef D (instanceRef evt_code_mismatch)) )) - (net saved_IPU_READOUT_FINISHED_IN (joined - (portRef Q (instanceRef saved_IPU_READOUT_FINISHED_IN)) - (portRef B (instanceRef state_ns_1_0__m12)) - (portRef A (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) - )) - (net ipu_readout_finished_i (joined - (portRef ipu_readout_finished_i) - (portRef B (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) - (portRef A (instanceRef state_RNI0NLM_0)) - )) (net fb_0_1 (joined (portRef Z (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) (portRef D (instanceRef saved_IPU_READOUT_FINISHED_IN)) )) - (net un1_state_4_0 (joined + (net N_5707_i (joined + (portRef Z (instanceRef waiting_word_RNO)) + (portRef D (instanceRef waiting_word)) + )) + (net N_7_i (joined (portRef Z (instanceRef waiting_word_RNO_0)) (portRef SP (instanceRef waiting_word)) )) + (net N_5712 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i)) + (portRef D (instanceRef state_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef CK (instanceRef buf_API_DATAREADY_OUT)) @@ -108884,20 +108943,20 @@ (portRef CK (instanceRef reg_IPU_DATA_12)) (portRef CK (instanceRef reg_IPU_DATA_high_11)) (portRef CK (instanceRef reg_IPU_DATA_11)) - (portRef CK (instanceRef reg_IPU_DATA_high_10)) (portRef CK (instanceRef reg_IPU_DATA_10)) - (portRef CK (instanceRef reg_IPU_DATA_9)) + (portRef CK (instanceRef reg_IPU_DATA_high_10)) (portRef CK (instanceRef reg_IPU_DATA_high_9)) - (portRef CK (instanceRef reg_IPU_DATA_8)) + (portRef CK (instanceRef reg_IPU_DATA_9)) (portRef CK (instanceRef reg_IPU_DATA_high_8)) - (portRef CK (instanceRef reg_IPU_DATA_7)) + (portRef CK (instanceRef reg_IPU_DATA_8)) (portRef CK (instanceRef reg_IPU_DATA_high_7)) - (portRef CK (instanceRef reg_IPU_DATA_6)) + (portRef CK (instanceRef reg_IPU_DATA_7)) (portRef CK (instanceRef reg_IPU_DATA_high_6)) - (portRef CK (instanceRef reg_IPU_DATA_5)) + (portRef CK (instanceRef reg_IPU_DATA_6)) (portRef CK (instanceRef reg_IPU_DATA_high_5)) - (portRef CK (instanceRef reg_IPU_DATA_4)) + (portRef CK (instanceRef reg_IPU_DATA_5)) (portRef CK (instanceRef reg_IPU_DATA_high_4)) + (portRef CK (instanceRef reg_IPU_DATA_4)) (portRef CK (instanceRef reg_IPU_DATA_high_3)) (portRef CK (instanceRef reg_IPU_DATA_3)) (portRef CK (instanceRef reg_IPU_DATA_2)) @@ -108931,20 +108990,20 @@ (portRef CD (instanceRef reg_IPU_DATA_12)) (portRef CD (instanceRef reg_IPU_DATA_high_11)) (portRef CD (instanceRef reg_IPU_DATA_11)) - (portRef CD (instanceRef reg_IPU_DATA_high_10)) (portRef CD (instanceRef reg_IPU_DATA_10)) - (portRef CD (instanceRef reg_IPU_DATA_9)) + (portRef CD (instanceRef reg_IPU_DATA_high_10)) (portRef CD (instanceRef reg_IPU_DATA_high_9)) - (portRef CD (instanceRef reg_IPU_DATA_8)) + (portRef CD (instanceRef reg_IPU_DATA_9)) (portRef CD (instanceRef reg_IPU_DATA_high_8)) - (portRef CD (instanceRef reg_IPU_DATA_7)) + (portRef CD (instanceRef reg_IPU_DATA_8)) (portRef CD (instanceRef reg_IPU_DATA_high_7)) - (portRef CD (instanceRef reg_IPU_DATA_6)) + (portRef CD (instanceRef reg_IPU_DATA_7)) (portRef CD (instanceRef reg_IPU_DATA_high_6)) - (portRef CD (instanceRef reg_IPU_DATA_5)) + (portRef CD (instanceRef reg_IPU_DATA_6)) (portRef CD (instanceRef reg_IPU_DATA_high_5)) - (portRef CD (instanceRef reg_IPU_DATA_4)) + (portRef CD (instanceRef reg_IPU_DATA_5)) (portRef CD (instanceRef reg_IPU_DATA_high_4)) + (portRef CD (instanceRef reg_IPU_DATA_4)) (portRef CD (instanceRef reg_IPU_DATA_high_3)) (portRef CD (instanceRef reg_IPU_DATA_3)) (portRef CD (instanceRef reg_IPU_DATA_2)) @@ -109023,19 +109082,52 @@ (portRef Z (instanceRef state_ns_1_0__N_18_i)) (portRef D (instanceRef state_1)) )) + (net N_5706_i (joined + (portRef Z (instanceRef last_second_word_waiting_RNIPK9V2)) + (portRef SP (instanceRef reg_IPU_DATA_15)) + (portRef SP (instanceRef reg_IPU_DATA_high_15)) + (portRef SP (instanceRef reg_IPU_DATA_14)) + (portRef SP (instanceRef reg_IPU_DATA_high_14)) + (portRef SP (instanceRef reg_IPU_DATA_high_13)) + (portRef SP (instanceRef reg_IPU_DATA_13)) + (portRef SP (instanceRef reg_IPU_DATA_high_12)) + (portRef SP (instanceRef reg_IPU_DATA_12)) + (portRef SP (instanceRef reg_IPU_DATA_high_11)) + (portRef SP (instanceRef reg_IPU_DATA_11)) + (portRef SP (instanceRef reg_IPU_DATA_10)) + (portRef SP (instanceRef reg_IPU_DATA_high_10)) + (portRef SP (instanceRef reg_IPU_DATA_high_9)) + (portRef SP (instanceRef reg_IPU_DATA_9)) + (portRef SP (instanceRef reg_IPU_DATA_high_8)) + (portRef SP (instanceRef reg_IPU_DATA_8)) + (portRef SP (instanceRef reg_IPU_DATA_high_7)) + (portRef SP (instanceRef reg_IPU_DATA_7)) + (portRef SP (instanceRef reg_IPU_DATA_high_6)) + (portRef SP (instanceRef reg_IPU_DATA_6)) + (portRef SP (instanceRef reg_IPU_DATA_high_5)) + (portRef SP (instanceRef reg_IPU_DATA_5)) + (portRef SP (instanceRef reg_IPU_DATA_high_4)) + (portRef SP (instanceRef reg_IPU_DATA_4)) + (portRef SP (instanceRef reg_IPU_DATA_high_3)) + (portRef SP (instanceRef reg_IPU_DATA_3)) + (portRef SP (instanceRef reg_IPU_DATA_2)) + (portRef SP (instanceRef reg_IPU_DATA_high_2)) + (portRef SP (instanceRef reg_IPU_DATA_high_1)) + (portRef SP (instanceRef reg_IPU_DATA_1)) + (portRef SP (instanceRef reg_IPU_DATA_high_0)) + (portRef SP (instanceRef reg_IPU_DATA_0)) + )) (net reg_IPU_DATA_0 (joined (portRef Q (instanceRef reg_IPU_DATA_0)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_0)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_0)) )) (net reg_IPU_DATA_high_0 (joined (portRef Q (instanceRef reg_IPU_DATA_high_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_0)) + (portRef B (instanceRef reg_IPU_DATA_high_RNI39F41_0)) )) (net reg_IPU_DATA_1 (joined (portRef Q (instanceRef reg_IPU_DATA_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_1)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1)) )) (net reg_IPU_DATA_high_1 (joined (portRef Q (instanceRef reg_IPU_DATA_high_1)) @@ -109047,93 +109139,84 @@ )) (net reg_IPU_DATA_2 (joined (portRef Q (instanceRef reg_IPU_DATA_2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_2)) )) (net reg_IPU_DATA_3 (joined (portRef Q (instanceRef reg_IPU_DATA_3)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_3)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_3)) )) (net reg_IPU_DATA_high_3 (joined (portRef Q (instanceRef reg_IPU_DATA_high_3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_3_3)) + )) + (net reg_IPU_DATA_4 (joined + (portRef Q (instanceRef reg_IPU_DATA_4)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_4)) )) (net reg_IPU_DATA_high_4 (joined (portRef Q (instanceRef reg_IPU_DATA_high_4)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_4)) )) - (net reg_IPU_DATA_4 (joined - (portRef Q (instanceRef reg_IPU_DATA_4)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_4)) + (net reg_IPU_DATA_5 (joined + (portRef Q (instanceRef reg_IPU_DATA_5)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_5)) )) (net reg_IPU_DATA_high_5 (joined (portRef Q (instanceRef reg_IPU_DATA_high_5)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_5)) )) - (net reg_IPU_DATA_5 (joined - (portRef Q (instanceRef reg_IPU_DATA_5)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_5)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_5)) + (net reg_IPU_DATA_6 (joined + (portRef Q (instanceRef reg_IPU_DATA_6)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_6)) )) (net reg_IPU_DATA_high_6 (joined (portRef Q (instanceRef reg_IPU_DATA_high_6)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_6)) )) - (net reg_IPU_DATA_6 (joined - (portRef Q (instanceRef reg_IPU_DATA_6)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_6)) + (net reg_IPU_DATA_7 (joined + (portRef Q (instanceRef reg_IPU_DATA_7)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_7)) )) (net reg_IPU_DATA_high_7 (joined (portRef Q (instanceRef reg_IPU_DATA_high_7)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_7)) )) - (net reg_IPU_DATA_7 (joined - (portRef Q (instanceRef reg_IPU_DATA_7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_7)) + (net reg_IPU_DATA_8 (joined + (portRef Q (instanceRef reg_IPU_DATA_8)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_8)) )) (net reg_IPU_DATA_high_8 (joined (portRef Q (instanceRef reg_IPU_DATA_high_8)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_8)) - )) - (net reg_IPU_DATA_8 (joined - (portRef Q (instanceRef reg_IPU_DATA_8)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_8)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_8)) )) - (net reg_IPU_DATA_high_9 (joined - (portRef Q (instanceRef reg_IPU_DATA_high_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_9)) - )) (net reg_IPU_DATA_9 (joined (portRef Q (instanceRef reg_IPU_DATA_9)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_9)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_9)) )) - (net reg_IPU_DATA_10 (joined - (portRef Q (instanceRef reg_IPU_DATA_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_10)) + (net reg_IPU_DATA_high_9 (joined + (portRef Q (instanceRef reg_IPU_DATA_high_9)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_9)) )) (net reg_IPU_DATA_high_10 (joined (portRef Q (instanceRef reg_IPU_DATA_high_10)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_10)) )) + (net reg_IPU_DATA_10 (joined + (portRef Q (instanceRef reg_IPU_DATA_10)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_10)) + )) (net reg_IPU_DATA_11 (joined (portRef Q (instanceRef reg_IPU_DATA_11)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_11)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_11)) )) (net reg_IPU_DATA_high_11 (joined (portRef Q (instanceRef reg_IPU_DATA_high_11)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_11)) )) (net reg_IPU_DATA_12 (joined (portRef Q (instanceRef reg_IPU_DATA_12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_12)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_12)) )) (net reg_IPU_DATA_high_12 (joined (portRef Q (instanceRef reg_IPU_DATA_high_12)) @@ -109141,12 +109224,11 @@ )) (net reg_IPU_DATA_13 (joined (portRef Q (instanceRef reg_IPU_DATA_13)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_13)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_13)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_13)) )) (net reg_IPU_DATA_high_13 (joined (portRef Q (instanceRef reg_IPU_DATA_high_13)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_13)) + (portRef B (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) )) (net reg_IPU_DATA_high_14 (joined (portRef Q (instanceRef reg_IPU_DATA_high_14)) @@ -109154,8 +109236,7 @@ )) (net reg_IPU_DATA_14 (joined (portRef Q (instanceRef reg_IPU_DATA_14)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_14)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_14)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_14)) )) (net reg_IPU_DATA_high_15 (joined (portRef Q (instanceRef reg_IPU_DATA_high_15)) @@ -109163,59 +109244,83 @@ )) (net reg_IPU_DATA_15 (joined (portRef Q (instanceRef reg_IPU_DATA_15)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_15)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_15)) )) (net buf_APL_PACKET_NUM_IN_3 (joined (portRef Q (instanceRef packet_number_0)) (portRef B (instanceRef packet_number_RNO_0)) (portRef (member buf_apl_packet_num_in 0)) )) - (net first_ipu_read_0_sqmuxa (joined - (portRef Z (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2)) - (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) - (portRef B (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) - (portRef C (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) - (portRef D (instanceRef make_compare)) - )) - (net second_word_waiting_iv_i (joined - (portRef Z (instanceRef second_word_waiting_iv_i)) - (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) + (net second_word_waiting_iv_i_o3_0 (joined + (portRef Z (instanceRef second_word_waiting_iv_i_o3_0)) (portRef D (instanceRef last_second_word_waiting)) )) - (net d_N_5_0_i (joined + (net last_second_word_waiting (joined + (portRef Q (instanceRef last_second_word_waiting)) + (portRef B (instanceRef ipu_read_before_RNO_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (portRef C (instanceRef last_second_word_waiting_RNIKQVT1)) + (portRef C (instanceRef first_ipu_read_RNI7EER)) + (portRef B (instanceRef last_second_word_waiting_RNI5O8R)) + (portRef A (instanceRef second_word_waiting_iv_i_o3_0_o2)) + )) + (net N_39_i (joined (portRef Z (instanceRef ipu_read_before_RNO)) (portRef D (instanceRef ipu_read_before)) )) + (net reset_i_fast_1_i (joined + (portRef reset_i_fast_1_i) + (portRef D (instanceRef buf_API_READ_OUT)) + (portRef SP (instanceRef buf_API_SEND_OUT)) + (portRef SP (instanceRef ipu_read_before)) + (portRef SP (instanceRef make_compare)) + )) + (net ipu_read_before (joined + (portRef Q (instanceRef ipu_read_before)) + (portRef C (instanceRef ipu_read_before_RNO)) + (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_10)) + (portRef C (instanceRef ipu_read_before_RNI438A1)) + (portRef B (instanceRef ipu_read_before_RNIMUFL)) + )) (net first_ipu_read (joined (portRef Q (instanceRef first_ipu_read)) - (portRef A (instanceRef first_ipu_read_RNI4UO91)) - (portRef C (instanceRef first_ipu_read_RNIPC2R1)) + (portRef B (instanceRef first_ipu_read_RNIG4QR)) + (portRef A (instanceRef first_ipu_read_RNIBCIU)) + (portRef B (instanceRef first_ipu_read_RNI7EER)) )) - (net N_256_0 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) + (net N_5708_i (joined + (portRef Z (instanceRef dhdr_counter_RNO_0)) (portRef D (instanceRef dhdr_counter_0)) )) - (net dhdr_counter_0 (joined - (portRef Q (instanceRef dhdr_counter_0)) - (portRef D (instanceRef un1_reset_5_i_0)) - (portRef A (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) - (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0)) - (portRef A (instanceRef dhdr_counter_RNIUKBF_0)) - (portRef A (instanceRef state_1_sqmuxa_0_a2)) - )) - (net N_258_0 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) + (net N_5709_i (joined + (portRef Z (instanceRef dhdr_counter_RNO_1)) (portRef D (instanceRef dhdr_counter_1)) )) (net dhdr_counter_1 (joined (portRef Q (instanceRef dhdr_counter_1)) - (portRef C (instanceRef un1_reset_5_i_0)) - (portRef B (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) - (portRef A (instanceRef dhdr_counter_RNIVKBF_1)) - (portRef B (instanceRef state_1_sqmuxa_0_a2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_7)) + (portRef B (instanceRef dhdr_counter_RNO_1)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_5)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_9)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_14)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_15)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_12)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_10)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_6)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_1)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_11)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_4)) + (portRef C (instanceRef un1_reset_5_i_i_0)) + (portRef C (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef C (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_8)) + (portRef B (instanceRef dhdr_counter_RNICK5O_1)) + (portRef B (instanceRef dhdr_counter_RNIGJIS_1)) + (portRef B (instanceRef dhdr_counter_RNIVUOA_0)) )) (net buf_TYPEe_0_0 (joined (portRef Z (instanceRef buf_TYPEe_0)) @@ -109233,8 +109338,15 @@ (portRef Z (instanceRef buf_TYPEe_3)) (portRef D (instanceRef buf_TYPE_3)) )) - (net state_s0_0_a2 (joined - (portRef Z (instanceRef state_s0_0_a2)) + (net state_1_sqmuxa_1 (joined + (portRef Z (instanceRef state_1_sqmuxa_1_0_a2_0_a2)) + (portRef D (instanceRef buf_START_READOUT)) + )) + (net state_s0_0_a2_0_a2 (joined + (portRef Z (instanceRef state_s0_0_a2_0_a2)) + (portRef B (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef C (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) + (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) (portRef SP (instanceRef buf_START_READOUT)) )) (net ipu_start_readout_i (joined @@ -109243,7 +109355,7 @@ (portRef ipu_start_readout_i) )) (net buf_INFORMATION_1_sqmuxa (joined - (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) + (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) (portRef SP (instanceRef buf_RND_CODE_7)) (portRef SP (instanceRef buf_RND_CODE_6)) (portRef SP (instanceRef buf_RND_CODE_5)) @@ -109292,7 +109404,7 @@ (portRef D (instanceRef buf_RND_CODE_0)) )) (net buf_NUMBER_1_sqmuxa (joined - (portRef Z (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) + (portRef Z (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) (portRef SP (instanceRef buf_NUMBER_15)) (portRef SP (instanceRef buf_NUMBER_14)) (portRef SP (instanceRef buf_NUMBER_13)) @@ -109384,8 +109496,8 @@ (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) (portRef D (instanceRef buf_IPU_LENGTH_IN_0)) )) - (net first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2 (joined - (portRef Z (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) + (net buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) (portRef SP (instanceRef buf_IPU_LENGTH_IN_15)) (portRef SP (instanceRef buf_IPU_LENGTH_IN_14)) (portRef SP (instanceRef buf_IPU_LENGTH_IN_13)) @@ -109416,7 +109528,7 @@ (portRef A0 (instanceRef un1_api_length_out_1_cry_1_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_1)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_2)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) )) (net buf_IPU_LENGTH_IN_lm_3 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_3)) @@ -109426,8 +109538,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_3)) (portRef A1 (instanceRef un1_api_length_out_1_cry_1_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_3)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_3)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) )) (net buf_IPU_LENGTH_IN_lm_4 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_4)) @@ -109438,7 +109550,7 @@ (portRef A0 (instanceRef un1_api_length_out_1_cry_3_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_3)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_4)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) )) (net buf_IPU_LENGTH_IN_lm_5 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_5)) @@ -109449,7 +109561,7 @@ (portRef A1 (instanceRef un1_api_length_out_1_cry_3_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_5)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_5)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) )) (net buf_IPU_LENGTH_IN_lm_6 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_6)) @@ -109459,8 +109571,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_6)) (portRef A0 (instanceRef un1_api_length_out_1_cry_5_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_5)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_6)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_6)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) )) (net buf_IPU_LENGTH_IN_lm_7 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_7)) @@ -109470,8 +109582,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_7)) (portRef A1 (instanceRef un1_api_length_out_1_cry_5_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_7)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_7)) - (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) )) (net buf_IPU_LENGTH_IN_lm_8 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_8)) @@ -109481,8 +109593,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_8)) (portRef A0 (instanceRef un1_api_length_out_1_cry_7_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_8)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_8)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) )) (net buf_IPU_LENGTH_IN_lm_9 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_9)) @@ -109493,19 +109605,12 @@ (portRef A1 (instanceRef un1_api_length_out_1_cry_7_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_9)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_9)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) )) (net buf_IPU_LENGTH_IN_lm_10 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_10)) (portRef D (instanceRef buf_IPU_LENGTH_IN_10)) )) - (net buf_IPU_LENGTH_IN_10 (joined - (portRef Q (instanceRef buf_IPU_LENGTH_IN_10)) - (portRef A0 (instanceRef un1_api_length_out_1_cry_9_0)) - (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_10)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) - )) (net buf_IPU_LENGTH_IN_lm_11 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_11)) (portRef D (instanceRef buf_IPU_LENGTH_IN_11)) @@ -109514,8 +109619,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_11)) (portRef A1 (instanceRef un1_api_length_out_1_cry_9_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_11)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_11)) - (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_11)) + (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) )) (net buf_IPU_LENGTH_IN_lm_12 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_12)) @@ -109526,7 +109631,7 @@ (portRef A0 (instanceRef un1_api_length_out_1_cry_11_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_11)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_12)) - (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) )) (net buf_IPU_LENGTH_IN_lm_13 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_13)) @@ -109536,8 +109641,8 @@ (portRef Q (instanceRef buf_IPU_LENGTH_IN_13)) (portRef A1 (instanceRef un1_api_length_out_1_cry_11_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_cry_0_13)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_13)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) + (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) )) (net buf_IPU_LENGTH_IN_lm_14 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_14)) @@ -109548,7 +109653,7 @@ (portRef A0 (instanceRef un1_api_length_out_1_cry_13_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_13)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_14)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) + (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) )) (net buf_IPU_LENGTH_IN_lm_15 (joined (portRef Z (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) @@ -109559,7 +109664,16 @@ (portRef A1 (instanceRef un1_api_length_out_1_cry_13_0)) (portRef A0 (instanceRef buf_IPU_LENGTH_IN_s_0_15)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_15)) - (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) + (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) + )) + (net N_5704_i (joined + (portRef Z (instanceRef state_RNI0NLM_0)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_27)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_22)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_21)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_18)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_17)) + (portRef SP (instanceRef buf_IPU_ERROR_PATTERN_IN_16)) )) (net buf_APL_ERROR_PATTERN_IN_0 (joined (portRef Q (instanceRef buf_IPU_ERROR_PATTERN_IN_16)) @@ -109605,18 +109719,12 @@ (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i)) (portRef D (instanceRef buf_API_SEND_OUT)) )) - (net reset_i_fast_1_i (joined - (portRef reset_i_fast_1_i) - (portRef D (instanceRef buf_API_READ_OUT)) - (portRef SP (instanceRef buf_API_SEND_OUT)) - (portRef SP (instanceRef make_compare)) - )) - (net buf_API_DATA_OUT_RNO_0 (joined + (net N_4906 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0)) (portRef D (instanceRef buf_API_DATA_OUT_0)) )) - (net N_254_0 (joined - (portRef Z (instanceRef un1_reset_5_i)) + (net N_5715_i (joined + (portRef Z (instanceRef un1_reset_5_i_i_0_RNIREU61)) (portRef SP (instanceRef buf_API_DATA_OUT_15)) (portRef SP (instanceRef buf_API_DATA_OUT_14)) (portRef SP (instanceRef buf_API_DATA_OUT_13)) @@ -109638,7 +109746,7 @@ (portRef Q (instanceRef buf_API_DATA_OUT_0)) (portRef (member buf_apl_data_in 15)) )) - (net N_4810 (joined + (net buf_API_DATA_OUT_RNO_1 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1)) (portRef D (instanceRef buf_API_DATA_OUT_1)) )) @@ -109670,7 +109778,7 @@ (portRef Q (instanceRef buf_API_DATA_OUT_4)) (portRef (member buf_apl_data_in 11)) )) - (net buf_API_DATA_OUT_RNO_5 (joined + (net N_22 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_5)) (portRef D (instanceRef buf_API_DATA_OUT_5)) )) @@ -109694,7 +109802,7 @@ (portRef Q (instanceRef buf_API_DATA_OUT_7)) (portRef (member buf_apl_data_in 8)) )) - (net N_4706 (joined + (net buf_API_DATA_OUT_RNO_8 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_8)) (portRef D (instanceRef buf_API_DATA_OUT_8)) )) @@ -109734,7 +109842,7 @@ (portRef Q (instanceRef buf_API_DATA_OUT_12)) (portRef (member buf_apl_data_in 3)) )) - (net buf_API_DATA_OUT_RNO_13 (joined + (net N_4900 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_13)) (portRef D (instanceRef buf_API_DATA_OUT_13)) )) @@ -109758,248 +109866,282 @@ (portRef Q (instanceRef buf_API_DATA_OUT_15)) (portRef (member buf_apl_data_in 0)) )) - (net buf_API_DATAREADY_OUT_5 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) + (net N_5713_i (joined + (portRef Z (instanceRef buf_API_DATAREADY_OUT_RNO)) (portRef D (instanceRef buf_API_DATAREADY_OUT)) )) - (net last_second_word_waiting (joined - (portRef Q (instanceRef last_second_word_waiting)) - (portRef B (instanceRef un1_state_4_m5_0_a2_0)) - (portRef B (instanceRef second_word_waiting_iv_i_a2_0)) - (portRef B (instanceRef last_second_word_waiting_RNIRGQG)) - (portRef last_second_word_waiting) - )) - (net N_4877 (joined - (portRef Z (instanceRef last_second_word_waiting_RNIRGQG)) - (portRef A (instanceRef state_RNI7NK53_1)) - (portRef A (instanceRef waiting_word_RNIHSE42)) - (portRef A (instanceRef ipu_read_before_RNO)) - (portRef A (instanceRef waiting_word_RNIIPH92)) - (portRef A (instanceRef second_word_waiting_iv_i)) - (portRef A (instanceRef state_RNIQVO41_0)) - (portRef N_4877) - )) - (net N_8_1 (joined - (portRef Z (instanceRef state_1_sqmuxa_0_a2)) - (portRef D (instanceRef state_ns_1_0__m14_am)) - (portRef D (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv)) - )) - (net N_4753 (joined - (portRef Z (instanceRef dhdr_counter_RNIVKBF_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_13)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_9)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef D (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) + (portRef D (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) + (portRef B (instanceRef second_word_waiting_iv_i_o3_0_o2)) + (portRef A (instanceRef buf_API_DATAREADY_OUT_RNI36KI1)) + )) + (net N_5720 (joined + (portRef Z (instanceRef second_word_waiting_iv_i_o3_0_o2)) + (portRef A (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef A (instanceRef waiting_word_RNO_0)) + (portRef A (instanceRef waiting_word_RNO)) + (portRef A (instanceRef second_word_waiting_iv_i_o3_0)) )) - (net N_4754 (joined - (portRef Z (instanceRef dhdr_counter_RNIUKBF_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_11)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (net N_98 (joined + (portRef Z (instanceRef ipu_read_before_RNIMUFL)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_8)) (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_13)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_8)) (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_14)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_15)) (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_11)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef A (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) + (portRef A (instanceRef reg_IPU_DATA_high_RNI39F41_0)) )) - (net N_4883 (joined - (portRef Z (instanceRef state_s3_0_a3_i_o2)) - (portRef A (instanceRef waiting_word_RNO_1)) - (portRef A (instanceRef un1_state_4_m5_0_a2_2)) + (net N_5721 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) )) - (net N_4917 (joined - (portRef Z (instanceRef ipu_read_before_RNIMUFL)) + (net N_5726 (joined + (portRef Z (instanceRef dhdr_counter_RNIUKBF_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_8)) + (portRef B (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) + (portRef B (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) + (portRef B (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_5)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_15)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_9)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_14)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_4)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_0)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_13)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_15)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_12)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_6)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_11)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_8)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_9)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_3)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef B (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) + )) + (net buf_API_DATA_OUT_12_iv_0_676_i_a2_6_0_a2_0_a3_0 (joined + (portRef Z (instanceRef dhdr_counter_RNIVUOA_0)) + (portRef B (instanceRef ipu_read_before_RNI438A1)) + )) + (net buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0 (joined + (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0)) + (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) + )) + (net MY_ADDRESS_13 (joined + (portRef (member my_address 2)) + (portRef A (instanceRef dhdr_counter_RNIGJIS_1)) + )) + (net N_4922 (joined + (portRef Z (instanceRef dhdr_counter_RNIGJIS_1)) + (portRef A (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) + )) + (net MY_ADDRESS_0 (joined + (portRef (member my_address 15)) + (portRef A (instanceRef dhdr_counter_RNICK5O_1)) + )) + (net N_4935 (joined + (portRef Z (instanceRef dhdr_counter_RNICK5O_1)) + (portRef A (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) + )) + (net MY_ADDRESS_8 (joined + (portRef (member my_address 7)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_8)) + )) + (net N_4866 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_8)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_8)) )) - (net second_word_waiting_iv_i_a2_0 (joined - (portRef Z (instanceRef second_word_waiting_iv_i_a2_0)) - (portRef B (instanceRef second_word_waiting_iv_i)) + (net MY_ADDRESS_3 (joined + (portRef (member my_address 12)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2_3)) + )) + (net N_4884 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_3)) + )) + (net N_5746 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_o2)) + (portRef B (instanceRef state_ns_1_0__N_18_i)) )) (net buf_APL_PACKET_NUM_OUT_5 (joined (portRef (member buf_apl_packet_num_out 0)) - (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a2_1)) + (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) )) (net buf_APL_READ_IN_1 (joined (portRef Q (instanceRef buf_API_READ_OUT)) - (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a2_1)) + (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) (portRef (member buf_apl_read_in 0)) )) (net buf_APL_TYP_OUT_0 (joined (portRef (member buf_apl_typ_out 2)) - (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a2_1)) + (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) )) - (net buf_INFORMATION_1_sqmuxa_0_a2_1 (joined - (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a2_1)) - (portRef D (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) - )) - (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18 (joined - (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_8_18)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + (net buf_APL_TYP_OUT_1 (joined + (portRef (member buf_apl_typ_out 1)) + (portRef D (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) )) - (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18 (joined - (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_9_18)) - (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + (net buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2 (joined + (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2_2)) + (portRef B (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2)) )) - (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18 (joined - (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_10_18)) - (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18 (joined + (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_8_18)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) )) (net buf_APL_LENGTH_IN_0 (joined (portRef Q (instanceRef buf_IPU_LENGTH_IN_0)) (portRef A1 (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_0)) - (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) + (portRef C (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) + (portRef A (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) (portRef buf_APL_LENGTH_IN_0) )) - (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18 (joined - (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_11_18)) + (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18 (joined + (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_9_18)) + (portRef B (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + )) + (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18 (joined + (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_10_18)) + (portRef C (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) + )) + (net buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18 (joined + (portRef Z (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_a2_0_a3_11_18)) (portRef D (instanceRef PROC_buffer_inputs_buf_IPU_ERROR_PATTERN_IN_5_0_i_18)) )) + (net buf_IPU_LENGTH_INlde_i_a3_1 (joined + (portRef Z (instanceRef first_ipu_read_RNI7EER)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) + )) + (net ipu_readout_finished_i (joined + (portRef ipu_readout_finished_i) + (portRef C (instanceRef state_RNI0NLM_0)) + (portRef B (instanceRef saved_IPU_READOUT_FINISHED_IN_fb)) + )) (net buf_APL_SEND_IN_1 (joined (portRef Q (instanceRef buf_API_SEND_OUT)) (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_SEND_OUT_1_0_i)) (portRef (member buf_apl_send_in 0)) )) - (net buf_APL_DATAREADY_OUT_1 (joined - (portRef (member buf_apl_dataready_out 0)) - (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) + (net N_5722 (joined + (portRef Z (instanceRef ipu_read_before_RNI438A1)) + (portRef A (instanceRef ipu_read_before_RNI3PTQ4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_3)) )) - (net buf_APL_TYP_OUT_1 (joined - (portRef (member buf_apl_typ_out 1)) - (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) + (net N_5723_i (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a2)) + (portRef B (instanceRef buf_API_DATAREADY_OUT_RNO)) + (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) + (portRef A (instanceRef un1_reset_5_i_i_0)) + (portRef A (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef A (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) + (portRef N_5723_i) )) - (net buf_APL_TYP_OUT_2 (joined - (portRef (member buf_apl_typ_out 0)) - (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) + (net N_5766 (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_0)) + (portRef C (instanceRef buf_API_DATAREADY_OUT_RNO)) )) - (net N_293 (joined - (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a2)) - (portRef C (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) - (portRef C (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) - (portRef C (instanceRef state_1_sqmuxa_1_0_a2)) + (net N_5822 (joined + (portRef Z (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2)) + (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) )) - (net MY_ADDRESS_11 (joined - (portRef (member my_address 4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (net N_5758 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_a3_1)) + (portRef C (instanceRef state_ns_1_0__m14_i)) )) - (net buf_API_DATA_OUT_12_iv_3_556_i_1_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_11)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_11)) + (net ipu_data_i_16 (joined + (portRef (member ipu_data_i 15)) + (portRef C1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_1_0)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_0)) + (portRef D (instanceRef reg_IPU_DATA_high_RNI39F41_0)) + (portRef D (instanceRef reg_IPU_DATA_high_0)) )) - (net buf_IPU_LENGTH_INlde_i_a2_3 (joined - (portRef Z (instanceRef first_ipu_read_RNIPC2R1)) - (portRef A (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) + (net buf_API_DATA_OUT_12_iv_14_116_i_2_0 (joined + (portRef Z (instanceRef reg_IPU_DATA_high_RNI39F41_0)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) )) - (net buf_API_DATA_OUT_12_iv_11_236_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_3)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (net ipu_data_i_29 (joined + (portRef (member ipu_data_i 2)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef D (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) + (portRef D (instanceRef reg_IPU_DATA_high_13)) )) - (net buf_API_DATA_OUT_12_iv_2_596_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_12)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (net buf_API_DATA_OUT_12_iv_1_636_i_2_0 (joined + (portRef Z (instanceRef reg_IPU_DATA_high_RNITUQ81_13)) + (portRef C (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) )) - (net buf_API_DATA_OUT_12_iv_5_476_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_9)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (net un1_reset_5_i_i_0 (joined + (portRef Z (instanceRef un1_reset_5_i_i_0)) + (portRef C (instanceRef un1_reset_5_i_i_0_RNIREU61)) )) - (net buf_API_DATA_OUT_12_iv_6_436_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (net N_4899_i_i_1_m3_1 (joined + (portRef Z (instanceRef first_ipu_read_RNIBCIU)) + (portRef B (instanceRef last_second_word_waiting_RNIKQVT1)) )) - (net MY_ADDRESS_10 (joined - (portRef (member my_address 5)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_10)) + (net buf_API_DATA_OUT_12_iv_11_236_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_3)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_3)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (net buf_API_DATA_OUT_12_iv_10_276_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_4)) )) (net buf_API_DATA_OUT_12_iv_12_196_i_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_2)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_2)) - )) - (net MY_ADDRESS_7 (joined - (portRef (member my_address 8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_7)) - )) - (net buf_API_DATA_OUT_12_iv_7_396_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_2)) )) - (net MY_ADDRESS_1 (joined - (portRef (member my_address 14)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_1)) + (net buf_API_DATA_OUT_12_iv_3_556_i_0_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_11)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_11)) )) (net buf_API_DATA_OUT_12_iv_13_156_i_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_1)) - )) - (net MY_ADDRESS_6 (joined - (portRef (member my_address 9)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_6)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_1)) )) - (net buf_API_DATA_OUT_12_iv_8_356_i_0 (joined + (net buf_API_DATA_OUT_12_iv_8_356_i_0_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_6)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_6)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_6)) )) - (net buf_API_DATA_OUT_12_iv_1_636_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_13)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (net buf_API_DATA_OUT_12_iv_4_516_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_10)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_10)) )) - (net buf_API_DATA_OUT_12_iv_14_116_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_0)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (net buf_API_DATA_OUT_12_iv_2_596_i_0_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_12)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_12)) )) - (net buf_API_DATA_OUT_12_iv_10_276_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (net buf_API_DATA_OUT_12_iv_716_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_15)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_15)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_0 (joined + (net buf_API_DATA_OUT_12_iv_0_676_i_0_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_14)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_14)) )) - (net buf_API_DATA_OUT_12_iv_716_i_0 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_15)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_15)) + (net buf_API_DATA_OUT_12_iv_5_476_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_9)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_9)) )) - (net buf_API_DATA_OUT_12_iv_9_316_i_0 (joined + (net buf_API_DATA_OUT_12_iv_9_316_i_0_0 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_5)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_5)) + )) + (net ipu_data_i_24 (joined + (portRef (member ipu_data_i 7)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_3_8)) + (portRef D (instanceRef reg_IPU_DATA_high_8)) + )) + (net buf_API_DATA_OUT_12_iv_6_436_i_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_8)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_8)) )) (net buf_IPU_LENGTH_IN_s_0 (joined (portRef S1 (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) @@ -110009,6 +110151,26 @@ (portRef (member ipu_length_i 15)) (portRef C (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) )) + (net reset_i_rep1 (joined + (portRef reset_i_rep1) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_14)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_13)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_12)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_11)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_10)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_9)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_8)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_7)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_6)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_5)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_4)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_3)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_2)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_1)) + (portRef D (instanceRef buf_IPU_LENGTH_IN_lm_0_0)) + (portRef A (instanceRef packet_number_RNO_0)) + )) (net buf_IPU_LENGTH_IN_s_1 (joined (portRef S0 (instanceRef buf_IPU_LENGTH_IN_cry_0_1)) (portRef A (instanceRef buf_IPU_LENGTH_IN_lm_0_1)) @@ -110129,473 +110291,383 @@ (portRef (member ipu_length_i 0)) (portRef C (instanceRef buf_IPU_LENGTH_IN_lm_0_15)) )) - (net N_13 (joined - (portRef Z (instanceRef state_ns_1_0__m12)) - (portRef C (instanceRef state_ns_1_0__m14_bm)) - (portRef D (instanceRef state_ns_1_0__N_18_i)) - )) - (net N_264_0 (joined - (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_o2_0_0)) - (portRef A (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef Z (instanceRef first_ipu_read_RNI4UO91)) - (portRef B (instanceRef waiting_word_RNO_0)) - (portRef B (instanceRef waiting_word_RNIHSE42)) - (portRef C (instanceRef waiting_word_RNO_1)) - (portRef B (instanceRef waiting_word_RNIIPH92)) - (portRef A (instanceRef waiting_word_RNI4DE12)) - (portRef B (instanceRef waiting_word_RNIRJ1U1)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) + (net N_5738 (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_o2_0)) + (portRef A (instanceRef dhdr_counter_RNO_1)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_a2_4_m2_2 (joined - (portRef Z (instanceRef waiting_word_RNI4DE12)) - (portRef B (instanceRef state_RNI7NK53_1)) - )) - (net un1_state_4_m5_0_a2_2 (joined - (portRef Z (instanceRef un1_state_4_m5_0_a2_2)) - (portRef C (instanceRef waiting_word_RNO_0)) + (net buf_API_DATA_OUT_12_iv_1_636_i_2 (joined + (portRef Z (instanceRef buf_IPU_LENGTH_IN_RNIICKP2_13)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_13)) )) - (net N_266_0 (joined - (portRef Z (instanceRef first_ipu_readc_0)) - (portRef A (instanceRef un1_reset_5_i)) + (net buf_API_DATA_OUT_12_iv_14_116_i_2 (joined + (portRef Z (instanceRef buf_IPU_LENGTH_IN_RNIUC2G2_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_0)) )) - (net buf_APL_PACKET_NUM_OUT_3 (joined - (portRef (member buf_apl_packet_num_out 2)) - (portRef A (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) - (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) - (portRef A (instanceRef state_1_sqmuxa_1_0_a2)) + (net MY_ADDRESS_4 (joined + (portRef (member my_address 11)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_4)) )) - (net buf_APL_PACKET_NUM_OUT_4 (joined - (portRef (member buf_apl_packet_num_out 1)) - (portRef B (instanceRef buf_NUMBER_1_sqmuxa_0_a3)) - (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3)) - (portRef B (instanceRef state_1_sqmuxa_1_0_a2)) + (net buf_API_DATA_OUT_12_iv_10_276_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_4)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_4)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef D (instanceRef ipu_read_before_RNO)) + (net MY_ADDRESS_2 (joined + (portRef (member my_address 13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_2)) )) - (net buf_api_stat_fifo_to_int_0 (joined - (portRef buf_api_stat_fifo_to_int_0) - (portRef B (instanceRef un1_reset_5_i_0)) - (portRef A (instanceRef state_ns_1_0__m14_am)) - (portRef B (instanceRef waiting_word_RNO_1)) - (portRef B (instanceRef un1_state_4_m5_0_a2_2)) + (net buf_API_DATA_OUT_12_iv_12_196_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_2)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_2)) )) - (net waiting_word_RNO_1 (joined - (portRef Z (instanceRef waiting_word_RNO_1)) - (portRef A (instanceRef waiting_word_RNO_0)) + (net MY_ADDRESS_11 (joined + (portRef (member my_address 4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_11)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_o2_0_1 (joined - (portRef Z (instanceRef ipu_read_before_RNIAKE12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_9)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_6)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3_13)) - )) - (net buf_API_DATA_OUT_12_iv_1_636_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_13)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (net buf_API_DATA_OUT_12_iv_3_556_i_0_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_11)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_11)) )) - (net buf_API_DATA_OUT_12_iv_1_636_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_13)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_13)) + (net MY_ADDRESS_1 (joined + (portRef (member my_address 14)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_1)) )) - (net buf_API_DATA_OUT_12_iv_7_396_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (net buf_API_DATA_OUT_12_iv_13_156_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_1)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_1)) )) - (net FF_23_RNICS201 (joined - (portRef FF_23_RNICS201) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_3)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_5)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_14)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_12)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (net MY_ADDRESS_6 (joined + (portRef (member my_address 9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_6)) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_7)) + (net buf_API_DATA_OUT_12_iv_8_356_i_0_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_6)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_6)) )) - (net buf_API_DATA_OUT_12_iv_7_396_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_7)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_7)) + (net MY_ADDRESS_10 (joined + (portRef (member my_address 5)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_10)) )) - (net buf_API_DATA_OUT_12_iv_2_596_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_12)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (net buf_API_DATA_OUT_12_iv_4_516_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_10)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_10)) )) - (net reset_i_fast_r8 (joined - (portRef reset_i_fast_r8) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_12)) - (portRef D (instanceRef second_word_waiting_iv_i)) + (net MY_ADDRESS_12 (joined + (portRef (member my_address 3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_12)) )) - (net buf_API_DATA_OUT_12_iv_2_596_i_a2_d (joined + (net buf_API_DATA_OUT_12_iv_2_596_i_0_1 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_12)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_12)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_14)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (net MY_ADDRESS_15 (joined + (portRef (member my_address 0)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_15)) )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_14)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (net buf_API_DATA_OUT_12_iv_716_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_15)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_15)) + )) + (net MY_ADDRESS_14 (joined + (portRef (member my_address 1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_14)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_a2_d (joined + (net buf_API_DATA_OUT_12_iv_0_676_i_0_1 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_14)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_14)) )) - (net buf_API_DATA_OUT_12_iv_10_276_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_4)) - )) - (net buf_API_DATA_OUT_12_iv_10_276_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_4)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_4)) - )) - (net buf_API_DATA_OUT_12_iv_8_356_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_6)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_6)) + (net MY_ADDRESS_9 (joined + (portRef (member my_address 6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_9)) )) - (net buf_API_DATA_OUT_12_iv_8_356_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_6)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_6)) + (net buf_API_DATA_OUT_12_iv_5_476_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_9)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_9)) )) - (net buf_API_DATA_OUT_12_iv_9_316_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_5)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (net MY_ADDRESS_5 (joined + (portRef (member my_address 10)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_5)) )) - (net buf_API_DATA_OUT_12_iv_9_316_i_a2_d (joined + (net buf_API_DATA_OUT_12_iv_9_316_i_0_1 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_5)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_5)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_10)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (net buf_API_DATA_OUT_12_iv_6_436_i_2 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_8)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_8)) )) - (net reset_i_fast_r9 (joined - (portRef reset_i_fast_r9) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_8)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1_5)) + (net N_5727 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0)) + (portRef A (instanceRef un1_reset_5_i_i_0_RNIREU61)) + (portRef B (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) + (portRef A (instanceRef state_ns_1_0__N_18_i)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I)) + (portRef A (instanceRef first_ipu_read_RNIG4QR)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_10)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_10)) + (net N_4951_i_0_0 (joined + (portRef Z (instanceRef first_ipu_read_RNIG4QR)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_0)) )) - (net buf_API_DATA_OUT_12_iv_11_236_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (net d_N_4_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o2_RNI50141)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef C (instanceRef ipu_read_before_RNI3PTQ4)) + )) + (net buf_API_DATAREADY_OUT_5_iv_i_a3_2 (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_buf_API_DATAREADY_OUT_5_iv_i_a3_2)) + (portRef D (instanceRef buf_API_DATAREADY_OUT_RNO)) + )) + (net N_5824 (joined + (portRef Z (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef D (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef A (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) + (portRef A (instanceRef state_1_sqmuxa_1_0_a2_0_a2)) )) - (net buf_API_DATA_OUT_12_iv_11_236_i_a2_d (joined + (net buf_APL_PACKET_NUM_OUT_3 (joined + (portRef (member buf_apl_packet_num_out 2)) + (portRef C (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef B (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) + (portRef B (instanceRef state_1_sqmuxa_1_0_a2_0_a2)) + (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0)) + )) + (net buf_API_DATA_OUT_12_iv_11_236_i_2 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_3)) (portRef C (instanceRef buf_API_DATA_OUT_RNO_3)) )) - (net buf_API_DATA_OUT_12_iv_5_476_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_9)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (net buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIO24I)) + (portRef A (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) )) - (net buf_API_DATA_OUT_12_iv_5_476_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_9)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_9)) - )) - (net buf_API_DATA_OUT_12_iv_716_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_15)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_15)) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef Z (instanceRef last_second_word_waiting_RNIKQVT1)) + (portRef B (instanceRef last_second_word_waiting_RNIPK9V2)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (portRef last_second_word_waiting_RNIKQVT1) )) - (net buf_API_DATA_OUT_12_iv_716_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_15)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_15)) + (net N_4862 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_8)) )) - (net buf_API_DATA_OUT_12_iv_6_436_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_8)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (net N_5756 (joined + (portRef Z (instanceRef state_ns_1_0__m14_i_a3)) + (portRef A (instanceRef state_ns_1_0__m14_i)) )) - (net buf_API_DATA_OUT_12_iv_6_436_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_8)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_8)) + (net reset_i_fast_r8 (joined + (portRef reset_i_fast_r8) + (portRef A (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef D (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a3)) + (portRef D (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3)) )) - (net buf_API_DATA_OUT_12_iv_14_116_i_a6_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_0)) + (net reset_i_fast_r3 (joined + (portRef reset_i_fast_r3) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef D (instanceRef ipu_read_before_RNI3PTQ4)) + (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_13)) (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (portRef A (instanceRef last_second_word_waiting_RNO)) )) - (net buf_API_DATA_OUT_12_iv_14_116_i_a6_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_0)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0)) + (net buf_API_DATA_OUT_12_iv_14_116_i_N_8 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0)) )) - (net buf_API_DATA_OUT_12_iv_13_156_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_1)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_0_1)) + (net buf_API_DATA_OUT_12_iv_1_636_i_N_8 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_13)) )) - (net buf_API_DATA_OUT_12_iv_13_156_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_1)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_1)) + (net buf_API_DATA_OUT_RNO_1_0 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_0)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_0)) )) - (net buf_API_DATA_OUT_12_iv_12_196_i_a2_c (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_3_2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (net buf_API_DATA_OUT_RNO_1_13 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_13)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_13)) )) - (net buf_API_DATA_OUT_12_iv_12_196_i_a2_d (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_2)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_2)) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef D (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNIJGMR1)) + (portRef D (instanceRef last_second_word_waiting_RNIKQVT1)) )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (joined - (portRef Z (instanceRef waiting_word_RNIHSE42)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i) + (net dhdr_counter_6_i_i_0_0 (joined + (portRef Z (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_i_0_0)) + (portRef B (instanceRef dhdr_counter_RNO_0)) )) - (net MY_ADDRESS_3 (joined - (portRef (member my_address 12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (net N_4839 (joined + (portRef Z (instanceRef ipu_read_before_RNI3PTQ4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_10)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_15)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_14)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_11)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_12)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_5)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_8)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_13)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0)) )) - (net buf_API_DATA_OUT_12_iv_11_236_i_2 (joined + (net N_4882 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_3)) + )) + (net N_5728 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_3)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_15)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_6)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_0_14)) )) - (net MY_ADDRESS_12 (joined - (portRef (member my_address 3)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef D (instanceRef un1_reset_5_i_i_0_RNIREU61)) + (portRef D (instanceRef buf_API_DATA_OUT_0_sqmuxa_1_i_0_o3_0_RNI36FU1)) )) - (net buf_API_DATA_OUT_12_iv_2_596_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_12)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_12)) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef D (instanceRef ipu_read_before_RNO_0)) + (portRef D (instanceRef dhdr_counter_RNO_1)) + (portRef A (instanceRef first_ipu_read_RNO)) )) - (net MY_ADDRESS_9 (joined - (portRef (member my_address 6)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (net ipu_data_i_30 (joined + (portRef (member ipu_data_i 1)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (portRef D (instanceRef reg_IPU_DATA_high_14)) )) - (net buf_API_DATA_OUT_12_iv_5_476_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_9)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_9)) + (net N_5808 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_14)) )) - (net MY_ADDRESS_8 (joined - (portRef (member my_address 7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_8)) + (net ipu_data_i_27 (joined + (portRef (member ipu_data_i 4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef D (instanceRef reg_IPU_DATA_high_11)) )) - (net buf_API_DATA_OUT_12_iv_6_436_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_8)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_8)) + (net N_5803 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_11)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_11)) )) - (net buf_API_DATA_OUT_12_iv_4_516_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_10)) + (net ipu_data_i_28 (joined + (portRef (member ipu_data_i 3)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (portRef D (instanceRef reg_IPU_DATA_high_12)) )) - (net MY_ADDRESS_2 (joined - (portRef (member my_address 13)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (net N_5795 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_12)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_12)) )) - (net buf_API_DATA_OUT_12_iv_12_196_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_2)) + (net ipu_data_i_21 (joined + (portRef (member ipu_data_i 10)) + (portRef D1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (portRef D (instanceRef reg_IPU_DATA_high_5)) )) - (net buf_API_DATA_OUT_12_iv_7_396_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_7)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_7)) + (net N_5790 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_5)) )) - (net buf_API_DATA_OUT_12_iv_13_156_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1)) + (net ipu_data_i_22 (joined + (portRef (member ipu_data_i 9)) + (portRef C0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_21_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_6)) + (portRef D (instanceRef reg_IPU_DATA_high_6)) )) - (net buf_API_DATA_OUT_12_iv_8_356_i_2 (joined + (net N_5785 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_6)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_6)) )) - (net MY_ADDRESS_13 (joined - (portRef (member my_address 2)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_13)) + (net ipu_data_i_20 (joined + (portRef (member ipu_data_i 11)) + (portRef C1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (portRef D (instanceRef reg_IPU_DATA_high_4)) )) - (net buf_API_DATA_OUT_12_iv_1_636_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_13)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_13)) + (net N_5779 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_4)) )) - (net MY_ADDRESS_0 (joined - (portRef (member my_address 15)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_0)) + (net ipu_data_i_18 (joined + (portRef (member ipu_data_i 13)) + (portRef C0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (portRef D (instanceRef reg_IPU_DATA_high_2)) )) - (net buf_API_DATA_OUT_12_iv_14_116_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_0)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0)) + (net N_4886 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_2)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_2)) )) - (net MY_ADDRESS_4 (joined - (portRef (member my_address 11)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_4)) + (net ipu_data_i_23 (joined + (portRef (member ipu_data_i 8)) + (portRef D0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_21_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (portRef D (instanceRef reg_IPU_DATA_high_7)) )) - (net buf_API_DATA_OUT_12_iv_10_276_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_4)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_4)) + (net N_4868 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_7)) )) - (net MY_ADDRESS_14 (joined - (portRef (member my_address 1)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_14)) + (net ipu_data_i_17 (joined + (portRef (member ipu_data_i 14)) + (portRef D1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_1_0)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_1)) + (portRef D (instanceRef reg_IPU_DATA_high_1)) )) - (net buf_API_DATA_OUT_12_iv_0_676_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_14)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_14)) + (net N_4817 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_1)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1)) )) - (net MY_ADDRESS_15 (joined - (portRef (member my_address 0)) + (net ipu_data_i_31 (joined + (portRef (member ipu_data_i 0)) (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_15)) + (portRef D (instanceRef reg_IPU_DATA_high_15)) )) - (net buf_API_DATA_OUT_12_iv_716_i_2 (joined + (net N_4788 (joined (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_15)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_15)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_15)) )) - (net MY_ADDRESS_5 (joined - (portRef (member my_address 10)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_5)) + (net ipu_data_i_26 (joined + (portRef (member ipu_data_i 5)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_10)) + (portRef D (instanceRef reg_IPU_DATA_high_10)) )) - (net buf_API_DATA_OUT_12_iv_9_316_i_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_5)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_5)) - )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef D (instanceRef un1_reset_5_i)) - (portRef D (instanceRef first_ipu_read_0_sqmuxa_0_a2_0_a2_RNIRKNU2)) - (portRef D (instanceRef state_RNI7NK53_1)) - (portRef D (instanceRef waiting_word_RNO_0)) - (portRef D (instanceRef waiting_word_RNIHSE42)) - )) - (net N_4710 (joined - (portRef Z (instanceRef state_RNI7NK53_1)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_11)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_2)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_3)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_6)) + (net N_4810 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_10)) (portRef A (instanceRef buf_API_DATA_OUT_RNO_10)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_9)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_13)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_4)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_12)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_14)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_7)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_5)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_0)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_15)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_8)) - (portRef A (instanceRef buf_API_DATA_OUT_RNO_1)) - )) - (net un1_reset_5_i_0 (joined - (portRef Z (instanceRef un1_reset_5_i_0)) - (portRef C (instanceRef un1_reset_5_i)) - )) - (net m14_am (joined - (portRef Z (instanceRef state_ns_1_0__m14_am)) - (portRef BLUT (instanceRef state_ns_1_0__m14)) - )) - (net m14_bm (joined - (portRef Z (instanceRef state_ns_1_0__m14_bm)) - (portRef ALUT (instanceRef state_ns_1_0__m14)) - )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef D (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_0)) - (portRef D (instanceRef PROC_STATE_MACHINE_dhdr_counter_6_i_1)) - )) - (net ipu_data_i_17 (joined - (portRef (member ipu_data_i 14)) - (portRef D1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_1_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_1)) - (portRef D (instanceRef reg_IPU_DATA_high_1)) - )) - (net ipu_data_i_24 (joined - (portRef (member ipu_data_i 7)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_8)) - (portRef D (instanceRef reg_IPU_DATA_high_8)) - )) - (net ipu_data_i_31 (joined - (portRef (member ipu_data_i 0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_15)) - (portRef D (instanceRef reg_IPU_DATA_high_15)) - )) - (net ipu_data_i_16 (joined - (portRef (member ipu_data_i 15)) - (portRef C1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_1_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_0)) - (portRef D (instanceRef reg_IPU_DATA_high_0)) - )) - (net ipu_data_i_21 (joined - (portRef (member ipu_data_i 10)) - (portRef D1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_5)) - (portRef D (instanceRef reg_IPU_DATA_high_5)) - )) - (net ipu_data_i_23 (joined - (portRef (member ipu_data_i 8)) - (portRef D0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_21_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_7)) - (portRef D (instanceRef reg_IPU_DATA_high_7)) - )) - (net ipu_data_i_30 (joined - (portRef (member ipu_data_i 1)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_14)) - (portRef D (instanceRef reg_IPU_DATA_high_14)) - )) - (net ipu_data_i_28 (joined - (portRef (member ipu_data_i 3)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_12)) - (portRef D (instanceRef reg_IPU_DATA_high_12)) - )) - (net ipu_data_i_20 (joined - (portRef (member ipu_data_i 11)) - (portRef C1 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_4)) - (portRef D (instanceRef reg_IPU_DATA_high_4)) - )) - (net ipu_data_i_29 (joined - (portRef (member ipu_data_i 2)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_13)) - (portRef D (instanceRef reg_IPU_DATA_high_13)) )) (net ipu_data_i_25 (joined (portRef (member ipu_data_i 6)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_9)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_0_9)) (portRef D (instanceRef reg_IPU_DATA_high_9)) )) - (net ipu_data_i_26 (joined - (portRef (member ipu_data_i 5)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_10)) - (portRef D (instanceRef reg_IPU_DATA_high_10)) + (net N_4805 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_0_9)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_9)) )) - (net ipu_data_i_22 (joined - (portRef (member ipu_data_i 9)) - (portRef C0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_21_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_6)) - (portRef D (instanceRef reg_IPU_DATA_high_6)) + (net state_1_sqmuxa_2 (joined + (portRef Z (instanceRef state_1_sqmuxa_2_0_a3_0_a3)) + (portRef B (instanceRef buf_TYPEe_2)) + (portRef B (instanceRef buf_TYPEe_1)) + (portRef B (instanceRef buf_TYPEe_3)) + (portRef B (instanceRef buf_TYPEe_0)) + (portRef D (instanceRef state_ns_1_0__m14_i)) + )) + (net buf_API_DATA_OUT_12_iv_7_396_i_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_7)) + (portRef C (instanceRef buf_API_DATA_OUT_RNO_7)) )) (net ipu_data_i_19 (joined (portRef (member ipu_data_i 12)) @@ -110603,33 +110675,13 @@ (portRef D (instanceRef buf_API_DATA_OUT_RNO_3)) (portRef D (instanceRef reg_IPU_DATA_high_3)) )) - (net ipu_data_i_18 (joined - (portRef (member ipu_data_i 13)) - (portRef C0 (instanceRef gen_check_PROC_compare_un20_make_compare_0_I_9_0)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_2)) - (portRef D (instanceRef reg_IPU_DATA_high_2)) - )) - (net buf_API_DATA_OUT_12_iv_3_556_i_1_1 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_11)) - (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_11)) - )) - (net buf_API_DATA_OUT_12_iv_3_556_i_1_2 (joined - (portRef Z (instanceRef buf_API_DATA_OUT_RNO_1_11)) - (portRef C (instanceRef buf_API_DATA_OUT_RNO_11)) - )) - (net ipu_data_i_27 (joined - (portRef (member ipu_data_i 4)) - (portRef D (instanceRef buf_API_DATA_OUT_RNO_11)) - (portRef D (instanceRef reg_IPU_DATA_high_11)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_i_o2_0_1_1 (joined - (portRef Z (instanceRef dhdr_counter_RNI5GV11_1)) - (portRef B (instanceRef ipu_read_before_RNIAKE12)) + (net buf_API_DATA_OUT_12_iv_7_396_i_1_1 (joined + (portRef Z (instanceRef buf_API_DATA_OUT_RNO_2_7)) + (portRef B (instanceRef buf_API_DATA_OUT_RNO_1_7)) )) - (net ipu_readout_type_i_1 (joined - (portRef Q (instanceRef buf_TYPE_1)) - (portRef C (instanceRef buf_TYPEe_1)) - (portRef (member ipu_readout_type_i 2)) + (net MY_ADDRESS_7 (joined + (portRef (member my_address 8)) + (portRef A (instanceRef buf_API_DATA_OUT_RNO_1_7)) )) (net ipu_readout_type_i_0 (joined (portRef Q (instanceRef buf_TYPE_0)) @@ -110641,25 +110693,34 @@ (portRef C (instanceRef buf_TYPEe_3)) (portRef (member ipu_readout_type_i 0)) )) + (net ipu_readout_type_i_1 (joined + (portRef Q (instanceRef buf_TYPE_1)) + (portRef C (instanceRef buf_TYPEe_1)) + (portRef (member ipu_readout_type_i 2)) + )) (net ipu_readout_type_i_2 (joined (portRef Q (instanceRef buf_TYPE_2)) (portRef C (instanceRef buf_TYPEe_2)) (portRef (member ipu_readout_type_i 1)) )) - (net m166_2_03_4_i_4 (joined - (portRef m166_2_03_4_i_4) - (portRef B (instanceRef first_ipu_readc_2)) - (portRef B (instanceRef waiting_word_RNILP643)) + (net N_39_i_1 (joined + (portRef Z (instanceRef ipu_read_before_RNO_0)) + (portRef B (instanceRef ipu_read_before_RNO)) )) - (net m161_2_03 (joined - (portRef m161_2_03) - (portRef C (instanceRef first_ipu_readc_2)) - (portRef C (instanceRef waiting_word_RNILP643)) + (net buf_APL_PACKET_NUM_OUT_4 (joined + (portRef (member buf_apl_packet_num_out 1)) + (portRef A (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a3_0)) )) - (net suppress_output_fast (joined - (portRef suppress_output_fast) - (portRef D (instanceRef first_ipu_readc_2)) - (portRef D (instanceRef waiting_word_RNILP643)) + (net buf_APL_TYP_OUT_2 (joined + (portRef (member buf_apl_typ_out 0)) + (portRef C (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef B (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2)) + )) + (net buf_APL_DATAREADY_OUT_1 (joined + (portRef (member buf_apl_dataready_out 0)) + (portRef D (instanceRef buf_NUMBER_1_sqmuxa_0_a3_0_a2)) + (portRef A (instanceRef buf_INFORMATION_1_sqmuxa_0_a3_0_a2)) )) (net buf_IPU_LENGTH_IN_cry_0 (joined (portRef COUT (instanceRef buf_IPU_LENGTH_IN_cry_0_0)) @@ -111047,34 +111108,35 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename apl_to_buf_reply_data "apl_to_buf_REPLY_DATA(15:0)") 16) (direction OUTPUT)) (port (array (rename apl_to_buf_reply_dataready "apl_to_buf_REPLY_DATAREADY(0:0)") 1) (direction OUTPUT)) + (port reset_i_fast_r9 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) (port reset_i_fast_r7 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_fast_r6 (direction INPUT)) (port signal_sync_1 (direction INPUT)) (port signal_sync_0 (direction INPUT)) (port signal_sync (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) (port reset_i_rep1 (direction INPUT)) (port buf_LVL1_TRG_RELEASE_IN (direction INPUT)) (port GND (direction INPUT)) (port reg_TRG_RECEIVED_OUT_Q (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) (port int_dataready_in_i (direction INPUT)) (port reg_INT_READ_OUT (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port reset_i_fast_r3 (direction INPUT)) + (port reset_i_fast_r2 (direction INPUT)) ) (contents - (instance transfer_counter_RNI06881_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance transfer_counter_RNISH781_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (!B+A))+D (!B+A))")) ) - (instance buf_INT_DATAREADY_OUT_RNIU6101 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !A+D (C (!B !A)))")) - ) (instance buf_INT_DATAREADY_OUT_RNI9VSM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C A+C (B A)))")) ) + (instance buf_INT_DATAREADY_OUT_RNIRM001 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (C (!B !A)))")) + ) (instance buf_INT_DATA_OUT_1_i_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (C (!B A)))")) ) @@ -111294,22 +111356,22 @@ ) (instance buf_INT_DATAREADY_OUT (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance buf_INT_DATA_OUT_1_i_0_o2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_o2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance buf_INT_DATA_OUT_1_i_0_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+!A)+C !A)")) ) - (instance buf_INT_DATA_OUT_1_0_0_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_0_o2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance int_packet_num_in_0_a2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance int_packet_num_in_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) - (instance next_send_trm_1_sqmuxa_i_0_a5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_send_trm_1_sqmuxa_i_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C !A)")) ) (instance buf_TRG_ERROR_PATTERN_IN_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -111384,13 +111446,13 @@ (instance buf_TRG_ERROR_PATTERN_IN_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A))")) ) - (instance next_send_trm_1_sqmuxa_i_0_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+!B)+D (C+(!B !A)))")) - ) (instance REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C (!B+A))")) ) - (instance reg_TRG_INFORMATION_OUT_1_i_o2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_send_trm_0_sqmuxa_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D (C+(!B !A)))")) + ) + (instance reg_TRG_INFORMATION_OUT_1_i_o2_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(B+!A)))")) ) (instance buf_TRG_ERROR_PATTERN_IN_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -111417,79 +111479,79 @@ (instance buf_INT_DATAREADY_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_a5_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance buf_INT_DATA_OUT_1_0_0_0_a5_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance buf_INT_DATA_OUT_1_0_0_a5_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance buf_INT_DATA_OUT_1_0_0_a2_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_0_a2_0_6_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance buf_INT_DATA_OUT_1_i_0_a5_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_a3_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_0_a2_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A)))")) ) (instance buf_INT_DATA_OUT_1_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C (!B !A)+C (!B+A)))")) ) + (instance buf_INT_DATA_OUT_1_i_i_0_4_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C (!B+A)+C (!B !A)))")) + ) + (instance buf_INT_DATA_OUT_1_i_i_0_5_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C (!B+A)+C (!B !A)))")) + ) + (instance buf_INT_DATA_OUT_1_0_0_6_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C (!B+A)+C (!B !A)))")) + ) (instance send_trm_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !A+D (!C !A+C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_i_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (!C A))")) ) - (instance reg_TRG_RECEIVED_OUT_1_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_RECEIVED_OUT_1_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+A))")) ) - (instance reg_TRG_INFORMATION_OUT_1_i_o2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_NUMBER_OUT_1_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) + ) + (instance reg_TRG_INFORMATION_OUT_1_i_o2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance reg_TRG_INFORMATION_OUT_1_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_CODE_OUT_1_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+A))")) ) - (instance reg_TRG_NUMBER_OUT_1_i_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B+A))")) - ) (instance transfer_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_0_a3_10_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_a5_10_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_i_a3_11_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_a5_8_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_i_a3_9_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_a5_4_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_i_a3_8_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_i_a3_7_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance buf_INT_DATA_OUT_1_i_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) - (instance buf_INT_DATA_OUT_1_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_INT_DATA_OUT_1_i_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!B A))")) ) (instance transfer_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -111498,59 +111560,59 @@ (instance buf_INT_DATA_OUT_1_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(!B A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_0_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (C A))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (C A))")) ) - (instance buf_INT_DATA_OUT_1_0_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (C A))")) ) (instance REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B !A)+C A))")) ) + (instance buf_INT_DATA_OUT_1_i_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) + ) (instance buf_INT_DATA_OUT_1_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) - ) - (instance buf_INT_DATA_OUT_1_0_0_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance buf_INT_DATA_OUT_1_0_0_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance buf_INT_DATA_OUT_1_i_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) (instance buf_INT_DATA_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) @@ -111570,7 +111632,7 @@ (instance buf_INT_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) ) - (instance reg_TRG_RECEIVED_OUT_1_i_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_TRG_RECEIVED_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A)+D (!C !B))")) ) (instance reg_TRG_INFORMATION_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -111708,55 +111770,55 @@ (instance seqnrce_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (net N_5883 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_o2_2)) + (net N_6370 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1)) (portRef A (instanceRef transfer_counter_RNO_0)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_12)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_13)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_14)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_2)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_3)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0_0)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) (portRef A (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) - (portRef B (instanceRef transfer_counter_RNI06881_2)) + (portRef B (instanceRef transfer_counter_RNISH781_2)) )) (net apl_to_buf_REPLY_PACKET_NUM_2 (joined (portRef Q (instanceRef transfer_counter_2)) (portRef C (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0)) (portRef D (instanceRef transfer_counter_RNO_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) - (portRef A (instanceRef next_send_trm_1_sqmuxa_i_0_a5_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_o2_4)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_o2_0_1)) - (portRef C (instanceRef transfer_counter_RNI06881_2)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) + (portRef A (instanceRef next_send_trm_1_sqmuxa_i_a3_0)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_o2_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1_1)) + (portRef C (instanceRef transfer_counter_RNISH781_2)) )) (net apl_to_buf_REPLY_PACKET_NUM_1 (joined (portRef Q (instanceRef transfer_counter_1)) (portRef B (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) (portRef C (instanceRef transfer_counter_RNO_0)) (portRef B (instanceRef transfer_counter_RNO_1)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) (portRef C (instanceRef send_trm_RNO)) (portRef C (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_o2_4)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_o2_0_1)) - (portRef D (instanceRef transfer_counter_RNI06881_2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_o2_6)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1_1)) + (portRef D (instanceRef transfer_counter_RNISH781_2)) )) - (net N_5893 (joined - (portRef Z (instanceRef transfer_counter_RNI06881_2)) + (net N_6379 (joined + (portRef Z (instanceRef transfer_counter_RNISH781_2)) (portRef A (instanceRef buf_INT_DATA_OUT_RNO_12)) (portRef A (instanceRef buf_INT_DATA_OUT_RNO_13)) (portRef A (instanceRef buf_INT_DATA_OUT_RNO_14)) @@ -111764,83 +111826,80 @@ (portRef A (instanceRef buf_INT_DATA_OUT_RNO_2)) (portRef A (instanceRef buf_INT_DATA_OUT_RNO_3)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef A (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) - )) - (net N_6064 (joined - (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_1_8)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) - )) (net apl_to_buf_REPLY_PACKET_NUM_0 (joined (portRef Q (instanceRef transfer_counter_0)) (portRef B (instanceRef transfer_counter_RNO_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_12)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_13)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_14)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_2)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_3)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) (portRef B (instanceRef send_trm_RNO)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) (portRef B (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_o2_4)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_o2_6)) (portRef A (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) )) + (net N_6627 (joined + (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_12)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_13)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_14)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_15)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_2)) + (portRef B (instanceRef buf_INT_DATA_OUT_RNO_3)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0)) + (portRef A (instanceRef transfer_counter_RNO_1)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) + )) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef A (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) + )) (net reg_INT_READ_OUT (joined (portRef reg_INT_READ_OUT) - (portRef C (instanceRef next_send_trm_1_sqmuxa_i_0_a5_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_o2_2)) + (portRef C (instanceRef next_send_trm_1_sqmuxa_i_a3_0)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1)) (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) + (portRef B (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) (portRef B (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) - (portRef B (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) )) (net int_dataready_in_i (joined (portRef int_dataready_in_i) - (portRef B (instanceRef next_send_trm_1_sqmuxa_i_0_a5_0)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_o2_2)) + (portRef B (instanceRef next_send_trm_1_sqmuxa_i_a3_0)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1)) (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) + (portRef C (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) (portRef C (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) - (portRef C (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) )) (net apl_to_buf_REPLY_DATAREADY_0 (joined (portRef Q (instanceRef buf_INT_DATAREADY_OUT)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_o2_2)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1)) (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) + (portRef D (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) (portRef D (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) - (portRef D (instanceRef buf_INT_DATAREADY_OUT_RNIU6101)) (portRef (member apl_to_buf_reply_dataready 0)) )) - (net N_6065 (joined - (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNI9VSM)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_12)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_13)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_14)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_15)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_2)) - (portRef B (instanceRef buf_INT_DATA_OUT_RNO_3)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_0)) - (portRef A (instanceRef transfer_counter_RNO_1)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) + (net N_6626 (joined + (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNIRM001)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_8)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_9)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_11)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_10)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_7)) )) - (net N_5982 (joined + (net N_6572 (joined (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_1)) )) - (net N_4953_i (joined + (net N_109_i (joined (portRef Z (instanceRef transfer_counter_RNO_0)) (portRef D (instanceRef transfer_counter_0)) )) - (net N_199_i_0_i (joined + (net N_418_i_i (joined (portRef Z (instanceRef transfer_counter_RNO_1)) (portRef D (instanceRef transfer_counter_1)) )) @@ -111983,253 +112042,253 @@ )) (net seqnr_0 (joined (portRef Q (instanceRef seqnr_0)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) )) (net seqnr_1 (joined (portRef Q (instanceRef seqnr_1)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) )) (net seqnr_2 (joined (portRef Q (instanceRef seqnr_2)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) )) (net seqnr_3 (joined (portRef Q (instanceRef seqnr_3)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) )) (net seqnr_4 (joined (portRef Q (instanceRef seqnr_4)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) )) (net seqnr_5 (joined (portRef Q (instanceRef seqnr_5)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) )) (net seqnr_6 (joined (portRef Q (instanceRef seqnr_6)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) )) (net seqnr_7 (joined (portRef Q (instanceRef seqnr_7)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) )) - (net N_4923_i (joined + (net N_6315_i (joined (portRef Z (instanceRef send_trm_RNO)) (portRef D (instanceRef send_trm)) )) (net send_trm (joined (portRef Q (instanceRef send_trm)) (portRef B (instanceRef buf_INT_DATAREADY_OUT_RNO)) - (portRef B (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (portRef B (instanceRef next_send_trm_0_sqmuxa_i_o3)) )) (net int_packet_num_in (joined - (portRef Z (instanceRef int_packet_num_in_0_a2_0_a5)) + (portRef Z (instanceRef int_packet_num_in_0_a3)) (portRef SP (instanceRef saved_packet_type_2)) (portRef SP (instanceRef saved_packet_type_1)) (portRef SP (instanceRef saved_packet_type_0)) )) (net saved_packet_type_0 (joined (portRef Q (instanceRef saved_packet_type_0)) - (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) + (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) )) (net saved_packet_type_1 (joined (portRef Q (instanceRef saved_packet_type_1)) - (portRef D (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) + (portRef D (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) )) (net saved_packet_type_2 (joined (portRef Q (instanceRef saved_packet_type_2)) - (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0)) + (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13)) )) - (net N_5642_i (joined + (net N_6321_i (joined (portRef Z (instanceRef reg_TRG_TYPE_OUT_RNO_0)) (portRef D (instanceRef reg_TRG_TYPE_OUT_0)) )) - (net N_5004_i (joined + (net N_6301_i (joined (portRef Z (instanceRef reg_TRG_TYPE_OUT_RNO_1)) (portRef D (instanceRef reg_TRG_TYPE_OUT_1)) )) - (net N_5005_i (joined + (net N_6322_i (joined (portRef Z (instanceRef reg_TRG_TYPE_OUT_RNO_2)) (portRef D (instanceRef reg_TRG_TYPE_OUT_2)) )) - (net N_5643_i (joined + (net N_6323_i (joined (portRef Z (instanceRef reg_TRG_TYPE_OUT_RNO_3)) (portRef D (instanceRef reg_TRG_TYPE_OUT_3)) )) - (net N_40 (joined - (portRef Z (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (net reg_TRG_RECEIVED_OUT_1_0 (joined + (portRef Z (instanceRef reg_TRG_RECEIVED_OUT_1_0)) (portRef D (instanceRef reg_TRG_RECEIVED_OUT)) )) - (net N_5644_i (joined + (net N_6324_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_0)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_0)) )) - (net N_5006_i (joined + (net N_6302_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_1)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_1)) )) - (net N_5007_i (joined + (net N_6325_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_2)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_2)) )) - (net N_5645_i (joined + (net N_6326_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_3)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_3)) )) - (net N_5646_i (joined + (net N_5585_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_4)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_4)) )) - (net N_5647_i (joined + (net N_6278_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_5)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_5)) )) - (net N_5008_i (joined + (net N_6286_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_6)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_6)) )) - (net N_5009_i (joined + (net N_6287_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_7)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_7)) )) - (net N_5669_i (joined + (net N_6303_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_8)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_8)) )) - (net N_5010_i (joined + (net N_6327_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_9)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_9)) )) - (net N_5670_i (joined + (net N_6288_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_10)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_10)) )) - (net N_5011_i (joined + (net N_6289_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_11)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_11)) )) - (net N_5671_i (joined + (net N_6304_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_12)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_12)) )) - (net N_5672_i (joined + (net N_6305_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_13)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_13)) )) - (net N_5673_i (joined + (net N_6306_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_14)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_14)) )) - (net N_5674_i (joined + (net N_6307_i (joined (portRef Z (instanceRef reg_TRG_NUMBER_OUT_RNO_15)) (portRef D (instanceRef reg_TRG_NUMBER_OUT_15)) )) - (net N_5879_i (joined + (net N_6290_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_0)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_0)) )) - (net N_5658_i (joined + (net N_6316_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_1)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_1)) )) - (net N_5659_i (joined + (net N_6281_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_2)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_2)) )) - (net N_5000_i (joined + (net N_6317_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_3)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_3)) )) - (net N_5660_i (joined + (net N_6291_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_4)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_4)) )) - (net N_5661_i (joined + (net N_51_i_0 (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_5)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_5)) )) - (net N_5662_i (joined + (net N_6292_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_6)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_6)) )) - (net N_5663_i (joined + (net N_6293_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_7)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_7)) )) - (net N_5637_i (joined + (net N_6318_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_8)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_8)) )) - (net N_5638_i (joined + (net N_6294_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_9)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_9)) )) - (net N_5639_i (joined + (net N_6295_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_10)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_10)) )) - (net N_43_i_0 (joined + (net N_6319_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_11)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_11)) )) - (net N_45_i (joined + (net N_5584_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_12)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_12)) )) - (net N_5640_i (joined + (net N_6277_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_13)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_13)) )) - (net N_5641_i (joined + (net N_6282_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_14)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_14)) )) - (net N_5001_i (joined + (net N_6283_i (joined (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_RNO_15)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_15)) )) - (net N_5648_i (joined + (net N_142_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_0)) (portRef D (instanceRef reg_TRG_CODE_OUT_0)) )) - (net N_5649_i (joined + (net N_6308_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_1)) (portRef D (instanceRef reg_TRG_CODE_OUT_1)) )) - (net N_5650_i (joined + (net N_6279_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_2)) (portRef D (instanceRef reg_TRG_CODE_OUT_2)) )) - (net N_5651_i (joined + (net N_144_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_3)) (portRef D (instanceRef reg_TRG_CODE_OUT_3)) )) - (net N_5652_i (joined + (net N_5564_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_4)) (portRef D (instanceRef reg_TRG_CODE_OUT_4)) )) - (net N_5653_i (joined + (net N_6280_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_5)) (portRef D (instanceRef reg_TRG_CODE_OUT_5)) )) - (net N_5654_i (joined + (net N_6309_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_6)) (portRef D (instanceRef reg_TRG_CODE_OUT_6)) )) - (net N_5012_i (joined + (net N_6310_i (joined (portRef Z (instanceRef reg_TRG_CODE_OUT_RNO_7)) (portRef D (instanceRef reg_TRG_CODE_OUT_7)) )) - (net N_4925_i (joined + (net N_5565_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_1)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_1)) )) (net buf_TRG_ERROR_PATTERN_IN_1 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_1)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_1)) )) - (net N_4926_i (joined + (net N_5566_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_2)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_2)) )) @@ -112238,7 +112297,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_2)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_2)) )) - (net N_4927_i (joined + (net N_5567_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_3)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_3)) )) @@ -112247,79 +112306,79 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_3)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_3)) )) - (net N_4928_i (joined + (net N_5568_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_4)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_4)) )) (net buf_TRG_ERROR_PATTERN_IN_4 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_4)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_4)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_4)) )) - (net N_23_i (joined + (net N_5586_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_5)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_5)) )) (net buf_TRG_ERROR_PATTERN_IN_5 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_5)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_5)) )) - (net N_4929_i (joined + (net N_69_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_6)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_6)) )) (net buf_TRG_ERROR_PATTERN_IN_6 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_6)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_6)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_6)) )) - (net N_4930_i (joined + (net N_71_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_7)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_7)) )) (net buf_TRG_ERROR_PATTERN_IN_7 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_7)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_7)) )) - (net N_4931_i (joined + (net N_5591_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_8)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_8)) )) (net buf_TRG_ERROR_PATTERN_IN_8 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_8)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_8)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_8)) )) - (net N_4932_i (joined + (net N_5595_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_9)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_9)) )) (net buf_TRG_ERROR_PATTERN_IN_9 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_9)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_9)) )) - (net N_4933_i (joined + (net N_73_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_10)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_10)) )) (net buf_TRG_ERROR_PATTERN_IN_10 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_10)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_10)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_1_10)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_10)) )) - (net N_4934_i (joined + (net N_5596_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_11)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_11)) )) (net buf_TRG_ERROR_PATTERN_IN_11 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_11)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_11)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_11)) )) - (net N_4935_i (joined + (net N_5569_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_12)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_12)) )) @@ -112328,7 +112387,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_12)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_12)) )) - (net N_4936_i (joined + (net N_5570_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_13)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_13)) )) @@ -112337,7 +112396,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_13)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_13)) )) - (net N_4937_i (joined + (net N_5571_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_14)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_14)) )) @@ -112346,7 +112405,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_14)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_14)) )) - (net N_5675_i (joined + (net N_5572_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_15)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_15)) )) @@ -112355,7 +112414,7 @@ (portRef D (instanceRef buf_INT_DATA_OUT_RNO_15)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_15)) )) - (net N_4938_i (joined + (net N_5573_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_16)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_16)) )) @@ -112364,16 +112423,16 @@ (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_0)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_16)) )) - (net N_4939_i (joined + (net N_5597_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_17)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_17)) )) (net buf_TRG_ERROR_PATTERN_IN_17 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_17)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_17)) )) - (net N_4940_i (joined + (net N_5574_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_18)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_18)) )) @@ -112382,115 +112441,115 @@ (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_2)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_18)) )) - (net N_4941_i (joined + (net N_5575_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_19)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_19)) )) (net buf_TRG_ERROR_PATTERN_IN_19 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_19)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_3)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_19)) )) - (net N_5880_i (joined + (net N_5576_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_20)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_20)) )) (net buf_TRG_ERROR_PATTERN_IN_20 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_20)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_4)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_20)) )) - (net N_5013_i (joined + (net N_75_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_21)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_21)) )) (net buf_TRG_ERROR_PATTERN_IN_21 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_21)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_5)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_21)) )) - (net N_5014_i (joined + (net N_5587_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_22)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_22)) )) (net buf_TRG_ERROR_PATTERN_IN_22 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_22)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_6)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_22)) )) - (net N_4943_i (joined + (net N_79_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_23)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_23)) )) (net buf_TRG_ERROR_PATTERN_IN_23 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_23)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_23)) )) - (net N_38_i_0 (joined + (net N_5592_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_24)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_24)) )) (net buf_TRG_ERROR_PATTERN_IN_24 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_24)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_1_8)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_24)) )) - (net N_4944_i (joined + (net N_5598_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_25)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_25)) )) (net buf_TRG_ERROR_PATTERN_IN_25 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_25)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_25)) )) - (net N_4945_i (joined + (net N_5588_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_26)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_26)) )) (net buf_TRG_ERROR_PATTERN_IN_26 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_26)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_1_10)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_26)) )) - (net N_4946_i (joined + (net N_5599_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_27)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_27)) )) (net buf_TRG_ERROR_PATTERN_IN_27 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_27)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_27)) )) - (net N_4947_i (joined + (net N_5577_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_28)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_28)) )) (net buf_TRG_ERROR_PATTERN_IN_28 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_28)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_12)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_28)) )) - (net N_4948_i (joined + (net N_5578_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_29)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_29)) )) (net buf_TRG_ERROR_PATTERN_IN_29 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_29)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_13)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_29)) )) - (net N_4949_i (joined + (net N_5579_i (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_30)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_30)) )) (net buf_TRG_ERROR_PATTERN_IN_30 (joined (portRef Q (instanceRef buf_TRG_ERROR_PATTERN_IN_30)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_14)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_30)) )) - (net N_4950_i (joined + (net N_50_i_0 (joined (portRef Z (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_31)) (portRef D (instanceRef buf_TRG_ERROR_PATTERN_IN_31)) )) @@ -112503,7 +112562,7 @@ (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0)) (portRef D (instanceRef buf_INT_DATA_OUT_0)) )) - (net buf_INT_DATA_OUT_1_i_0_1 (joined + (net N_105 (joined (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_1)) (portRef D (instanceRef buf_INT_DATA_OUT_1)) )) @@ -112512,103 +112571,103 @@ (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_RNO_1)) (portRef (member apl_to_buf_reply_data 14)) )) - (net N_4924_i (joined + (net N_5557_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_2)) (portRef D (instanceRef buf_INT_DATA_OUT_2)) )) - (net N_5875_i (joined + (net N_5558_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_3)) (portRef D (instanceRef buf_INT_DATA_OUT_3)) )) - (net buf_INT_DATA_OUT_1_0_0_4 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_4)) + (net buf_INT_DATA_OUT_1_i_i_4 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_4)) (portRef D (instanceRef buf_INT_DATA_OUT_4)) )) - (net buf_INT_DATA_OUT_1_0_0_0_5 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) + (net N_354 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_5)) (portRef D (instanceRef buf_INT_DATA_OUT_5)) )) - (net buf_INT_DATA_OUT_1_0_0_0_6 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) + (net buf_INT_DATA_OUT_1_0_6 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_6)) (portRef D (instanceRef buf_INT_DATA_OUT_6)) )) - (net buf_INT_DATA_OUT_1_0_0_0_7 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) + (net buf_INT_DATA_OUT_1_i_i_7 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_7)) (portRef D (instanceRef buf_INT_DATA_OUT_7)) )) - (net buf_INT_DATA_OUT_1_0_8 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_8)) + (net buf_INT_DATA_OUT_1_i_i_8 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_8)) (portRef D (instanceRef buf_INT_DATA_OUT_8)) )) - (net buf_INT_DATA_OUT_1_0_0_0_9 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) + (net buf_INT_DATA_OUT_1_i_i_9 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_9)) (portRef D (instanceRef buf_INT_DATA_OUT_9)) )) - (net buf_INT_DATA_OUT_1_0_0_10 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_10)) + (net buf_INT_DATA_OUT_1_0_10 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_10)) (portRef D (instanceRef buf_INT_DATA_OUT_10)) )) - (net buf_INT_DATA_OUT_1_0_0_11 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_11)) + (net buf_INT_DATA_OUT_1_i_i_11 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_11)) (portRef D (instanceRef buf_INT_DATA_OUT_11)) )) - (net N_5876_i (joined + (net N_5560_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_12)) (portRef D (instanceRef buf_INT_DATA_OUT_12)) )) - (net N_5877_i (joined + (net N_5561_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_13)) (portRef D (instanceRef buf_INT_DATA_OUT_13)) )) - (net N_5878_i (joined + (net N_5562_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_14)) (portRef D (instanceRef buf_INT_DATA_OUT_14)) )) - (net N_5657_i (joined + (net N_5563_i (joined (portRef Z (instanceRef buf_INT_DATA_OUT_RNO_15)) (portRef D (instanceRef buf_INT_DATA_OUT_15)) )) - (net N_4969_i (joined + (net N_6423_i (joined (portRef Z (instanceRef buf_INT_DATAREADY_OUT_RNO)) (portRef D (instanceRef buf_INT_DATAREADY_OUT)) )) (net GND (joined (portRef GND) )) - (net N_5891 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_o2_0_1)) + (net N_6375 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_o2_1_1)) (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_0)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) - )) - (net reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0 (joined - (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0)) - (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) - )) - (net N_5889 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_o2_4)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) + )) + (net reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13 (joined + (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13)) + (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) + )) + (net N_6373 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_o2_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) )) (net buf_to_apl_INIT_PACKET_NUM_2 (joined (portRef (member buf_to_apl_init_packet_num 0)) - (portRef C (instanceRef int_packet_num_in_0_a2_0_a5)) - (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_0)) + (portRef C (instanceRef int_packet_num_in_0_a3)) + (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_1_13)) )) - (net next_send_trm_1_sqmuxa_i_0_a5_0 (joined - (portRef Z (instanceRef next_send_trm_1_sqmuxa_i_0_a5_0)) + (net next_send_trm_1_sqmuxa_i_a3_0 (joined + (portRef Z (instanceRef next_send_trm_1_sqmuxa_i_a3_0)) (portRef D (instanceRef send_trm_RNO)) )) (net buf_LVL1_TRG_RELEASE_IN (joined (portRef buf_LVL1_TRG_RELEASE_IN) - (portRef B (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (portRef B (instanceRef reg_TRG_RECEIVED_OUT_1_0)) (portRef A (instanceRef buf_INT_DATAREADY_OUT_RNO)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_20)) (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_21)) @@ -112617,7 +112676,7 @@ (portRef B (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_16)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_17)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_18)) - (portRef A (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (portRef A (instanceRef next_send_trm_0_sqmuxa_i_o3)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_28)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_29)) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_30)) @@ -112656,7 +112715,6 @@ (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_28)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_29)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_30)) - (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_31)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_22)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_23)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_26)) @@ -112678,36 +112736,40 @@ (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_3)) (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_4)) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef C (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (net reset_i_fast_r2 (joined + (portRef reset_i_fast_r2) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) + (portRef C (instanceRef next_send_trm_0_sqmuxa_i_o3)) + (portRef C (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_31)) + (portRef A (instanceRef transfer_counter_RNISH781_2)) + )) + (net N_6486 (joined + (portRef Z (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) + (portRef A (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) )) (net reg_TRG_RECEIVED_OUT_Q (joined (portRef Q (instanceRef reg_TRG_RECEIVED_OUT)) - (portRef D (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (portRef D (instanceRef reg_TRG_RECEIVED_OUT_1_0)) (portRef D (instanceRef buf_INT_DATAREADY_OUT_RNO)) - (portRef D (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (portRef D (instanceRef next_send_trm_0_sqmuxa_i_o3)) (portRef reg_TRG_RECEIVED_OUT_Q) )) - (net next_send_trm_1_sqmuxa_i_0_o5 (joined - (portRef Z (instanceRef next_send_trm_1_sqmuxa_i_0_o5)) + (net next_send_trm_0_sqmuxa_i_o3 (joined + (portRef Z (instanceRef next_send_trm_0_sqmuxa_i_o3)) (portRef A (instanceRef send_trm_RNO)) )) - (net N_356 (joined - (portRef Z (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_RNO_2)) - (portRef A (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) - )) (net buf_to_apl_INIT_DATAREADY_0 (joined (portRef (member buf_to_apl_init_dataready 0)) - (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) + (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) )) - (net N_5881 (joined - (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_0)) + (net N_6368 (joined + (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_1_13)) (portRef D (instanceRef seqnrce_0)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_1_i_0_o2_0)) - (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_0)) - (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_16)) - (portRef A (instanceRef reg_TRG_RECEIVED_OUT_1_i_i_o2)) + (portRef A (instanceRef reg_TRG_CODE_OUT_1_i_o2_2)) + (portRef A (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_13)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_1_i_o2_5)) + (portRef A (instanceRef reg_TRG_RECEIVED_OUT_1_0_o2)) )) (net signal_sync (joined (portRef signal_sync) @@ -112737,60 +112799,31 @@ (portRef buf_LVL1_ERROR_PATTERN_IN_4) (portRef A (instanceRef buf_TRG_ERROR_PATTERN_IN_RNO_20)) )) - (net N_6056 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) - )) - (net reset_i_fast_r3 (joined - (portRef reset_i_fast_r3) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) - (portRef A (instanceRef transfer_counter_RNI06881_2)) - )) - (net N_6048 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_6)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) - )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) - (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_9)) - )) - (net N_5973 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a5_11)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_11)) - )) - (net N_6069 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a2_2_4)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_1_8)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) - )) - (net N_5983 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1_1)) + (net N_6628 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_a2_0_6_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_4)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_5)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_6)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_1_10)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) + )) + (net N_6573 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1_1)) (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_1)) )) - (net N_6067 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a2_0_4_m1_e)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_10)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_11)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_8)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_4)) + (net N_6630 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_a2_2_6)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_1_10)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) )) (net apl_to_buf_REPLY_DATA_0 (joined (portRef Q (instanceRef buf_INT_DATA_OUT_0)) @@ -112801,38 +112834,84 @@ (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_0)) (portRef C (instanceRef buf_INT_DATA_OUT_1_i_0_0)) )) - (net N_5981 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_a5_1)) + (net apl_to_buf_REPLY_DATA_4 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_4)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) + (portRef (member apl_to_buf_reply_data 11)) + )) + (net buf_INT_DATA_OUT_1_i_i_0_4_m2 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_0_4_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) + )) + (net apl_to_buf_REPLY_DATA_5 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_5)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) + (portRef (member apl_to_buf_reply_data 10)) + )) + (net buf_INT_DATA_OUT_1_i_i_0_5_m2 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_0_5_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) + )) + (net apl_to_buf_REPLY_DATA_6 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_6)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) + (portRef (member apl_to_buf_reply_data 9)) + )) + (net buf_INT_DATA_OUT_1_0_0_6_m2 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_6_m2)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_0_6)) + )) + (net N_6571 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_a3_1)) (portRef A (instanceRef buf_INT_DATA_OUT_1_i_0_1)) )) (net buf_to_apl_INIT_PACKET_NUM_0 (joined (portRef (member buf_to_apl_init_packet_num 2)) (portRef C (instanceRef seqnrce_0)) - (portRef B (instanceRef reg_TRG_NUMBER_OUT_1_i_0_o2_0)) - (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_0)) - (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_16)) - (portRef B (instanceRef reg_TRG_RECEIVED_OUT_1_i_i_o2)) - (portRef A (instanceRef int_packet_num_in_0_a2_0_a5)) + (portRef B (instanceRef reg_TRG_CODE_OUT_1_i_o2_2)) + (portRef B (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_13)) + (portRef B (instanceRef reg_TRG_NUMBER_OUT_1_i_o2_5)) + (portRef B (instanceRef reg_TRG_RECEIVED_OUT_1_0_o2)) + (portRef A (instanceRef int_packet_num_in_0_a3)) )) (net buf_to_apl_INIT_PACKET_NUM_1 (joined (portRef (member buf_to_apl_init_packet_num 1)) (portRef B (instanceRef seqnrce_0)) - (portRef C (instanceRef reg_TRG_NUMBER_OUT_1_i_0_o2_0)) - (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_0)) - (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_16)) - (portRef C (instanceRef reg_TRG_RECEIVED_OUT_1_i_i_o2)) - (portRef B (instanceRef int_packet_num_in_0_a2_0_a5)) - )) - (net N_5890 (joined - (portRef Z (instanceRef reg_TRG_RECEIVED_OUT_1_i_i_o2)) + (portRef C (instanceRef reg_TRG_CODE_OUT_1_i_o2_2)) + (portRef C (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_13)) + (portRef C (instanceRef reg_TRG_NUMBER_OUT_1_i_o2_5)) + (portRef C (instanceRef reg_TRG_RECEIVED_OUT_1_0_o2)) + (portRef B (instanceRef int_packet_num_in_0_a3)) + )) + (net N_6374 (joined + (portRef Z (instanceRef reg_TRG_RECEIVED_OUT_1_0_o2)) (portRef A (instanceRef reg_TRG_TYPE_OUT_RNO_2)) (portRef A (instanceRef reg_TRG_TYPE_OUT_RNO_3)) (portRef A (instanceRef reg_TRG_TYPE_OUT_RNO_0)) (portRef A (instanceRef reg_TRG_TYPE_OUT_RNO_1)) - (portRef A (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (portRef A (instanceRef reg_TRG_RECEIVED_OUT_1_0)) )) - (net N_5888 (joined - (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_16)) + (net N_6372 (joined + (portRef Z (instanceRef reg_TRG_NUMBER_OUT_1_i_o2_5)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_13)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_14)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_15)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_6)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_7)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_8)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_9)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_10)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_11)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_12)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_0)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_1)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_2)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_3)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_4)) + (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_5)) + )) + (net N_6371 (joined + (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_13)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_11)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_12)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_13)) @@ -112842,8 +112921,8 @@ (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_9)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_10)) )) - (net N_5887 (joined - (portRef Z (instanceRef reg_TRG_INFORMATION_OUT_1_i_o2_0)) + (net N_371 (joined + (portRef Z (instanceRef reg_TRG_CODE_OUT_1_i_o2_2)) (portRef A (instanceRef reg_TRG_CODE_OUT_RNO_5)) (portRef A (instanceRef reg_TRG_CODE_OUT_RNO_6)) (portRef A (instanceRef reg_TRG_CODE_OUT_RNO_7)) @@ -112861,53 +112940,52 @@ (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_2)) (portRef A (instanceRef reg_TRG_INFORMATION_OUT_RNO_3)) )) - (net N_5886 (joined - (portRef Z (instanceRef reg_TRG_NUMBER_OUT_1_i_0_o2_0)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_13)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_14)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_15)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_6)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_7)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_8)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_9)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_10)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_11)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_12)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_0)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_1)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_2)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_3)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_4)) - (portRef A (instanceRef reg_TRG_NUMBER_OUT_RNO_5)) + (net N_6499 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_0_10)) )) - (net N_6044 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_5_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) + (net reset_i_fast_r6 (joined + (portRef reset_i_fast_r6) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_0_6)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_a3_10_m1_e)) )) - (net N_5977 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a5_10_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_10)) + (net N_6574 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_a3_11_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_11)) )) - (net N_5949 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_a5_8_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_8)) + (net N_6578 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_a3_9_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_9)) )) - (net N_365 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_a5_4_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_4)) + (net N_6582 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_a3_8_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_8)) )) - (net N_6052 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_a5_7_m1_e)) - (portRef A (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) + (net N_6586 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_a3_7_m1_e)) + (portRef A (instanceRef buf_INT_DATA_OUT_1_i_i_7)) )) - (net apl_to_buf_REPLY_DATA_3 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_3)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_3)) - (portRef (member apl_to_buf_reply_data 12)) + (net apl_to_buf_REPLY_DATA_15 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_15)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) + (portRef (member apl_to_buf_reply_data 0)) )) - (net buf_INT_DATA_OUT_1_i_0_3 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_3)) - (portRef C (instanceRef buf_INT_DATA_OUT_RNO_3)) + (net buf_INT_DATA_OUT_1_i_0_0_15 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) + (portRef C (instanceRef buf_INT_DATA_OUT_RNO_15)) + )) + (net apl_to_buf_REPLY_DATA_14 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_14)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) + (portRef (member apl_to_buf_reply_data 1)) + )) + (net buf_INT_DATA_OUT_1_i_0_0_14 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_14)) + (portRef C (instanceRef buf_INT_DATA_OUT_RNO_14)) )) (net apl_to_buf_REPLY_DATA_2 (joined (portRef Q (instanceRef buf_INT_DATA_OUT_2)) @@ -112918,113 +112996,69 @@ (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_2)) (portRef C (instanceRef buf_INT_DATA_OUT_RNO_2)) )) - (net apl_to_buf_REPLY_DATA_14 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_14)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_14)) - (portRef (member apl_to_buf_reply_data 1)) - )) - (net buf_INT_DATA_OUT_1_i_0_14 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_14)) - (portRef C (instanceRef buf_INT_DATA_OUT_RNO_14)) - )) (net apl_to_buf_REPLY_DATA_13 (joined (portRef Q (instanceRef buf_INT_DATA_OUT_13)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_13)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef (member apl_to_buf_reply_data 2)) )) - (net buf_INT_DATA_OUT_1_i_0_13 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_13)) + (net buf_INT_DATA_OUT_1_i_0_0_13 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_13)) (portRef C (instanceRef buf_INT_DATA_OUT_RNO_13)) )) - (net apl_to_buf_REPLY_DATA_15 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_15)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) - (portRef (member apl_to_buf_reply_data 0)) - )) - (net buf_INT_DATA_OUT_1_i_0_0_15 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_15)) - (portRef C (instanceRef buf_INT_DATA_OUT_RNO_15)) - )) (net apl_to_buf_REPLY_DATA_12 (joined (portRef Q (instanceRef buf_INT_DATA_OUT_12)) - (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_12)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) (portRef (member apl_to_buf_reply_data 3)) )) - (net buf_INT_DATA_OUT_1_i_0_12 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_12)) + (net buf_INT_DATA_OUT_1_i_0_0_12 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_12)) (portRef C (instanceRef buf_INT_DATA_OUT_RNO_12)) )) - (net apl_to_buf_REPLY_DATA_5 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_5)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) - (portRef (member apl_to_buf_reply_data 10)) - )) - (net buf_INT_DATA_OUT_1_0_0_0_1_5 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_5)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_5)) - )) - (net apl_to_buf_REPLY_DATA_4 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_4)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) - (portRef (member apl_to_buf_reply_data 11)) - )) - (net buf_INT_DATA_OUT_1_0_0_1_4 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_1_4)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_4)) - )) - (net apl_to_buf_REPLY_DATA_11 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_11)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) - (portRef (member apl_to_buf_reply_data 4)) - )) - (net buf_INT_DATA_OUT_1_0_0_1_11 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_1_11)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_11)) + (net apl_to_buf_REPLY_DATA_3 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_3)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) + (portRef (member apl_to_buf_reply_data 12)) )) - (net apl_to_buf_REPLY_DATA_10 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_10)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) - (portRef (member apl_to_buf_reply_data 5)) + (net buf_INT_DATA_OUT_1_i_0_0_3 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_0_0_3)) + (portRef C (instanceRef buf_INT_DATA_OUT_RNO_3)) )) - (net buf_INT_DATA_OUT_1_0_0_1_10 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_1_10)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_10)) + (net buf_INT_DATA_OUT_1_i_i_1_7 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_1_7)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_7)) )) - (net apl_to_buf_REPLY_DATA_9 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_9)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) - (portRef (member apl_to_buf_reply_data 6)) + (net buf_INT_DATA_OUT_1_0_1_10 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_1_10)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_0_10)) )) - (net buf_INT_DATA_OUT_1_0_0_0_1_9 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_9)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_9)) + (net buf_INT_DATA_OUT_1_i_i_1_9 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_1_9)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_9)) )) - (net apl_to_buf_REPLY_DATA_6 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_6)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) - (portRef (member apl_to_buf_reply_data 9)) + (net buf_INT_DATA_OUT_1_i_i_1_8 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_1_8)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_8)) )) - (net buf_INT_DATA_OUT_1_0_0_0_1_6 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_6)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_6)) + (net buf_INT_DATA_OUT_1_i_i_1_11 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_1_11)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_11)) )) - (net apl_to_buf_REPLY_DATA_7 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_7)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) - (portRef (member apl_to_buf_reply_data 8)) + (net buf_INT_DATA_OUT_1_0_0_0_6 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_6)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_0_6)) )) - (net buf_INT_DATA_OUT_1_0_0_0_1_7 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_0_0_1_7)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_0_0_7)) + (net buf_INT_DATA_OUT_1_i_i_0_0_5 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_5)) )) - (net apl_to_buf_REPLY_DATA_8 (joined - (portRef Q (instanceRef buf_INT_DATA_OUT_8)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_1_8)) - (portRef (member apl_to_buf_reply_data 7)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) + (portRef D (instanceRef buf_INT_DATA_OUT_1_i_i_0_5)) )) - (net buf_INT_DATA_OUT_1_0_1_8 (joined - (portRef Z (instanceRef buf_INT_DATA_OUT_1_0_1_8)) - (portRef C (instanceRef buf_INT_DATA_OUT_1_0_8)) + (net buf_INT_DATA_OUT_1_i_i_0_0_4 (joined + (portRef Z (instanceRef buf_INT_DATA_OUT_1_i_i_0_4)) + (portRef B (instanceRef buf_INT_DATA_OUT_1_i_i_4)) )) (net reset_i_rep1_1 (joined (portRef reset_i_rep1_1) @@ -113062,7 +113096,6 @@ (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_14)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_15)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_4)) - (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_5)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_6)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_7)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_8)) @@ -113072,11 +113105,36 @@ (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_1)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_2)) (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_3)) - (portRef C (instanceRef reg_TRG_RECEIVED_OUT_1_i_i)) + (portRef C (instanceRef reg_TRG_RECEIVED_OUT_1_0)) (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_1)) (portRef D (instanceRef REG_TRANSFER_COUNTER_transfer_counter_6_0_i_2)) (portRef D (instanceRef buf_INT_DATA_OUT_1_i_0_0)) )) + (net apl_to_buf_REPLY_DATA_7 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_7)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_7)) + (portRef (member apl_to_buf_reply_data 8)) + )) + (net apl_to_buf_REPLY_DATA_10 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_10)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_0_10)) + (portRef (member apl_to_buf_reply_data 5)) + )) + (net apl_to_buf_REPLY_DATA_11 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_11)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_11)) + (portRef (member apl_to_buf_reply_data 4)) + )) + (net apl_to_buf_REPLY_DATA_9 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_9)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_9)) + (portRef (member apl_to_buf_reply_data 6)) + )) + (net apl_to_buf_REPLY_DATA_8 (joined + (portRef Q (instanceRef buf_INT_DATA_OUT_8)) + (portRef C (instanceRef buf_INT_DATA_OUT_1_i_i_8)) + (portRef (member apl_to_buf_reply_data 7)) + )) (net buf_to_apl_INIT_DATA_11 (joined (portRef (member buf_to_apl_init_data 4)) (portRef B (instanceRef reg_TRG_NUMBER_OUT_RNO_11)) @@ -113170,6 +113228,10 @@ (portRef D (instanceRef reg_TRG_INFORMATION_OUT_RNO_6)) (portRef (member trg_information_i 9)) )) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef C (instanceRef reg_TRG_INFORMATION_OUT_RNO_5)) + )) (net trg_information_i_5 (joined (portRef Q (instanceRef reg_TRG_INFORMATION_OUT_5)) (portRef D (instanceRef reg_TRG_INFORMATION_OUT_RNO_5)) @@ -113400,8 +113462,8 @@ (portRef D (instanceRef reg_TRG_CODE_OUT_RNO_5)) (portRef (member trg_code_i 2)) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) (portRef A (instanceRef seqnrce_0)) )) ) @@ -113412,23 +113474,39 @@ (interface (port buf_APL_PACKET_NUM_IN_2 (direction INPUT)) (port buf_APL_PACKET_NUM_IN_0 (direction INPUT)) - (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(63:48)") 16) (direction INPUT)) + (port (array (rename buf_api_data_out_rnigu9q "buf_API_DATA_OUT_RNIGU9Q(9:9)") 1) (direction INPUT)) + (port buf_APL_DATA_IN_15 (direction INPUT)) + (port buf_APL_DATA_IN_14 (direction INPUT)) + (port buf_APL_DATA_IN_13 (direction INPUT)) + (port buf_APL_DATA_IN_12 (direction INPUT)) + (port buf_APL_DATA_IN_11 (direction INPUT)) + (port buf_APL_DATA_IN_10 (direction INPUT)) + (port buf_APL_DATA_IN_8 (direction INPUT)) + (port buf_APL_DATA_IN_7 (direction INPUT)) + (port buf_APL_DATA_IN_6 (direction INPUT)) + (port buf_APL_DATA_IN_5 (direction INPUT)) + (port buf_APL_DATA_IN_4 (direction INPUT)) + (port buf_APL_DATA_IN_3 (direction INPUT)) + (port buf_APL_DATA_IN_2 (direction INPUT)) + (port buf_APL_DATA_IN_1 (direction INPUT)) + (port buf_APL_DATA_IN_0 (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl_i "buf_api_stat_fifo_to_apl_i(110:110)") 1) (direction OUTPUT)) + (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) + (port buf_api_stat_fifo_to_apl_2 (direction OUTPUT)) + (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(11:9)") 3) (direction OUTPUT)) (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(63:48)") 16) (direction OUTPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(3:3)") 1) (direction INPUT)) (port (array (rename apl_to_buf_reply_data "apl_to_buf_REPLY_DATA(63:52)") 12) (direction OUTPUT)) (port (array (rename current_b2_buffer "current_b2_buffer(3:0)") 4) (direction OUTPUT)) + (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction INPUT)) (port (array (rename adr_packet_num_out "ADR_PACKET_NUM_OUT(2:2)") 1) (direction INPUT)) (port buf_api_stat_fifo_to_int_4 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_3 (direction OUTPUT)) (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) - (port (array (rename buf_apl_read_in "buf_APL_READ_IN(3:3)") 1) (direction INPUT)) - (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(11:9)") 3) (direction OUTPUT)) (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(63:48)") 16) (direction INPUT)) (port (array (rename buf_to_apl_init_packet_num "buf_to_apl_INIT_PACKET_NUM(11:9)") 3) (direction INPUT)) (port (array (rename buf_to_apl_init_dataready "buf_to_apl_INIT_DATAREADY(3:3)") 1) (direction INPUT)) - (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) - (port buf_api_stat_fifo_to_apl_7 (direction OUTPUT)) + (port (array (rename un1_the_endpoint "un1_THE_ENDPOINT(6:6)") 1) (direction INPUT)) (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction INPUT)) (port buf_APL_ERROR_PATTERN_IN_14 (direction INPUT)) (port buf_APL_ERROR_PATTERN_IN_12 (direction INPUT)) @@ -113436,45 +113514,39 @@ (port (array (rename buf_apl_dtype_in "buf_APL_DTYPE_IN(15:12)") 4) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename common_ctrl_reg "common_ctrl_reg(10:10)") 1) (direction INPUT)) - (port (array (rename un1_the_endpoint "un1_THE_ENDPOINT(6:6)") 1) (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port reset_i_19 (direction INPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port reset_i_fast_1 (direction INPUT)) - (port N_2069_0_1 (direction INPUT)) (port reg_INT_READ_OUT (direction INPUT)) (port int_dataready_in_i (direction INPUT)) + (port N_93 (direction INPUT)) + (port N_87 (direction INPUT)) + (port buf_API_SHORT_TRANSFER_OUT_Q (direction INPUT)) (port buf_API_DATAREADY_OUT (direction INPUT)) - (port N_1956 (direction INPUT)) + (port N_1958 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) + (port reset_i_rep1 (direction INPUT)) + (port GND (direction INPUT)) (port regio_timeout_out (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port GND (direction INPUT)) - (port buf_API_SHORT_TRANSFER_OUT_Q (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port reset_i_fast_r8 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) ) (contents (instance master_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C (!B !A+B A)+C B))")) + (property lut_function (string "(!D (!C B+C (!B !A+B A))+D B)")) ) - (instance master_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (B A))+D (!B !A+B A))")) + (instance send_trm_wrong_addr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B !A)+D (!C (!B !A)))")) ) - (instance fifo_was_not_empty_RNIK5B91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + (instance state_to_apl_ns_1_0__m16_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D (B+A))")) ) - (instance master_end_0_sqmuxa_3_RNI9J1A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance master_end_0_sqmuxa_3_RNII33A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance state_to_int_RNIPNG81_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D B)")) ) (instance next_INT_MASTER_DATA_OUT_bm_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) @@ -113482,14 +113554,14 @@ (instance next_INT_MASTER_DATA_OUT_bm_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D B)")) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) ) - (instance state_to_apl_ns_1_0__m15_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (!B+A))")) ) (instance state_to_int_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -113757,21 +113829,18 @@ ) (instance apl_send_in_timeout_counter_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance APL_TYP_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance fifo_to_apl_write (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) (instance state_to_int_RNIEF6H_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance un1_state_to_int_1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) (instance update_registered_trailer_m1_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance un1_state_to_int_1_i_a2_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance state_bits_to_apl_i_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance sequence_counterc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) @@ -113796,33 +113865,60 @@ (instance sequence_counterc_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance next_INT_MASTER_DATA_OUT_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) (instance next_INT_MASTER_DATA_OUT_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) (instance next_INT_MASTER_DATA_OUT_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance next_INT_MASTER_DATA_OUT_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_INT_MASTER_DATA_OUT_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -113844,33 +113940,6 @@ (instance next_INT_MASTER_DATA_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) (instance to_int_un11_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C (B !A))")) ) @@ -113880,50 +113949,38 @@ (instance apl_send_in_timeout_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A+B !A)+C B)")) ) - (instance state_to_apl_ns_1_0__m8_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance apl_send_in_timeout_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A+B A)")) ) - (instance master_counter_RNIGCK_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance master_end_0_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance to_int_un21_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) (instance master_counter_RNI6K79_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B+A)))")) ) - (instance next_APL_DATAREADY_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance slave_start_0_a2_0_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance state_to_apl_ns_1_0__m15_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance to_int_un21_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) - (instance to_apl_un11_reg_apl_typ_out_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance master_end_0_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) - (instance to_apl_un9_saved_fifo_to_apl_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) - ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_to_apl_ns_1_0__m16_i_a3_0_8_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance state_to_apl_ns_1_0__m16_i_a3_0_8_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance apl_send_in_timeout_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) + (instance state_to_apl_ns_1_0__m16_i_a3_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) + ) + (instance to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) + ) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+A))")) + ) + (instance master_counter_RNI6K79_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !C+D (!C (B A)))")) ) (instance state_to_int_ns_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) @@ -113931,26 +113988,26 @@ (instance PROC_FSM_REG_fifo_was_not_empty_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+!A)))")) ) - (instance fifo_to_apl_long_packet_num_out_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance apl_send_in_timeout_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) - (instance master_counter_RNI6K79_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C (B A)))")) + (instance fifo_to_apl_long_packet_num_out_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) - (instance state_to_apl_ns_1_0__m6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance next_APL_DATAREADY_OUT_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) (instance fifo_to_int_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B A)))")) ) - (instance next_APL_DATAREADY_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance state_to_apl_ns_1_0__m16_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance un1_next_state_to_apl_0_sqmuxa_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance to_apl_un5_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) + (instance fifo_to_int_write_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C !B+C (!B A)))")) ) (instance next_INT_MASTER_DATA_OUT_8_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) @@ -113965,34 +114022,47 @@ (instance master_start_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance fifo_to_int_write (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D (!C !B+C (!B A)))")) + (instance update_registered_trailer_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C+!A))")) ) (instance apl_send_in_timeout_counter_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B A)))")) ) - (instance update_registered_trailer_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C+!A))")) - ) - (instance to_apl_un9_saved_fifo_to_apl_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance fifo_to_apl_read_before_en_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance un1_fifo_to_apl_long_packet_num_out_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_fifo_to_apl_long_packet_num_out_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) + (instance state_to_apl_ns_1_0__m16_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance state_to_apl_ns_1_0__m16_i_a3_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance to_apl_un9_saved_fifo_to_apl_packet_type_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) (instance next_INT_MASTER_DATAREADY_OUT_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!B+A))")) ) - (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_INT_MASTER_DATA_OUT_7_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_7_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) @@ -114000,41 +114070,41 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) @@ -114049,27 +114119,20 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance next_INT_MASTER_DATA_OUT_7_bm_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_7_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -114077,66 +114140,72 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance fifo_to_apl_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) + (instance PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+!A))+D (!B+!A))")) ) - (instance next_INT_MASTER_DATAREADY_OUT_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (!B A))+D A)")) - ) - (instance next_INT_MASTER_DATA_OUT_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance to_apl_un21_int_slave_dataready_in_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance state_to_apl_ns_1_0__m19_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(B+A)))")) ) - (instance next_INT_MASTER_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance state_to_apl_ns_1_0__m19_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) - (instance next_INT_MASTER_DATA_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_INT_MASTER_DATAREADY_OUT_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B A))+D A)")) ) - (instance current_fifo_to_apl_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_to_apl_packet_type_i_m2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_to_apl_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance current_fifo_to_apl_packet_type_i_m2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance current_fifo_to_apl_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance next_INT_MASTER_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_INT_MASTER_DATA_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance next_INT_MASTER_DATA_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_INT_MASTER_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance next_INT_MASTER_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance next_INT_MASTER_DATA_OUT_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance next_INT_MASTER_DATA_OUT_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance current_fifo_to_apl_packet_type_i_m2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) (instance state_to_int_ns_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+B)+D (!C !A+C (B !A)))")) ) (instance sbuf_free_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+!A))+D (B+!A))")) ) - (instance state_to_int_ns_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C !B)+D (C !B))")) - ) (instance next_INT_MASTER_DATA_OUT_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C B+C (B+A)))")) @@ -114159,20 +114228,17 @@ (property lut_function (string "(!C B+C A)")) ) (instance next_INT_MASTER_DATA_OUT_4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (B A)))")) + (instance state_to_int_ns_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C !B)+D (C !B))")) ) - (instance send_trm_wrong_addr_RNIC2DP1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance send_trm_wrong_addr_RNI8H3K1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance send_trm_wrong_addr_RNIC2DP1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance send_trm_wrong_addr_RNI8H3K1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance fifo_to_apl_read_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+A))+D (C !B))")) + (instance master_counter_1_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B+A)))")) ) (instance state_to_int_ns_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C (!B !A))")) @@ -114180,46 +114246,26 @@ (instance state_to_int_ns_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) ) - (instance state_to_apl_ns_1_0__m19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (!C (!B A)+C !B))")) - ) - (instance state_to_apl_ns_1_0__m19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C (!B+!A)))")) - ) - (instance state_to_apl_ns_1_0__m19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance un1_next_state_to_apl_0_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) - (instance PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance state_to_apl_ns_1_0__m19_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) (instance state_to_int_ns_0_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C+(!B+A)))")) ) (instance fifo_to_int_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C A)")) - ) - (instance send_trm_wrong_addr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) - ) - (instance un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!C (B+A)))")) - ) - (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (!B A+B !A))+D B)")) + (property lut_function (string "(B+A)")) ) - (instance state_to_apl_ns_1_0__m11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A))")) + (instance master_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C A)")) ) - (instance state_to_apl_ns_1_0__m11_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C+(!B+!A)))")) + (instance un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)))")) ) - (instance state_to_apl_ns_1_0__m11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance state_to_int_ns_0_i_s_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+!A))+D (C !A))")) + (instance next_last_fifo_to_apl_read_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C !B+C (!B !A)))")) ) (instance PROC_ENDP_REACHED_endpoint_reached_1_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B !A))+D !C)")) @@ -114227,21 +114273,39 @@ (instance state_to_int_ns_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(C (B A)))")) ) + (instance state_to_int_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D (C !A))")) + ) (instance state_to_int_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (!B A)))")) ) - (instance state_to_apl_ns_1_0__N_17_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C (!B !A)))")) + (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C (!B A+B !A))")) ) (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (!B A)+C A))")) + (property lut_function (string "(D+(!C (!B !A+B A)+C A))")) + ) + (instance state_to_apl_ns_1_0__m16_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D !B)")) + ) + (instance state_to_apl_ns_1_0__m16_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance to_apl_un21_int_slave_dataready_in_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C+(!B+!A)))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance to_apl_un21_int_slave_dataready_in_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B !A)))")) ) + (instance fifo_to_apl_read_before_en_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+A))")) + ) + (instance state_to_int_RNIGK141_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B !A)+C !B))")) + ) + (instance update_registered_header_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) + ) (instance sequence_counter_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) @@ -114314,135 +114378,147 @@ ) (net buf_api_stat_fifo_to_int_119 (joined (portRef Q (instanceRef master_counter_1)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) + (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef B (instanceRef master_counter_1_sqmuxa_0)) (portRef B (instanceRef master_counter_RNI6K79_0_2)) - (portRef B (instanceRef master_counter_RNI6K79_2)) (portRef B (instanceRef master_end_0_sqmuxa_3)) - (portRef B (instanceRef master_counter_RNIGCK_2)) + (portRef B (instanceRef master_counter_RNI6K79_2)) (portRef B (instanceRef state_to_int_RNINQ09_3)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_3)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_6)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_5)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_12)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_15)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_9)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_10)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_12)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_13)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_5)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_9)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_3)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_15)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) - (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef D (instanceRef master_counter_RNO_0)) + (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) (portRef B (instanceRef master_counter_RNO_1)) )) - (net next_INT_MASTER_DATAREADY_OUT_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) - (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) - (portRef C (instanceRef fifo_to_int_read_before_RNO)) - (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_0_2)) - (portRef A (instanceRef master_counter_RNO_0)) - (portRef C (instanceRef master_counter_RNO_1)) - )) (net buf_api_stat_fifo_to_int_118 (joined (portRef Q (instanceRef master_counter_0)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_0_2)) + (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef A (instanceRef master_counter_RNO_0)) + (portRef A (instanceRef master_counter_1_sqmuxa_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_4)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_2)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_1)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_6)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) (portRef A (instanceRef master_counter_RNI6K79_0_2)) - (portRef A (instanceRef master_counter_RNI6K79_2)) (portRef A (instanceRef master_end_0_sqmuxa_3)) - (portRef A (instanceRef master_counter_RNIGCK_2)) + (portRef A (instanceRef master_counter_RNI6K79_2)) (portRef A (instanceRef state_to_int_RNINQ09_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef B (instanceRef master_counter_RNO_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) + (portRef C (instanceRef master_counter_RNO_1)) + )) + (net next_INT_MASTER_DATAREADY_OUT_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef D (instanceRef master_counter_1_sqmuxa_0)) (portRef D (instanceRef master_counter_RNO_1)) )) (net N_288_m (joined (portRef Z (instanceRef master_counter_RNO_1)) (portRef D (instanceRef master_counter_1)) )) - (net buf_api_stat_fifo_to_int_120 (joined - (portRef Q (instanceRef master_counter_2)) - (portRef buf_api_stat_fifo_to_int_18 (instanceRef SBUF)) - (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef B (instanceRef fifo_to_int_read)) - (portRef C (instanceRef master_counter_RNI6K79_0_2)) - (portRef C (instanceRef master_counter_RNI6K79_2)) - (portRef C (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef C (instanceRef master_end_0_sqmuxa_3)) - (portRef C (instanceRef master_counter_RNIGCK_2)) - (portRef C (instanceRef to_int_un11_next_int_master_dataready_out)) - (portRef C (instanceRef master_counter_RNO_0)) + (net un9_int_slave_dataready_in (joined + (portRef S1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef C (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) + (portRef A (instanceRef send_trm_wrong_addr_RNO)) )) - (net N_287_m (joined - (portRef Z (instanceRef master_counter_RNO_0)) - (portRef D (instanceRef master_counter_0)) + (net N_4981 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_0)) + (portRef B (instanceRef send_trm_wrong_addr_RNO)) )) - (net state_to_int_2 (joined - (portRef Q (instanceRef state_to_int_2)) - (portRef C (instanceRef state_to_int_ns_0_i_3)) - (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) - (portRef B (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef B (instanceRef update_registered_trailer_m1_e)) - (portRef B (instanceRef un1_state_to_int_1_i_a2)) - (portRef C (instanceRef state_to_apl_ns_1_0__m15_4)) - (portRef A (instanceRef fifo_was_not_empty_RNIK5B91)) + (net N_4994_7 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef B (instanceRef to_apl_un21_int_slave_dataready_in_i_a3)) + (portRef C (instanceRef send_trm_wrong_addr_RNO)) )) - (net state_to_int_0 (joined - (portRef Q (instanceRef state_to_int_0)) - (portRef (member state_to_int 0) (instanceRef SBUF)) - (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) - (portRef A (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef A (instanceRef un1_state_to_int_1_i_a2)) - (portRef D (instanceRef state_to_apl_ns_1_0__m15_4)) - (portRef B (instanceRef fifo_was_not_empty_RNIK5B91)) + (net N_4965 (joined + (portRef Z (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef A (instanceRef to_apl_un21_int_slave_dataready_in_i_a3)) + (portRef D (instanceRef send_trm_wrong_addr_RNO)) )) - (net fifo_was_not_empty (joined - (portRef Q (instanceRef fifo_was_not_empty)) - (portRef A (instanceRef state_to_int_ns_0_o2_3)) - (portRef C (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) - (portRef A (instanceRef update_registered_trailer_m1_e)) - (portRef D (instanceRef fifo_was_not_empty_RNIK5B91)) + (net N_4963_i (joined + (portRef Z (instanceRef send_trm_wrong_addr_RNO)) + (portRef D (instanceRef send_trm_wrong_addr)) )) - (net N_5807_tz (joined - (portRef Z (instanceRef fifo_was_not_empty_RNIK5B91)) - (portRef A (instanceRef state_to_int_ns_0_1_4)) - (portRef A (instanceRef state_to_int_ns_i_5)) + (net state_to_apl_0 (joined + (portRef Q (instanceRef state_to_apl_0)) + (portRef (member state_to_apl 1) (instanceRef FIFO_TO_APL)) + (portRef C (instanceRef fifo_to_apl_read_before_en_i_o2)) + (portRef C (instanceRef next_last_fifo_to_apl_read_RNO)) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_0_a3_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef A (instanceRef state_bits_to_apl_i_0_a2_0)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_1)) + )) + (net N_4999 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) + (portRef B (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_0)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_1)) + )) + (net N_4988 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_o2)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_1)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef A (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (net state_to_apl_1 (joined + (portRef Q (instanceRef state_to_apl_1)) + (portRef (member state_to_apl 0) (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef fifo_to_apl_read_before_en_i_o2)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i)) + (portRef D (instanceRef next_last_fifo_to_apl_read_RNO)) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_0_a3_0)) + (portRef D (instanceRef fifo_to_apl_read_before_en_i)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef B (instanceRef state_bits_to_apl_i_0_a2_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_1)) + )) + (net m16_i_1 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_1)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i)) + )) + (net reset_i_fast_r8 (joined + (portRef reset_i_fast_r8) + (portRef A (instanceRef master_end_0_sqmuxa_3_RNII33A1)) )) (net state_to_int_1 (joined (portRef Q (instanceRef state_to_int_1)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_am_1)) (portRef C (instanceRef state_to_int_ns_0_0_4)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef D (instanceRef master_counter_RNI6K79_0_2)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_o2)) (portRef B (instanceRef state_to_int_ns_0_a3_0_0)) + (portRef D (instanceRef master_counter_RNI6K79_0_2)) (portRef D (instanceRef master_counter_RNI6K79_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_0)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_2)) @@ -114451,17 +114527,16 @@ (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_6)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_7)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_8)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_9)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_10)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_8)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_11)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef A (instanceRef state_to_int_RNIEF6H_3)) - (portRef A (instanceRef state_to_apl_ns_1_0__m15_4)) - (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) - (portRef C (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + (portRef C (instanceRef master_end_0_sqmuxa_3_RNII33A1)) )) (net master_end_0_sqmuxa_3 (joined (portRef Z (instanceRef master_end_0_sqmuxa_3)) @@ -114471,10 +114546,10 @@ (portRef B (instanceRef state_to_int_ns_i_2)) (portRef B (instanceRef state_to_int_ns_0_0_4)) (portRef A (instanceRef state_to_int_ns_0_a3_0_0)) - (portRef D (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (portRef D (instanceRef master_end_0_sqmuxa_3_RNII33A1)) )) (net sequence_countere_0_i (joined - (portRef Z (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (portRef Z (instanceRef master_end_0_sqmuxa_3_RNII33A1)) (portRef SP (instanceRef sequence_counter_7)) (portRef SP (instanceRef sequence_counter_6)) (portRef SP (instanceRef sequence_counter_5)) @@ -114484,118 +114559,37 @@ (portRef SP (instanceRef sequence_counter_1)) (portRef SP (instanceRef sequence_counter_0)) )) - (net un1_THE_ENDPOINT_6 (joined - (portRef (member un1_the_endpoint 0)) - (portRef D (instanceRef state_to_int_ns_0_i_s_1)) - (portRef D (instanceRef update_registered_trailer_m4)) - (portRef B (instanceRef master_start_0_sqmuxa)) - (portRef B (instanceRef state_to_int_ns_0_o2_3)) - (portRef CD (instanceRef apl_send_in_timeout_counter_3)) - (portRef CD (instanceRef apl_send_in_timeout_counter_2)) - (portRef CD (instanceRef apl_send_in_timeout_counter_1)) - (portRef CD (instanceRef apl_send_in_timeout_counter_0)) - (portRef B (instanceRef state_to_int_RNIPNG81_4)) - (portRef C (instanceRef fifo_was_not_empty_RNIK5B91)) - )) - (net state_to_int_4 (joined - (portRef Q (instanceRef state_to_int_4)) - (portRef B (instanceRef state_to_int_ns_0_i_s_1)) - (portRef A (instanceRef update_registered_trailer_m4)) - (portRef A (instanceRef master_start_0_sqmuxa)) - (portRef D (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) - (portRef D (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef C (instanceRef state_to_int_RNIPNG81_4)) - )) - (net update_registered_header_1_sqmuxa (joined - (portRef Z (instanceRef state_to_int_RNIPNG81_4)) - (portRef SP (instanceRef registered_header_F0_15)) - (portRef SP (instanceRef registered_header_F0_14)) - (portRef SP (instanceRef registered_header_F0_13)) - (portRef SP (instanceRef registered_header_F0_12)) - (portRef SP (instanceRef registered_header_F0_11)) - (portRef SP (instanceRef registered_header_F0_10)) - (portRef SP (instanceRef registered_header_F0_9)) - (portRef SP (instanceRef registered_header_F0_8)) - (portRef SP (instanceRef registered_header_F0_7)) - (portRef SP (instanceRef registered_header_F0_6)) - (portRef SP (instanceRef registered_header_F0_5)) - (portRef SP (instanceRef registered_header_F0_4)) - (portRef SP (instanceRef registered_header_F0_3)) - (portRef SP (instanceRef registered_header_F0_2)) - (portRef SP (instanceRef registered_header_F0_1)) - (portRef SP (instanceRef registered_header_F0_0)) - (portRef SP (instanceRef registered_header_F1_15)) - (portRef SP (instanceRef registered_header_F1_14)) - (portRef SP (instanceRef registered_header_F1_13)) - (portRef SP (instanceRef registered_header_F1_12)) - (portRef SP (instanceRef registered_header_F1_11)) - (portRef SP (instanceRef registered_header_F1_10)) - (portRef SP (instanceRef registered_header_F1_9)) - (portRef SP (instanceRef registered_header_F1_8)) - (portRef SP (instanceRef registered_header_F1_7)) - (portRef SP (instanceRef registered_header_F1_6)) - (portRef SP (instanceRef registered_header_F1_5)) - (portRef SP (instanceRef registered_header_F1_4)) - (portRef SP (instanceRef registered_header_F1_3)) - (portRef SP (instanceRef registered_header_F1_2)) - (portRef SP (instanceRef registered_header_F1_1)) - (portRef SP (instanceRef registered_header_F1_0)) - (portRef SP (instanceRef registered_header_F3_11)) - (portRef SP (instanceRef registered_header_F3_10)) - (portRef SP (instanceRef registered_header_F3_9)) - (portRef SP (instanceRef registered_header_F3_8)) - (portRef SP (instanceRef registered_header_F3_7)) - (portRef SP (instanceRef registered_header_F3_6)) - (portRef SP (instanceRef registered_header_F3_5)) - (portRef SP (instanceRef registered_header_F3_4)) - (portRef SP (instanceRef registered_header_F3_3)) - (portRef SP (instanceRef registered_header_F3_2)) - (portRef SP (instanceRef registered_header_F3_1)) - (portRef SP (instanceRef registered_header_F3_0)) - )) - (net registered_header_F1_2 (joined - (portRef Q (instanceRef registered_header_F1_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - )) - (net registered_header_F0_2 (joined - (portRef Q (instanceRef registered_header_F0_2)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - )) - (net N_594 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) - )) - (net registered_header_F1_4 (joined - (portRef Q (instanceRef registered_header_F1_4)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) - )) - (net registered_header_F0_4 (joined - (portRef Q (instanceRef registered_header_F0_4)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) - )) - (net N_596 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_4)) - )) - (net fifo_to_int_data_out_4 (joined - (portRef (member fifo_to_int_data_out 11) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) + (net buf_api_stat_fifo_to_int_107 (joined + (portRef buf_api_stat_fifo_to_int_8 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) )) - (net N_4383 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_4)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) + (net N_6042 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_2)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) )) (net state_to_int_3 (joined (portRef Q (instanceRef state_to_int_3)) (portRef D (instanceRef state_to_int_ns_0_i_3)) (portRef C (instanceRef state_to_int_ns_i_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef C (instanceRef state_to_apl_ns_1_0__m15_3)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_o2)) (portRef C (instanceRef state_to_int_RNINQ09_3)) (portRef B (instanceRef state_to_int_RNIEF6H_3)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + )) + (net N_627 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) + )) + (net fifo_to_int_data_out_4 (joined + (portRef (member fifo_to_int_data_out 11) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) + )) + (net N_6044 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_4)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) )) (net N_629 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_4)) @@ -114605,7 +114599,7 @@ (portRef buf_api_stat_fifo_to_int_7 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) )) - (net N_4380 (joined + (net N_6041 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) )) @@ -114613,17 +114607,29 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_1)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) )) - (net buf_api_stat_fifo_to_int_107 (joined - (portRef buf_api_stat_fifo_to_int_8 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + (net registered_header_F1_4 (joined + (portRef Q (instanceRef registered_header_F1_4)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) )) - (net N_4381 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) + (net registered_header_F0_4 (joined + (portRef Q (instanceRef registered_header_F0_4)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) )) - (net N_627 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_0_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) + (net N_596 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_4)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_4)) + )) + (net registered_header_F1_2 (joined + (portRef Q (instanceRef registered_header_F1_2)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) + )) + (net registered_header_F0_2 (joined + (portRef Q (instanceRef registered_header_F0_2)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) + )) + (net N_594 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) )) (net registered_header_F1_1 (joined (portRef Q (instanceRef registered_header_F1_1)) @@ -114637,25 +114643,16 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) )) - (net state_to_apl_1 (joined - (portRef Q (instanceRef state_to_apl_1)) - (portRef C0 (instanceRef state_to_apl_ns_1_0__m11)) - (portRef C (instanceRef state_to_apl_ns_1_0__m19_bm)) - (portRef B (instanceRef state_to_apl_ns_1_0__m19_am)) - (portRef C (instanceRef fifo_to_apl_read_iv)) - (portRef D (instanceRef fifo_to_apl_read_before_RNO)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef C (instanceRef next_APL_DATAREADY_OUT_2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m15_4)) - )) - (net m15_4 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m15_4)) - (portRef D (instanceRef state_to_apl_ns_1_0__N_17_i)) - )) (net N_527_0 (joined (portRef Z (instanceRef state_to_int_ns_i_5)) (portRef D (instanceRef state_to_int_0)) )) + (net state_to_int_0 (joined + (portRef Q (instanceRef state_to_int_0)) + (portRef B (instanceRef state_to_int_RNIGK141_0)) + (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) + (portRef A (instanceRef un1_state_to_int_1_i_a2_i_o3)) + )) (net state_to_int_ns_0_i_4 (joined (portRef Z (instanceRef state_to_int_ns_0_i_4)) (portRef D (instanceRef state_to_int_1)) @@ -114866,32 +114863,50 @@ (portRef D1 (instanceRef sequence_counter_cry_0_0)) (portRef D0 (instanceRef sequence_counter_cry_0_0)) (portRef B0 (instanceRef sequence_counter_cry_0_0)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_3)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_6)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_12)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_15)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_9)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_10)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_12)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_13)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_6)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_5)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_9)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_3)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_2_15)) )) (net state_to_int_ns_0_i_3 (joined (portRef Z (instanceRef state_to_int_ns_0_i_3)) (portRef D (instanceRef state_to_int_2)) )) + (net state_to_int_2 (joined + (portRef Q (instanceRef state_to_int_2)) + (portRef (member state_to_int 0) (instanceRef SBUF)) + (portRef A (instanceRef state_to_int_RNIGK141_0)) + (portRef C (instanceRef state_to_int_ns_0_i_3)) + (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef B (instanceRef un1_state_to_int_1_i_a2_i_o3)) + (portRef B (instanceRef update_registered_trailer_m1_e)) + )) (net N_523_0 (joined (portRef Z (instanceRef state_to_int_ns_i_2)) (portRef D (instanceRef state_to_int_3)) )) - (net N_5091 (joined - (portRef Z (instanceRef state_to_int_ns_0_i_s_1)) + (net state_to_int_ns_0_i_1 (joined + (portRef Z (instanceRef state_to_int_ns_0_i_1)) (portRef D (instanceRef state_to_int_4)) )) + (net state_to_int_4 (joined + (portRef Q (instanceRef state_to_int_4)) + (portRef C (instanceRef update_registered_header_1_sqmuxa)) + (portRef B (instanceRef state_to_int_ns_0_i_1)) + (portRef A (instanceRef update_registered_trailer_m4)) + (portRef A (instanceRef master_start_0_sqmuxa)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_o2)) + (portRef D (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) + )) (net N_537 (joined (portRef Z (instanceRef state_to_int_ns_0_a3_0_0)) (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) @@ -114904,23 +114919,15 @@ (net state_to_int_5 (joined (portRef Q (instanceRef state_to_int_5)) (portRef C (instanceRef state_to_int_RNO_5)) + (portRef C (instanceRef state_to_int_ns_0_i_1)) (portRef C (instanceRef state_to_int_ns_0_i_4)) - (portRef C (instanceRef state_to_int_ns_0_i_s_1)) )) - (net N_17_i (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__N_17_i)) + (net N_4958 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i)) (portRef D (instanceRef state_to_apl_0)) )) - (net state_to_apl_0 (joined - (portRef Q (instanceRef state_to_apl_0)) - (portRef A (instanceRef state_to_apl_ns_1_0__N_17_i)) - (portRef C0 (instanceRef state_to_apl_ns_1_0__m19)) - (portRef B (instanceRef fifo_to_apl_read_iv)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef B (instanceRef next_APL_DATAREADY_OUT_2)) - )) (net state_to_apl_ns_1 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m19)) + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_0)) (portRef D (instanceRef state_to_apl_1)) )) (net sequence_counterc (joined @@ -114937,7 +114944,7 @@ (portRef CD (instanceRef sequence_counter_2)) (portRef CD (instanceRef sequence_counter_1)) (portRef CD (instanceRef sequence_counter_0)) - (portRef B (instanceRef master_end_0_sqmuxa_3_RNI9J1A1)) + (portRef B (instanceRef master_end_0_sqmuxa_3_RNII33A1)) )) (net buf_APL_SEQNR_OUT_24 (joined (portRef Q (instanceRef sequence_counter_0)) @@ -115015,16 +115022,12 @@ (portRef D (instanceRef registered_header_F3_11)) (portRef D (instanceRef registered_trailer_F3_11)) )) - (net N_5095_i (joined - (portRef Z (instanceRef send_trm_wrong_addr_RNO)) - (portRef D (instanceRef send_trm_wrong_addr)) - )) (net send_trm_wrong_addr (joined (portRef Q (instanceRef send_trm_wrong_addr)) (portRef B (instanceRef state_to_int_RNO_5)) (portRef B (instanceRef state_to_int_ns_0_i_4)) - (portRef A (instanceRef send_trm_wrong_addr_RNIC2DP1)) - (portRef A (instanceRef send_trm_wrong_addr_RNIC2DP1_0)) + (portRef A (instanceRef send_trm_wrong_addr_RNI8H3K1)) + (portRef A (instanceRef send_trm_wrong_addr_RNI8H3K1_0)) )) (net sbuf_to_apl_next_READ (joined (portRef sbuf_to_apl_next_READ (instanceRef SBUF_TO_APL)) @@ -115032,10 +115035,10 @@ )) (net buf_api_stat_fifo_to_int_113 (joined (portRef Q (instanceRef sbuf_to_apl_free)) - (portRef A (instanceRef fifo_to_apl_read_iv)) - (portRef A (instanceRef fifo_to_apl_read_before_RNO)) - (portRef A (instanceRef next_APL_DATAREADY_OUT)) - (portRef D (instanceRef to_apl_un11_reg_apl_typ_out_1)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + (portRef B (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) + (portRef B (instanceRef fifo_to_apl_read_before_en_i)) + (portRef B (instanceRef next_APL_DATAREADY_OUT_0_a2)) )) (net sbuf_free_1_0_i (joined (portRef Z (instanceRef sbuf_free_1_0_i)) @@ -115052,61 +115055,60 @@ (net buf_api_stat_fifo_to_apl_104 (joined (portRef Q (instanceRef fifo_to_apl_data_out_0)) (portRef (member buf_api_stat_fifo_to_apl 2) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_0)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) (portRef D (instanceRef combined_header_F1_0)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_0)) )) - (net un1_fifo_to_apl_long_packet_num_out_0_a2 (joined - (portRef Z (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a2)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_0)) + (net un1_fifo_to_apl_long_packet_num_out_0_a3 (joined + (portRef Z (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a3)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_m2_2)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_m2_1)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) (portRef SP (instanceRef saved_fifo_to_apl_packet_type_2)) (portRef SP (instanceRef saved_fifo_to_apl_packet_type_1)) (portRef SP (instanceRef saved_fifo_to_apl_packet_type_0)) )) (net saved_fifo_to_apl_packet_type_0 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_0)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) )) (net buf_api_stat_fifo_to_apl_105 (joined (portRef Q (instanceRef fifo_to_apl_data_out_1)) (portRef (member buf_api_stat_fifo_to_apl 1) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_1)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_m2_1)) (portRef D (instanceRef combined_header_F1_1)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_1)) )) (net saved_fifo_to_apl_packet_type_1 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_1)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_m2_1)) + (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) )) (net fifo_to_apl_data_out_2 (joined (portRef Q (instanceRef fifo_to_apl_data_out_2)) (portRef (member fifo_to_apl_data_out 13) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_2)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_m2_2)) (portRef D (instanceRef combined_header_F1_2)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_2)) )) (net saved_fifo_to_apl_packet_type_2 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_2)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_m2_2)) + (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) )) (net fifo_to_apl_packet_num_out_0 (joined (portRef Q (instanceRef fifo_to_apl_packet_num_out_0)) (portRef (member fifo_to_apl_packet_num_out 1) (instanceRef SBUF_TO_APL)) - (portRef B (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1)) - (portRef B (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a2)) - (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1)) + (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) + (portRef B (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a3)) (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) )) (net last_fifo_to_apl_read (joined (portRef Q (instanceRef last_fifo_to_apl_read)) (portRef A (instanceRef fifo_to_apl_long_packet_num_out_3_1)) - (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) @@ -115118,10 +115120,9 @@ (net fifo_to_apl_long_packet_num_out_3_1 (joined (portRef Z (instanceRef fifo_to_apl_long_packet_num_out_3_1)) (portRef (member fifo_to_apl_long_packet_num_out_3 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1)) - (portRef A (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a2)) - (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1)) + (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) + (portRef A (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a3)) (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) )) (net saved_fifo_to_apl_long_packet_num_out_1 (joined @@ -115131,11 +115132,10 @@ (net fifo_to_apl_packet_num_out_1 (joined (portRef Q (instanceRef fifo_to_apl_packet_num_out_1)) (portRef (member fifo_to_apl_packet_num_out 0) (instanceRef SBUF_TO_APL)) - (portRef C (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1)) - (portRef C (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a2)) - (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) - (portRef A (instanceRef next_APL_DATAREADY_OUT_2)) + (portRef A (instanceRef fifo_to_apl_read_before_en_i_o2)) + (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1)) + (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) + (portRef C (instanceRef un1_fifo_to_apl_long_packet_num_out_0_a3)) (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) )) (net saved_fifo_to_apl_long_packet_num_out_2 (joined @@ -115143,7 +115143,7 @@ (portRef D (instanceRef fifo_to_apl_long_packet_num_out_3_1)) )) (net un6_send_trm_wrong_addr_0_i (joined - (portRef Z (instanceRef send_trm_wrong_addr_RNIC2DP1)) + (portRef Z (instanceRef send_trm_wrong_addr_RNI8H3K1)) (portRef SP (instanceRef registered_trailer_F1_2)) (portRef SP (instanceRef registered_trailer_F1_1)) (portRef SP (instanceRef registered_trailer_F1_0)) @@ -115162,8 +115162,8 @@ (portRef SP (instanceRef registered_trailer_F3_1)) (portRef SP (instanceRef registered_trailer_F3_0)) )) - (net send_trm_wrong_addr_RNIC2DP1_0 (joined - (portRef Z (instanceRef send_trm_wrong_addr_RNIC2DP1_0)) + (net send_trm_wrong_addr_RNI8H3K1_0 (joined + (portRef Z (instanceRef send_trm_wrong_addr_RNI8H3K1_0)) (portRef CD (instanceRef registered_trailer_F1_2)) (portRef CD (instanceRef registered_trailer_F1_1)) (portRef CD (instanceRef registered_trailer_F1_0)) @@ -115276,6 +115276,53 @@ (portRef D (instanceRef registered_header_F3_0)) (portRef D (instanceRef registered_trailer_F3_0)) )) + (net update_registered_header_1_sqmuxa (joined + (portRef Z (instanceRef update_registered_header_1_sqmuxa)) + (portRef SP (instanceRef registered_header_F0_15)) + (portRef SP (instanceRef registered_header_F0_14)) + (portRef SP (instanceRef registered_header_F0_13)) + (portRef SP (instanceRef registered_header_F0_12)) + (portRef SP (instanceRef registered_header_F0_11)) + (portRef SP (instanceRef registered_header_F0_10)) + (portRef SP (instanceRef registered_header_F0_9)) + (portRef SP (instanceRef registered_header_F0_8)) + (portRef SP (instanceRef registered_header_F0_7)) + (portRef SP (instanceRef registered_header_F0_6)) + (portRef SP (instanceRef registered_header_F0_5)) + (portRef SP (instanceRef registered_header_F0_4)) + (portRef SP (instanceRef registered_header_F0_3)) + (portRef SP (instanceRef registered_header_F0_2)) + (portRef SP (instanceRef registered_header_F0_1)) + (portRef SP (instanceRef registered_header_F0_0)) + (portRef SP (instanceRef registered_header_F1_15)) + (portRef SP (instanceRef registered_header_F1_14)) + (portRef SP (instanceRef registered_header_F1_13)) + (portRef SP (instanceRef registered_header_F1_12)) + (portRef SP (instanceRef registered_header_F1_11)) + (portRef SP (instanceRef registered_header_F1_10)) + (portRef SP (instanceRef registered_header_F1_9)) + (portRef SP (instanceRef registered_header_F1_8)) + (portRef SP (instanceRef registered_header_F1_7)) + (portRef SP (instanceRef registered_header_F1_6)) + (portRef SP (instanceRef registered_header_F1_5)) + (portRef SP (instanceRef registered_header_F1_4)) + (portRef SP (instanceRef registered_header_F1_3)) + (portRef SP (instanceRef registered_header_F1_2)) + (portRef SP (instanceRef registered_header_F1_1)) + (portRef SP (instanceRef registered_header_F1_0)) + (portRef SP (instanceRef registered_header_F3_11)) + (portRef SP (instanceRef registered_header_F3_10)) + (portRef SP (instanceRef registered_header_F3_9)) + (portRef SP (instanceRef registered_header_F3_8)) + (portRef SP (instanceRef registered_header_F3_7)) + (portRef SP (instanceRef registered_header_F3_6)) + (portRef SP (instanceRef registered_header_F3_5)) + (portRef SP (instanceRef registered_header_F3_4)) + (portRef SP (instanceRef registered_header_F3_3)) + (portRef SP (instanceRef registered_header_F3_2)) + (portRef SP (instanceRef registered_header_F3_1)) + (portRef SP (instanceRef registered_header_F3_0)) + )) (net registered_header_F3_0 (joined (portRef Q (instanceRef registered_header_F3_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_1_0)) @@ -115507,14 +115554,14 @@ (portRef Q (instanceRef registered_header_F0_15)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_2_15)) )) - (net un1_fifo_to_apl_read (joined - (portRef Z (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) + (net N_4955_i (joined + (portRef Z (instanceRef next_last_fifo_to_apl_read_RNO)) (portRef D (instanceRef next_last_fifo_to_apl_read)) )) (net next_last_fifo_to_apl_read (joined (portRef Q (instanceRef next_last_fifo_to_apl_read)) - (portRef C (instanceRef fifo_to_apl_read_before_RNO)) - (portRef C (instanceRef to_apl_un5_fifo_to_apl_read)) + (portRef D (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) + (portRef C (instanceRef fifo_to_apl_read_before_en_i)) (portRef SP (instanceRef fifo_to_apl_data_out_15)) (portRef SP (instanceRef fifo_to_apl_data_out_14)) (portRef SP (instanceRef fifo_to_apl_data_out_13)) @@ -115536,14 +115583,40 @@ (portRef D (instanceRef fifo_to_apl_read_before)) (portRef D (instanceRef last_fifo_to_apl_read)) )) + (net N_287_m (joined + (portRef Z (instanceRef master_counter_RNO_0)) + (portRef D (instanceRef master_counter_0)) + )) (net master_counter_6_0_i_2 (joined (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) (portRef D (instanceRef master_counter_2)) )) + (net buf_api_stat_fifo_to_int_120 (joined + (portRef Q (instanceRef master_counter_2)) + (portRef buf_api_stat_fifo_to_int_18 (instanceRef SBUF)) + (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef C (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) + (portRef C (instanceRef fifo_to_int_read)) + (portRef C (instanceRef master_counter_RNI6K79_0_2)) + (portRef C (instanceRef master_end_0_sqmuxa_3)) + (portRef C (instanceRef to_int_un21_next_int_master_dataready_out)) + (portRef C (instanceRef master_counter_RNI6K79_2)) + (portRef C (instanceRef to_int_un11_next_int_master_dataready_out)) + )) (net fifo_was_not_empty_3 (joined (portRef Z (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef D (instanceRef fifo_was_not_empty)) )) + (net fifo_was_not_empty (joined + (portRef Q (instanceRef fifo_was_not_empty)) + (portRef D (instanceRef state_to_int_RNIGK141_0)) + (portRef A (instanceRef state_to_int_ns_0_o2_3)) + (portRef C (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) + (portRef A (instanceRef update_registered_trailer_m1_e)) + )) (net buf_api_stat_fifo_to_int_108 (joined (portRef Z (instanceRef fifo_to_int_read)) (portRef buf_api_stat_fifo_to_int_9 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -115559,14 +115632,14 @@ (portRef A (instanceRef to_int_un21_next_int_master_dataready_out)) (portRef A (instanceRef to_int_un11_next_int_master_dataready_out)) )) - (net N_486_0_i (joined - (portRef Z (instanceRef fifo_to_apl_read_before_RNO)) + (net fifo_to_apl_read_before_en_i (joined + (portRef Z (instanceRef fifo_to_apl_read_before_en_i)) (portRef SP (instanceRef fifo_to_apl_read_before)) )) (net buf_api_stat_fifo_to_int_114 (joined (portRef Q (instanceRef fifo_to_apl_read_before)) - (portRef B (instanceRef to_apl_un5_fifo_to_apl_read)) - (portRef B (instanceRef next_APL_DATAREADY_OUT)) + (portRef C (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) + (portRef C (instanceRef next_APL_DATAREADY_OUT_0_a2)) )) (net next_fifo_to_apl_packet_num_out_0 (joined (portRef (member next_fifo_to_apl_packet_num_out 1) (instanceRef FIFO_TO_APL)) @@ -115710,7 +115783,7 @@ (portRef D (instanceRef endpoint_reached)) )) (net un10_current_fifo_to_apl_packet_type (joined - (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) + (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) (portRef SP (instanceRef combined_header_F1_15)) (portRef SP (instanceRef combined_header_F1_14)) (portRef SP (instanceRef combined_header_F1_13)) @@ -115728,7 +115801,7 @@ (portRef SP (instanceRef combined_header_F1_1)) (portRef SP (instanceRef combined_header_F1_0)) )) - (net N_4329_i (joined + (net N_4336_i (joined (portRef Z (instanceRef apl_send_in_timeout_counter_RNO_0)) (portRef D (instanceRef apl_send_in_timeout_counter_0)) )) @@ -115743,6 +115816,19 @@ (portRef Z (instanceRef apl_send_in_timeout_counter_RNO_1)) (portRef D (instanceRef apl_send_in_timeout_counter_1)) )) + (net un1_THE_ENDPOINT_6 (joined + (portRef (member un1_the_endpoint 0)) + (portRef B (instanceRef update_registered_header_1_sqmuxa)) + (portRef C (instanceRef state_to_int_RNIGK141_0)) + (portRef D (instanceRef state_to_int_ns_0_i_1)) + (portRef D (instanceRef update_registered_trailer_m4)) + (portRef B (instanceRef master_start_0_sqmuxa)) + (portRef B (instanceRef state_to_int_ns_0_o2_3)) + (portRef CD (instanceRef apl_send_in_timeout_counter_3)) + (portRef CD (instanceRef apl_send_in_timeout_counter_2)) + (portRef CD (instanceRef apl_send_in_timeout_counter_1)) + (portRef CD (instanceRef apl_send_in_timeout_counter_0)) + )) (net apl_send_in_timeout_counter_1 (joined (portRef Q (instanceRef apl_send_in_timeout_counter_1)) (portRef B (instanceRef apl_send_in_timeout_counter_RNO_3)) @@ -115765,8 +115851,8 @@ (net apl_send_in_timeout_counter_3 (joined (portRef Q (instanceRef apl_send_in_timeout_counter_3)) (portRef D (instanceRef apl_send_in_timeout_counter_RNO_3)) - (portRef B (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef D (instanceRef apl_send_in_timeout_counter_RNO_2)) + (portRef B (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef B (instanceRef apl_send_in_timeout_counter_RNO_0)) (portRef C (instanceRef apl_send_in_timeout_counter_RNO_1)) )) @@ -115806,65 +115892,50 @@ (portRef C0 (instanceRef sequence_counter_cry_0_0)) (portRef A0 (instanceRef sequence_counter_cry_0_0)) )) - (net APL_TYP_OUT_0_2 (joined - (portRef Z (instanceRef APL_TYP_OUT_2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m19_bm)) - )) - (net buf_api_stat_fifo_to_apl_110 (joined - (portRef buf_api_stat_fifo_to_apl_11 (instanceRef FIFO_TO_APL)) - (portRef A (instanceRef fifo_to_apl_write)) - )) - (net buf_api_stat_fifo_to_apl_99 (joined - (portRef Z (instanceRef fifo_to_apl_write)) - (portRef buf_api_stat_fifo_to_apl_0 (instanceRef FIFO_TO_APL)) - )) (net out_select (joined (portRef Z (instanceRef state_to_int_RNIEF6H_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_6)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) (portRef A (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) )) - (net N_379 (joined - (portRef Z (instanceRef un1_state_to_int_1_i_a2)) - (portRef C (instanceRef fifo_to_int_read)) - )) (net N_2 (joined (portRef Z (instanceRef update_registered_trailer_m1_e)) (portRef B (instanceRef update_registered_trailer_m4)) )) + (net N_4982 (joined + (portRef Z (instanceRef un1_state_to_int_1_i_a2_i_o3)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_o2)) + (portRef A (instanceRef fifo_to_int_read)) + )) + (net buf_api_stat_fifo_to_int_125 (joined + (portRef Z (instanceRef state_bits_to_apl_i_0_a2_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + )) (net sequence_counter_s_0 (joined (portRef S1 (instanceRef sequence_counter_cry_0_0)) (portRef A (instanceRef sequence_counterc)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef D (instanceRef state_to_int_RNO_5)) - (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) - (portRef B (instanceRef sequence_counterc_6)) - (portRef B (instanceRef sequence_counterc_5)) - (portRef B (instanceRef sequence_counterc_4)) - (portRef B (instanceRef sequence_counterc_3)) - (portRef B (instanceRef sequence_counterc_2)) - (portRef B (instanceRef sequence_counterc_1)) - (portRef B (instanceRef sequence_counterc_0)) - (portRef B (instanceRef sequence_counterc)) - (portRef A (instanceRef master_counter_RNO_1)) - )) (net sequence_counter_s_1 (joined (portRef S0 (instanceRef sequence_counter_cry_0_1)) (portRef A (instanceRef sequence_counterc_0)) )) + (net reset_i_rep1 (joined + (portRef reset_i_rep1) + (portRef B (instanceRef sequence_counterc_1)) + (portRef B (instanceRef sequence_counterc_0)) + (portRef B (instanceRef sequence_counterc)) + )) (net sequence_counter_s_2 (joined (portRef S1 (instanceRef sequence_counter_cry_0_1)) (portRef A (instanceRef sequence_counterc_1)) @@ -115877,6 +115948,15 @@ (portRef S1 (instanceRef sequence_counter_cry_0_3)) (portRef A (instanceRef sequence_counterc_3)) )) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef D (instanceRef state_to_int_RNO_5)) + (portRef B (instanceRef sequence_counterc_6)) + (portRef B (instanceRef sequence_counterc_5)) + (portRef B (instanceRef sequence_counterc_4)) + (portRef B (instanceRef sequence_counterc_3)) + (portRef B (instanceRef sequence_counterc_2)) + )) (net sequence_counter_s_5 (joined (portRef S0 (instanceRef sequence_counter_cry_0_5)) (portRef A (instanceRef sequence_counterc_4)) @@ -115889,106 +115969,107 @@ (portRef S0 (instanceRef sequence_counter_s_0_7)) (portRef A (instanceRef sequence_counterc_6)) )) - (net N_4390 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_11)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) + (net N_585 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_15)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) )) - (net N_5498 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_8)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (net N_573 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_3)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) + )) + (net N_570 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) + )) + (net N_576 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_6)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_6)) + )) + (net N_583 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_13)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) + )) + (net N_582 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_12)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) )) (net N_581 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_11)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) )) + (net N_580 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_10)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) + )) (net N_579 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_9)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) )) + (net N_578 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + )) + (net N_577 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_7)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + )) (net N_575 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_5)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) )) - (net N_570 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) + (net N_584 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) + )) + (net N_6051 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) )) - (net N_5500 (joined + (net N_6050 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_10)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) )) - (net N_4388 (joined + (net N_6049 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_9)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) )) - (net N_5497 (joined + (net N_6048 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_8)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + )) + (net N_6047 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_7)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) )) - (net N_5496 (joined + (net N_6046 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_6)) )) - (net N_4384 (joined + (net N_6045 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_5)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) )) - (net N_5493 (joined + (net N_6043 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_3)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) )) - (net N_4379 (joined + (net N_6040 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) )) - (net N_584 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_14)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - )) - (net N_583 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_13)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - )) - (net N_580 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_10)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) - )) - (net N_578 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_8)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) - )) - (net N_585 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_15)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - )) - (net N_582 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_12)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) - )) - (net N_577 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) - )) - (net N_576 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_6)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_6)) - )) - (net N_573 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_3)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) - )) (net buf_api_stat_fifo_to_int_111 (joined (portRef buf_api_stat_fifo_to_int_12 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef state_to_int_ns_0_1_4)) (portRef B (instanceRef state_to_int_ns_i_5)) - (portRef A (instanceRef fifo_to_int_read)) + (portRef B (instanceRef fifo_to_int_read)) (portRef A (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef B (instanceRef to_int_un21_next_int_master_dataready_out)) (portRef B (instanceRef to_int_un11_next_int_master_dataready_out)) )) (net un11_next_int_master_dataready_out_0 (joined (portRef Z (instanceRef to_int_un11_next_int_master_dataready_out)) - (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) + (portRef un11_next_int_master_dataready_out_0 (instanceRef SBUF)) + (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) )) (net next_INT_MASTER_DATA_OUT_sn_N_12_0 (joined (portRef Z (instanceRef state_to_int_RNINQ09_3)) @@ -115996,40 +116077,18 @@ (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_3)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_5)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_6)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_12)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_10)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_14)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_8)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_9)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_11)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_13)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_0)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_8)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_15)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_11)) - )) - (net buf_to_apl_INIT_DATAREADY_3 (joined - (portRef (member buf_to_apl_init_dataready 0)) - (portRef A (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef A (instanceRef state_to_apl_ns_1_0__m8_0_a2)) - (portRef B (instanceRef fifo_to_apl_write)) - )) - (net N_5114 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m8_0_a2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef A (instanceRef state_to_apl_ns_1_0__m19_am)) - )) - (net N_497_a2_0 (joined - (portRef Z (instanceRef master_counter_RNIGCK_2)) - (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) - (portRef B (instanceRef fifo_to_int_read_before_RNO)) - )) - (net un21_next_int_master_dataready_out_0 (joined - (portRef Z (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef un21_next_int_master_dataready_out_0 (instanceRef SBUF)) - (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) )) (net next_INT_MASTER_DATA_OUT_sn_N_15_0 (joined (portRef Z (instanceRef master_counter_RNI6K79_2)) @@ -116037,56 +116096,49 @@ (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_2)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) - (net next_APL_DATAREADY_OUT_2 (joined - (portRef Z (instanceRef next_APL_DATAREADY_OUT_2)) - (portRef B (instanceRef fifo_to_apl_read_before_RNO)) - (portRef C (instanceRef next_APL_DATAREADY_OUT)) - )) (net buf_to_apl_INIT_PACKET_NUM_10 (joined (portRef (member buf_to_apl_init_packet_num 1)) - (portRef C (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef C (instanceRef state_to_apl_ns_1_0__m8_0_a2)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) )) - (net N_5108 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o2)) - (portRef A (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) - (portRef B (instanceRef send_trm_wrong_addr_RNO)) - (portRef B (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) + (net N_4968 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) + (portRef A (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) )) - (net buf_to_apl_INIT_PACKET_NUM_11 (joined - (portRef (member buf_to_apl_init_packet_num 0)) - (portRef buf_to_apl_INIT_PACKET_NUM_2 (instanceRef FIFO_TO_APL)) - (portRef D (instanceRef send_trm_wrong_addr_RNO)) - (portRef D (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) - (portRef D (instanceRef slave_start_0_a2_0_3_0_a2)) - (portRef D (instanceRef state_to_apl_ns_1_0__m8_0_a2)) + (net un21_next_int_master_dataready_out_0 (joined + (portRef Z (instanceRef to_int_un21_next_int_master_dataready_out)) + (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) )) - (net N_467_4 (joined - (portRef Z (instanceRef slave_start_0_a2_0_3_0_a2)) - (portRef D (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) - (portRef D (instanceRef state_to_apl_ns_1_0__m6_0_a2)) + (net m16_i_a3_0_8_5 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) )) - (net m15_3 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef C (instanceRef state_to_apl_ns_1_0__N_17_i)) + (net m16_i_a3_0_8_6 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) )) - (net un11_reg_apl_typ_out_1 (joined - (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_1)) - (portRef D (instanceRef state_to_apl_ns_1_0__m19_bm)) + (net m16_i_a3_2_2 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_a3_2_2)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) )) - (net un9_saved_fifo_to_apl_packet_type_3 (joined - (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) - (portRef D (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (net un9_saved_fifo_to_apl_packet_type_0_a2_3 (joined + (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2_3)) + (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) )) - (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7)) + (net buf_to_apl_INIT_PACKET_NUM_9 (joined + (portRef (member buf_to_apl_init_packet_num 2)) + (portRef buf_to_apl_INIT_PACKET_NUM_0 (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_2_2)) )) - (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) + (net buf_to_apl_INIT_PACKET_NUM_11 (joined + (portRef (member buf_to_apl_init_packet_num 0)) + (portRef buf_to_apl_INIT_PACKET_NUM_2 (instanceRef FIFO_TO_APL)) + (portRef C (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_2_2)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_i (joined (portRef Z (instanceRef master_counter_RNI6K79_0_2)) @@ -116095,65 +116147,54 @@ (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_2)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_1)) (portRef SD (instanceRef next_INT_MASTER_DATA_OUT_0)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_12)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_6)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_14)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_10)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_6)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_5)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_15)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_13)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_12)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_11)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_15)) )) - (net buf_to_apl_INIT_PACKET_NUM_9 (joined - (portRef (member buf_to_apl_init_packet_num 2)) - (portRef buf_to_apl_INIT_PACKET_NUM_0 (instanceRef FIFO_TO_APL)) - (portRef B (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) - (portRef C (instanceRef send_trm_wrong_addr_RNO)) - (portRef C (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m8_0_a2)) - )) - (net N_7 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef C (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef C (instanceRef state_to_apl_ns_1_0__m19_am)) + (net N_101 (joined + (portRef Z (instanceRef fifo_to_apl_read_before_en_i_o2)) + (portRef A (instanceRef fifo_to_apl_read_before_en_i)) + (portRef A (instanceRef next_APL_DATAREADY_OUT_0_a2)) )) (net buf_api_stat_fifo_to_int_112 (joined - (portRef Z (instanceRef next_APL_DATAREADY_OUT)) + (portRef Z (instanceRef next_APL_DATAREADY_OUT_0_a2)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef SBUF_TO_APL2)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef SBUF_TO_APL)) )) - (net buf_to_apl_INIT_DATA_48 (joined - (portRef (member buf_to_apl_init_data 15)) - (portRef (member buf_to_apl_init_data 15) (instanceRef FIFO_TO_APL)) - (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7)) - (portRef A (instanceRef slave_start_0_a2_0_3_0_a2)) + (net un1_next_state_to_apl_0_sqmuxa_i_a2_0 (joined + (portRef Z (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0)) + (portRef D (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) )) - (net buf_to_apl_INIT_DATA_50 (joined - (portRef (member buf_to_apl_init_data 13)) - (portRef (member buf_to_apl_init_data 13) (instanceRef FIFO_TO_APL)) - (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7)) - (portRef C (instanceRef slave_start_0_a2_0_3_0_a2)) + (net N_1958 (joined + (portRef N_1958) + (portRef A (instanceRef fifo_to_int_write_0_a2)) )) - (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_7)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) + (net ADR_PACKET_NUM_OUT_2 (joined + (portRef (member adr_packet_num_out 0)) + (portRef C (instanceRef fifo_to_int_write_0_a2)) )) - (net un5_fifo_to_apl_read (joined - (portRef Z (instanceRef to_apl_un5_fifo_to_apl_read)) - (portRef D (instanceRef fifo_to_apl_read_iv)) + (net buf_API_DATAREADY_OUT (joined + (portRef buf_API_DATAREADY_OUT) + (portRef D (instanceRef fifo_to_int_write_0_a2)) )) - (net next_INT_MASTER_DATA_OUT_8_am_0 (joined + (net buf_api_stat_fifo_to_int_99 (joined + (portRef Z (instanceRef fifo_to_int_write_0_a2)) + (portRef buf_api_stat_fifo_to_int_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + )) + (net next_INT_MASTER_DATA_OUT_8_am_0_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) - (net next_INT_MASTER_DATA_OUT_8_bm_0 (joined + (net next_INT_MASTER_DATA_OUT_8_bm_0_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) @@ -116170,80 +116211,38 @@ (portRef A (instanceRef state_to_int_ns_i_2)) (portRef A (instanceRef state_to_int_ns_0_0_4)) )) - (net N_1956 (joined - (portRef N_1956) - (portRef A (instanceRef fifo_to_int_write)) - )) - (net ADR_PACKET_NUM_OUT_2 (joined - (portRef (member adr_packet_num_out 0)) - (portRef C (instanceRef fifo_to_int_write)) - )) - (net buf_API_DATAREADY_OUT (joined - (portRef buf_API_DATAREADY_OUT) - (portRef D (instanceRef fifo_to_int_write)) - )) - (net buf_api_stat_fifo_to_int_99 (joined - (portRef Z (instanceRef fifo_to_int_write)) - (portRef buf_api_stat_fifo_to_int_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - )) - (net buf_API_SHORT_TRANSFER_OUT_Q (joined - (portRef buf_API_SHORT_TRANSFER_OUT_Q) - (portRef D (instanceRef state_to_int_ns_i_2)) - (portRef D (instanceRef state_to_int_ns_0_0_4)) - (portRef C (instanceRef update_registered_trailer_m4)) - (portRef A (instanceRef state_to_int_RNIPNG81_4)) - )) (net N_5 (joined (portRef Z (instanceRef update_registered_trailer_m4)) - (portRef B (instanceRef send_trm_wrong_addr_RNIC2DP1)) - (portRef B (instanceRef send_trm_wrong_addr_RNIC2DP1_0)) + (portRef B (instanceRef send_trm_wrong_addr_RNI8H3K1)) + (portRef B (instanceRef send_trm_wrong_addr_RNI8H3K1_0)) + )) + (net buf_to_apl_INIT_DATA_48 (joined + (portRef (member buf_to_apl_init_data 15)) + (portRef (member buf_to_apl_init_data 15) (instanceRef FIFO_TO_APL)) + (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) + )) + (net buf_to_apl_INIT_DATA_50 (joined + (portRef (member buf_to_apl_init_data 13)) + (portRef (member buf_to_apl_init_data 13) (instanceRef FIFO_TO_APL)) + (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_2)) )) (net un9_saved_fifo_to_apl_packet_type (joined - (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) - (portRef D (instanceRef state_to_apl_ns_1_0__m19_am)) + (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_0_a2)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_0_a3_0)) )) (net next_INT_MASTER_DATAREADY_OUT_iv_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) (portRef next_INT_MASTER_DATAREADY_OUT_iv_0 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) )) - (net un10_current_fifo_to_apl_packet_type_0_a2_1 (joined - (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2_1)) - (portRef D (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) - )) - (net fifo_to_int_data_out_11 (joined - (portRef (member fifo_to_int_data_out 4) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_11 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_11)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_11 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_11)) - )) - (net N_653 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_11)) - (portRef N_653 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_11)) - )) - (net fifo_to_int_data_out_13 (joined - (portRef (member fifo_to_int_data_out 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_0_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - )) - (net N_655 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - (portRef N_655 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_13)) + (net un10_current_fifo_to_apl_packet_type_0_a3_1 (joined + (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_1)) + (portRef D (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) )) (net fifo_to_int_data_out_15 (joined (portRef (member fifo_to_int_data_out 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -116262,32 +116261,15 @@ (portRef N_657 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_15)) )) - (net fifo_to_int_data_out_8 (joined - (portRef (member fifo_to_int_data_out 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) - )) - (net N_650 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_8)) - (portRef N_650 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8)) - )) (net buf_api_stat_fifo_to_int_105 (joined (portRef buf_api_stat_fifo_to_int_6 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0 (joined + (net next_INT_MASTER_DATA_OUT_7_am_0_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0 (joined + (net next_INT_MASTER_DATA_OUT_7_bm_0_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) )) @@ -116295,6 +116277,57 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_0)) (portRef D1 (instanceRef next_INT_MASTER_DATA_OUT_0)) )) + (net fifo_to_int_data_out_13 (joined + (portRef (member fifo_to_int_data_out 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + )) + (net N_655 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (portRef N_655 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_13)) + )) + (net fifo_to_int_data_out_12 (joined + (portRef (member fifo_to_int_data_out 3) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_12 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_12 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + )) + (net N_654 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + (portRef N_654 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_12)) + )) + (net fifo_to_int_data_out_11 (joined + (portRef (member fifo_to_int_data_out 4) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_11 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_11)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_11)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_11 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_11)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_11)) + )) + (net N_653 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_11)) + (portRef N_653 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_11)) + )) (net fifo_to_int_data_out_9 (joined (portRef (member fifo_to_int_data_out 6) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) @@ -116312,22 +116345,39 @@ (portRef N_651 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_9)) )) - (net fifo_to_int_data_out_5 (joined - (portRef (member fifo_to_int_data_out 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) + (net fifo_to_int_data_out_8 (joined + (portRef (member fifo_to_int_data_out 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) )) - (net next_INT_MASTER_DATA_OUT_7_am_5 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + (net next_INT_MASTER_DATA_OUT_7_am_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_5 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + (net next_INT_MASTER_DATA_OUT_7_bm_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) )) - (net N_647 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_5)) - (portRef N_647 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_5)) + (net N_650 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (portRef N_650 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8)) + )) + (net fifo_to_int_data_out_7 (joined + (portRef (member fifo_to_int_data_out 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + )) + (net N_649 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (portRef N_649 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7)) )) (net fifo_to_int_data_out_14 (joined (portRef (member fifo_to_int_data_out 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -116363,40 +116413,6 @@ (portRef N_652 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_10)) )) - (net fifo_to_int_data_out_12 (joined - (portRef (member fifo_to_int_data_out 3) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_12 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_12)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_12 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_12)) - )) - (net N_654 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_12)) - (portRef N_654 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_12)) - )) - (net fifo_to_int_data_out_7 (joined - (portRef (member fifo_to_int_data_out 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - )) - (net N_649 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - (portRef N_649 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7)) - )) (net fifo_to_int_data_out_6 (joined (portRef (member fifo_to_int_data_out 9) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_6)) @@ -116414,6 +116430,23 @@ (portRef N_648 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_6)) )) + (net fifo_to_int_data_out_5 (joined + (portRef (member fifo_to_int_data_out 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_5 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_5)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_5 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_5)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + )) + (net N_647 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_5)) + (portRef N_647 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_5)) + )) (net fifo_to_int_data_out_3 (joined (portRef (member fifo_to_int_data_out 12) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) @@ -116431,44 +116464,80 @@ (portRef N_645 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_3)) )) - (net next_INT_MASTER_DATA_OUT_11 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_11)) - (portRef (member next_int_master_data_out 4) (instanceRef SBUF)) + (net N_4969 (joined + (portRef Z (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) + (portRef N_4969 (instanceRef FIFO_TO_APL)) + (portRef A (instanceRef next_last_fifo_to_apl_read_RNO)) )) - (net next_INT_MASTER_DATA_OUT_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_13)) - (portRef (member next_int_master_data_out 2) (instanceRef SBUF)) + (net N_4994 (joined + (portRef Z (instanceRef to_apl_un21_int_slave_dataready_in_i_a3)) + (portRef A (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) + )) + (net N_87 (joined + (portRef N_87) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + )) + (net N_93 (joined + (portRef N_93) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + )) + (net N_5000 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_0_a3)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_0)) + )) + (net N_5001 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_0_a3_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m19_0)) + )) + (net N_102 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + (portRef N_102 (instanceRef SBUF_TO_APL2)) + (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) + )) + (net N_103 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_m2_1)) + (portRef N_103 (instanceRef SBUF_TO_APL2)) + (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) )) (net next_INT_MASTER_DATA_OUT_15 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_15)) (portRef (member next_int_master_data_out 0) (instanceRef SBUF)) )) + (net next_INT_MASTER_DATA_OUT_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7)) + (portRef (member next_int_master_data_out 8) (instanceRef SBUF)) + )) (net next_INT_MASTER_DATA_OUT_8 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8)) (portRef (member next_int_master_data_out 7) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_0 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef (member current_fifo_to_apl_packet_type 2) (instanceRef SBUF_TO_APL2)) - (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) + (net next_INT_MASTER_DATA_OUT_9 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef (member next_int_master_data_out 6) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_1 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef (member current_fifo_to_apl_packet_type 1) (instanceRef SBUF_TO_APL2)) - (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) + (net next_INT_MASTER_DATA_OUT_11 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_11)) + (portRef (member next_int_master_data_out 4) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_2 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef (member current_fifo_to_apl_packet_type 0) (instanceRef SBUF_TO_APL2)) - (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a2)) + (net next_INT_MASTER_DATA_OUT_12 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_12)) + (portRef (member next_int_master_data_out 3) (instanceRef SBUF)) + )) + (net next_INT_MASTER_DATA_OUT_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_13)) + (portRef (member next_int_master_data_out 2) (instanceRef SBUF)) + )) + (net next_INT_MASTER_DATA_OUT_3 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_3)) + (portRef (member next_int_master_data_out 12) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_5 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_5)) (portRef (member next_int_master_data_out 10) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_9 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_9)) - (portRef (member next_int_master_data_out 6) (instanceRef SBUF)) + (net next_INT_MASTER_DATA_OUT_6 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_6)) + (portRef (member next_int_master_data_out 9) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_10 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_10)) @@ -116478,21 +116547,17 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_14)) (portRef (member next_int_master_data_out 1) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_3 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_3)) - (portRef (member next_int_master_data_out 12) (instanceRef SBUF)) - )) - (net next_INT_MASTER_DATA_OUT_6 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_6)) - (portRef (member next_int_master_data_out 9) (instanceRef SBUF)) - )) - (net next_INT_MASTER_DATA_OUT_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7)) - (portRef (member next_int_master_data_out 8) (instanceRef SBUF)) + (net N_104 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_m2_2)) + (portRef N_104 (instanceRef SBUF_TO_APL2)) + (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) )) - (net next_INT_MASTER_DATA_OUT_12 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_12)) - (portRef (member next_int_master_data_out 3) (instanceRef SBUF)) + (net buf_API_SHORT_TRANSFER_OUT_Q (joined + (portRef buf_API_SHORT_TRANSFER_OUT_Q) + (portRef A (instanceRef update_registered_header_1_sqmuxa)) + (portRef D (instanceRef state_to_int_ns_i_2)) + (portRef D (instanceRef state_to_int_ns_0_0_4)) + (portRef C (instanceRef update_registered_trailer_m4)) )) (net state_to_int_ns_0_0_4 (joined (portRef Z (instanceRef state_to_int_ns_0_0_4)) @@ -116530,11 +116595,11 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1)) (portRef (member next_int_master_data_out 14) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_am_0_2 (joined + (net next_INT_MASTER_DATA_OUT_am_2 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_2)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_2)) )) - (net next_INT_MASTER_DATA_OUT_bm_0_2 (joined + (net next_INT_MASTER_DATA_OUT_bm_2 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_2)) )) @@ -116554,101 +116619,63 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4)) (portRef (member next_int_master_data_out 11) (instanceRef SBUF)) )) - (net N_5097 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) - )) - (net un9_int_slave_dataready_in (joined - (portRef S1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) - )) - (net N_5095_2 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_2)) - (portRef A (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef A (instanceRef send_trm_wrong_addr_RNO)) - (portRef A (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) - )) - (net CO0_0_0 (joined - (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_0_2)) - (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - )) - (net buf_api_stat_fifo_to_apl_107 (joined - (portRef Z (instanceRef fifo_to_apl_read_iv)) - (portRef buf_api_stat_fifo_to_apl_8 (instanceRef FIFO_TO_APL)) - (portRef A (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) - )) - (net m19_am (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m19_am)) - (portRef BLUT (instanceRef state_to_apl_ns_1_0__m19)) - )) - (net N_2069_0_1 (joined - (portRef N_2069_0_1) - (portRef A (instanceRef state_to_apl_ns_1_0__m19_bm)) - )) - (net m19_bm (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m19_bm)) - (portRef ALUT (instanceRef state_to_apl_ns_1_0__m19)) - )) - (net N_466 (joined - (portRef Z (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2)) - (portRef C (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) + (net un26_clk_en (joined + (portRef Z (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef master_counter_RNO_0)) + (portRef B (instanceRef fifo_to_int_read_before_RNO)) )) - (net next_fifo_to_apl_empty (joined - (portRef next_fifo_to_apl_empty (instanceRef FIFO_TO_APL)) - (portRef B (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) + (net N_5810_tz (joined + (portRef Z (instanceRef state_to_int_RNIGK141_0)) + (portRef A (instanceRef state_to_int_ns_0_1_4)) + (portRef A (instanceRef state_to_int_ns_i_5)) )) (net state_to_int_ns_0_1_4 (joined (portRef Z (instanceRef state_to_int_ns_0_1_4)) (portRef D (instanceRef state_to_int_ns_0_i_4)) )) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (portRef C (instanceRef master_counter_RNO_0)) + (portRef A (instanceRef master_counter_RNO_1)) + )) (net endpoint_reached_i_m_2 (joined - (portRef Z (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_RNISQJ01)) + (portRef Z (instanceRef un1_next_state_to_apl_0_sqmuxa_i_a2_0_RNIOC6M1)) (portRef A (instanceRef state_to_int_RNO_5)) + (portRef A (instanceRef state_to_int_ns_0_i_1)) (portRef A (instanceRef state_to_int_ns_0_i_4)) (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) - (portRef A (instanceRef state_to_int_ns_0_i_s_1)) - )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) )) - (net N_289 (joined - (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) - )) - (net m11_am_0 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef BLUT (instanceRef state_to_apl_ns_1_0__m11)) - )) - (net m11_bm_0 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef ALUT (instanceRef state_to_apl_ns_1_0__m11)) + (net next_fifo_to_apl_empty (joined + (portRef next_fifo_to_apl_empty (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef next_last_fifo_to_apl_read_RNO)) )) - (net N_12 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m11)) - (portRef B (instanceRef state_to_apl_ns_1_0__N_17_i)) + (net master_counter_6_0_i_1_2 (joined + (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (net m16_i_1_0 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m16_i_1_0)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i)) )) - (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) + (net un21_int_slave_dataready_in_i_o2_1 (joined + (portRef Z (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) + (portRef D (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) )) (net buf_to_apl_INIT_DATA_49 (joined (portRef (member buf_to_apl_init_data 14)) (portRef (member buf_to_apl_init_data 14) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) - (portRef B (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef A (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_2_2)) )) (net buf_to_apl_INIT_DATA_56 (joined (portRef (member buf_to_apl_init_data 7)) (portRef (member buf_to_apl_init_data 7) (instanceRef FIFO_TO_APL)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) + (portRef C (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) + (portRef D (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) )) (net sequence_counter_cry_0 (joined (portRef COUT (instanceRef sequence_counter_cry_0_0)) @@ -116709,7 +116736,7 @@ (portRef (member buf_to_apl_init_data 12)) (portRef (member buf_to_apl_init_data 12) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) + (portRef A (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) )) (net MY_ADDRESS_4 (joined (portRef (member my_address 11)) @@ -116725,13 +116752,13 @@ (portRef (member buf_to_apl_init_data 11)) (portRef (member buf_to_apl_init_data 11) (instanceRef FIFO_TO_APL)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) + (portRef B (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) )) (net buf_to_apl_INIT_DATA_53 (joined (portRef (member buf_to_apl_init_data 10)) (portRef (member buf_to_apl_init_data 10) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0_1)) + (portRef B (instanceRef to_apl_un21_int_slave_dataready_in_i_o2)) )) (net un9_int_slave_dataready_in_0_data_tmp_2 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) @@ -116757,13 +116784,13 @@ (portRef (member buf_to_apl_init_data 9)) (portRef (member buf_to_apl_init_data 9) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) )) (net buf_to_apl_INIT_DATA_55 (joined (portRef (member buf_to_apl_init_data 8)) (portRef (member buf_to_apl_init_data 8) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_0)) + (portRef C (instanceRef to_apl_un21_int_slave_dataready_in_i_o2_1)) )) (net MY_ADDRESS_8 (joined (portRef (member my_address 7)) @@ -116779,7 +116806,7 @@ (portRef (member buf_to_apl_init_data 6)) (portRef (member buf_to_apl_init_data 6) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef A (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) )) (net un9_int_slave_dataready_in_0_data_tmp_4 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) @@ -116805,13 +116832,13 @@ (portRef (member buf_to_apl_init_data 5)) (portRef (member buf_to_apl_init_data 5) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) )) (net buf_to_apl_INIT_DATA_59 (joined (portRef (member buf_to_apl_init_data 4)) (portRef (member buf_to_apl_init_data 4) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) )) (net MY_ADDRESS_12 (joined (portRef (member my_address 3)) @@ -116827,13 +116854,13 @@ (portRef (member buf_to_apl_init_data 3)) (portRef (member buf_to_apl_init_data 3) (instanceRef FIFO_TO_APL)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef B (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) )) (net buf_to_apl_INIT_DATA_61 (joined (portRef (member buf_to_apl_init_data 2)) (portRef (member buf_to_apl_init_data 2) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef C (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) )) (net un9_int_slave_dataready_in_0_data_tmp_6 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) @@ -116859,13 +116886,13 @@ (portRef (member buf_to_apl_init_data 1)) (portRef (member buf_to_apl_init_data 1) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_6)) )) (net buf_to_apl_INIT_DATA_63 (joined (portRef (member buf_to_apl_init_data 0)) (portRef (member buf_to_apl_init_data 0) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef D (instanceRef state_to_apl_ns_1_0__m16_i_a3_0_8_5)) )) (net un9_int_slave_dataready_in_0_I_21_0_COUT_0 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) @@ -117015,29 +117042,25 @@ )) (net buf_APL_PACKET_NUM_OUT_9 (joined (portRef (member buf_apl_packet_num_out 2) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef to_apl_un11_reg_apl_typ_out_1)) (portRef (member buf_apl_packet_num_out 2)) )) (net buf_APL_PACKET_NUM_OUT_10 (joined (portRef (member buf_apl_packet_num_out 1) (instanceRef SBUF_TO_APL)) - (portRef B (instanceRef to_apl_un11_reg_apl_typ_out_1)) (portRef (member buf_apl_packet_num_out 1)) )) (net buf_APL_PACKET_NUM_OUT_11 (joined (portRef (member buf_apl_packet_num_out 0) (instanceRef SBUF_TO_APL)) - (portRef C (instanceRef to_apl_un11_reg_apl_typ_out_1)) (portRef (member buf_apl_packet_num_out 0)) )) - (net buf_api_stat_fifo_to_apl_7 (joined + (net buf_api_stat_fifo_to_apl_2 (joined (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef APL_TYP_OUT_2)) - (portRef buf_api_stat_fifo_to_apl_7) + (portRef buf_api_stat_fifo_to_apl_2) )) (net buf_APL_READ_IN_3 (joined (portRef (member buf_apl_read_in 0)) (portRef (member buf_apl_read_in 0) (instanceRef SBUF_TO_APL2)) (portRef (member buf_apl_read_in 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef to_apl_un5_fifo_to_apl_read)) + (portRef A (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read_i_o2)) )) (net buf_APL_TYP_OUT_0 (joined (portRef (member buf_apl_typ_out 2) (instanceRef SBUF_TO_APL2)) @@ -117049,85 +117072,89 @@ )) (net buf_APL_TYP_OUT_2 (joined (portRef (member buf_apl_typ_out 0) (instanceRef SBUF_TO_APL2)) - (portRef B (instanceRef APL_TYP_OUT_2)) (portRef (member buf_apl_typ_out 0)) )) (net buf_api_stat_fifo_to_apl_i_110 (joined (portRef (member buf_api_stat_fifo_to_apl_i 0) (instanceRef FIFO_TO_APL)) (portRef (member buf_api_stat_fifo_to_apl_i 0)) )) - (net reset_i_19 (joined - (portRef reset_i_19) - (portRef reset_i_19 (instanceRef FIFO_TO_APL)) + (net buf_to_apl_INIT_DATAREADY_3 (joined + (portRef (member buf_to_apl_init_dataready 0)) + (portRef (member buf_to_apl_init_dataready 0) (instanceRef FIFO_TO_APL)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + )) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef FIFO_TO_APL)) )) (net buf_api_stat_fifo_to_int_11 (joined (portRef buf_api_stat_fifo_to_int_11 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef fifo_to_int_write)) + (portRef B (instanceRef fifo_to_int_write_0_a2)) (portRef buf_api_stat_fifo_to_int_11) )) - (net buf_APL_DATA_IN_48 (joined - (portRef (member buf_apl_data_in 15)) - (portRef (member buf_apl_data_in 15) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_0 (joined + (portRef buf_APL_DATA_IN_0) + (portRef buf_APL_DATA_IN_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef (member buf_apl_data_in 14)) - (portRef (member buf_apl_data_in 14) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_1 (joined + (portRef buf_APL_DATA_IN_1) + (portRef buf_APL_DATA_IN_1 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_50 (joined - (portRef (member buf_apl_data_in 13)) - (portRef (member buf_apl_data_in 13) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_2 (joined + (portRef buf_APL_DATA_IN_2) + (portRef buf_APL_DATA_IN_2 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef (member buf_apl_data_in 12)) - (portRef (member buf_apl_data_in 12) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_3 (joined + (portRef buf_APL_DATA_IN_3) + (portRef buf_APL_DATA_IN_3 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_52 (joined - (portRef (member buf_apl_data_in 11)) - (portRef (member buf_apl_data_in 11) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_4 (joined + (portRef buf_APL_DATA_IN_4) + (portRef buf_APL_DATA_IN_4 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_53 (joined - (portRef (member buf_apl_data_in 10)) - (portRef (member buf_apl_data_in 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_5 (joined + (portRef buf_APL_DATA_IN_5) + (portRef buf_APL_DATA_IN_5 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef (member buf_apl_data_in 9)) - (portRef (member buf_apl_data_in 9) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_6 (joined + (portRef buf_APL_DATA_IN_6) + (portRef buf_APL_DATA_IN_6 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_55 (joined - (portRef (member buf_apl_data_in 8)) - (portRef (member buf_apl_data_in 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_7 (joined + (portRef buf_APL_DATA_IN_7) + (portRef buf_APL_DATA_IN_7 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef (member buf_apl_data_in 7)) - (portRef (member buf_apl_data_in 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_8 (joined + (portRef buf_APL_DATA_IN_8) + (portRef buf_APL_DATA_IN_8 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_57 (joined - (portRef (member buf_apl_data_in 6)) - (portRef (member buf_apl_data_in 6) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_10 (joined + (portRef buf_APL_DATA_IN_10) + (portRef buf_APL_DATA_IN_10 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_58 (joined - (portRef (member buf_apl_data_in 5)) - (portRef (member buf_apl_data_in 5) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_11 (joined + (portRef buf_APL_DATA_IN_11) + (portRef buf_APL_DATA_IN_11 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_59 (joined - (portRef (member buf_apl_data_in 4)) - (portRef (member buf_apl_data_in 4) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_12 (joined + (portRef buf_APL_DATA_IN_12) + (portRef buf_APL_DATA_IN_12 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_60 (joined - (portRef (member buf_apl_data_in 3)) - (portRef (member buf_apl_data_in 3) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_13 (joined + (portRef buf_APL_DATA_IN_13) + (portRef buf_APL_DATA_IN_13 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef (member buf_apl_data_in 2)) - (portRef (member buf_apl_data_in 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_14 (joined + (portRef buf_APL_DATA_IN_14) + (portRef buf_APL_DATA_IN_14 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef (member buf_apl_data_in 1)) - (portRef (member buf_apl_data_in 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_APL_DATA_IN_15 (joined + (portRef buf_APL_DATA_IN_15) + (portRef buf_APL_DATA_IN_15 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net buf_APL_DATA_IN_63 (joined - (portRef (member buf_apl_data_in 0)) - (portRef (member buf_apl_data_in 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined + (portRef (member buf_api_data_out_rnigu9q 0)) + (portRef (member buf_api_data_out_rnigu9q 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) (net buf_APL_PACKET_NUM_IN_0 (joined (portRef buf_APL_PACKET_NUM_IN_0) @@ -117137,10 +117164,10 @@ (portRef buf_APL_PACKET_NUM_IN_2) (portRef buf_APL_PACKET_NUM_IN_2 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef reset_i_18 (instanceRef FIFO_TO_APL)) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef reset_i_19 (instanceRef FIFO_TO_APL)) )) ) (property HGROUP (string "API_group")) @@ -117151,21 +117178,19 @@ (interface (port (array (rename buf_apl_packet_num_in "buf_APL_PACKET_NUM_IN(3:3)") 1) (direction INPUT)) (port (array (rename buf_apl_data_in "buf_APL_DATA_IN(31:16)") 16) (direction INPUT)) - (port (array (rename state "state(1:0)") 2) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_apl_i "buf_api_stat_fifo_to_apl_i(46:46)") 1) (direction OUTPUT)) (port (array (rename buf_apl_data_out "buf_APL_DATA_OUT(31:16)") 16) (direction OUTPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(1:1)") 1) (direction INPUT)) + (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_1 (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_0 (direction OUTPUT)) (port (array (rename apl_to_buf_reply_data "apl_to_buf_REPLY_DATA(31:16)") 16) (direction OUTPUT)) (port (array (rename buf_apl_typ_out "buf_APL_TYP_OUT(2:0)") 3) (direction OUTPUT)) (port (array (rename buf_apl_dataready_out "buf_APL_DATAREADY_OUT(1:1)") 1) (direction OUTPUT)) (port (array (rename buf_apl_packet_num_out "buf_APL_PACKET_NUM_OUT(5:3)") 3) (direction OUTPUT)) - (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(31:16)") 16) (direction INPUT)) (port (array (rename buf_apl_read_in "buf_APL_READ_IN(1:1)") 1) (direction INPUT)) - (port buf_api_stat_fifo_to_int_4 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_3 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_0 (direction OUTPUT)) - (port buf_api_stat_fifo_to_int_11 (direction OUTPUT)) - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction INPUT)) + (port (array (rename buf_to_apl_init_data "buf_to_apl_INIT_DATA(31:16)") 16) (direction INPUT)) + (port (array (rename buf_to_apl_init_packet_num "buf_to_apl_INIT_PACKET_NUM(5:3)") 3) (direction INPUT)) (port buf_api_stat_fifo_to_apl_9 (direction INPUT)) (port (array (rename my_address "MY_ADDRESS(15:0)") 16) (direction INPUT)) (port (array (rename buf_ipu_length_in_i "buf_IPU_LENGTH_IN_i(1:1)") 1) (direction INPUT)) @@ -117194,34 +117219,29 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename buf_apl_send_in "buf_APL_SEND_IN(1:1)") 1) (direction INPUT)) (port (array (rename common_ctrl_reg "common_ctrl_reg(10:10)") 1) (direction INPUT)) - (port (array (rename buf_to_apl_init_packet_num "buf_to_apl_INIT_PACKET_NUM(5:3)") 3) (direction INPUT)) - (port FF_23_RNICS201 (direction OUTPUT)) - (port waiting_word (direction INPUT)) - (port reset_i_19 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) + (port N_5723_i (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port reg_INT_READ_OUT (direction INPUT)) (port int_dataready_in_i (direction INPUT)) (port reset_i_rep1 (direction INPUT)) - (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) + (port GND (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) ) (contents - (instance send_trm_wrong_addr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance master_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (B A))+D (!B !A+B A))")) + (instance master_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (!B !A+B A))+D B)")) ) - (instance master_end_0_sqmuxa_3_RNI5J1A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance master_end_0_sqmuxa_3_RNI231A1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) (instance send_trm_wrong_addr_RNIROOO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance state_to_int_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C (B !A)+C (!B+!A)))")) + (property lut_function (string "(!D (!B A)+D (!C (!B A)+C (!B+!A)))")) ) (instance fifo_was_not_empty_RNIS4CU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B+C (!B !A))+D !B)")) @@ -117229,13 +117249,13 @@ (instance next_INT_MASTER_DATA_OUT_bm_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) - (instance next_INT_MASTER_DATA_OUT_bm_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_bm_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D B)")) ) (instance next_INT_MASTER_DATA_OUT_bm_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -117244,6 +117264,9 @@ (instance state_to_apl_ns_1_0__m15_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance fifo_to_apl_packet_num_out_RNI67961_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) (instance state_to_int_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance state_to_int_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -117452,7 +117475,7 @@ ) (instance registered_header_F0_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance next_last_fifo_to_apl_read (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance next_last_fifo_to_apl_read (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance master_counter_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -117546,29 +117569,23 @@ ) (instance apl_send_in_timeout_counter_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance state_to_int_ns_0_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance state_to_int_RNIAF6H_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance un1_state_to_int_1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) (instance fifo_to_apl_write (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance state_to_apl_ns_1_0__m1_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance fifo_to_int_write_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance un1_state_to_int_1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) (instance state_to_int_ns_i_o4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance state_to_int_ns_0_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) ) (instance sequence_counterc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) @@ -117594,58 +117611,49 @@ (instance sequence_counterc_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance next_INT_MASTER_DATA_OUT_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_INT_MASTER_DATA_OUT_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance next_INT_MASTER_DATA_OUT_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_INT_MASTER_DATA_OUT_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) (instance next_INT_MASTER_DATA_OUT_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -117654,18 +117662,30 @@ (instance next_INT_MASTER_DATA_OUT_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance next_INT_MASTER_DATA_OUT_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_INT_MASTER_DATA_OUT_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) + (instance next_INT_MASTER_DATA_OUT_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) (instance next_INT_MASTER_DATA_OUT_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -117678,27 +117698,21 @@ (instance next_INT_MASTER_DATA_OUT_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance to_apl_un5_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C !A)")) - ) - (instance to_int_un11_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (B !A))")) + (instance apl_send_in_timeout_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C B)")) ) (instance state_to_int_RNIHQ09_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B+!A))")) ) - (instance apl_send_in_timeout_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C B)")) + (instance to_int_un11_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (B !A))")) + ) + (instance to_apl_un5_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C !A)")) ) (instance apl_send_in_timeout_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A+B A)")) ) - (instance state_to_apl_ns_1_0__m6_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance master_counter_RNIUJ79_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+A)))")) - ) (instance to_int_un21_next_int_master_dataready_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) @@ -117708,77 +117722,62 @@ (instance next_APL_DATAREADY_OUT_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B !A))")) ) - (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance state_to_apl_ns_1_0__m15_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance slave_start_0_a2_0_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) (instance to_apl_un11_reg_apl_typ_out_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) - (instance to_apl_un11_reg_apl_typ_out_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (B+!A))")) - ) - (instance to_apl_and_all_tmp_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance to_apl_and_all_tmp_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance to_apl_and_all_tmp_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance to_apl_and_all_tmp_7_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance state_to_apl_ns_1_0__m15_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance to_apl_un9_saved_fifo_to_apl_packet_type_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance to_apl_un23_int_slave_dataready_in_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance to_apl_un23_int_slave_dataready_in_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance to_apl_un23_int_slave_dataready_in_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance next_INT_MASTER_DATA_OUT_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance apl_send_in_timeout_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) - (instance next_INT_MASTER_DATA_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance state_to_int_ns_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance fifo_to_apl_long_packet_num_out_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) + (instance PROC_FSM_REG_fifo_was_not_empty_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+!A)))")) ) - (instance next_INT_MASTER_DATA_OUT_4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) + (instance master_counter_RNIUJ79_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B+A)))")) + ) (instance master_counter_RNIUJ79_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !C+D (!C (B A)))")) ) - (instance state_to_int_ns_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance next_INT_MASTER_DATA_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance PROC_FSM_REG_fifo_was_not_empty_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) + (instance next_INT_MASTER_DATA_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance apl_send_in_timeout_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) + (instance next_INT_MASTER_DATA_OUT_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance state_to_apl_ns_1_0__m6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance fifo_to_apl_long_packet_num_out_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)")) ) (instance fifo_to_int_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) @@ -117786,37 +117785,40 @@ (instance next_APL_DATAREADY_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance un1_next_state_to_apl_2_sqmuxa_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) - ) - (instance next_INT_MASTER_DATA_OUT_8_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) + (instance state_to_apl_ns_1_0__m6_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) - (instance next_INT_MASTER_DATA_OUT_8_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (B+!A))")) + (instance to_apl_un11_reg_apl_typ_out_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) ) - (instance next_INT_MASTER_DATA_OUT_8_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance apl_send_in_timeout_counter_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B A)))")) ) + (instance state_to_apl_ns_1_0__m8_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B !A)))")) + ) (instance state_to_int_ns_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C (!B !A))")) ) - (instance to_apl_un11_reg_apl_typ_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance to_apl_un9_saved_fifo_to_apl_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance un1_fifo_to_apl_long_packet_num_out (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance next_INT_MASTER_DATAREADY_OUT_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!B+A))")) ) - (instance to_apl_and_all_tmp (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance slave_start_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (!C (B+!A)+C (B A)))")) ) - (instance to_apl_un23_int_slave_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C (!B A)+C (!B+!A)))")) ) - (instance next_INT_MASTER_DATAREADY_OUT_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!B+A))")) + (instance next_INT_MASTER_DATA_OUT_7_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance next_INT_MASTER_DATA_OUT_7_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) ) + (instance next_INT_MASTER_DATA_OUT_7_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -117824,34 +117826,26 @@ (property lut_function (string "(!C (!B A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_10 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance next_INT_MASTER_DATA_OUT_7_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) - ) - (instance next_INT_MASTER_DATA_OUT_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_8_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C (B+A))")) + (instance next_INT_MASTER_DATA_OUT_8_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_7_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance next_INT_MASTER_DATA_OUT_7_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) @@ -117859,27 +117853,34 @@ (property lut_function (string "(!C (!B A)+C (B+A))")) ) (instance next_INT_MASTER_DATA_OUT_7_12 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_INT_MASTER_DATA_OUT_7_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_14 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_INT_MASTER_DATA_OUT_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_15 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_7_am_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_INT_MASTER_DATA_OUT_7_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance next_INT_MASTER_DATA_OUT_7_bm_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7_bm_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_7_13 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_7_am_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance next_INT_MASTER_DATA_OUT_7_bm_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A)+C (B+A))")) + ) + (instance next_INT_MASTER_DATA_OUT_7_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_7_am_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -117900,85 +117901,81 @@ (instance fifo_to_apl_read_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+A))+D (C !B))")) ) + (instance un1_fifo_to_apl_long_packet_num_out_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) + ) (instance state_to_int_ns_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C+(B !A)))")) + (property lut_function (string "(!D (C !B)+D (!C A+C (!B+A)))")) + ) + (instance next_last_fifo_to_apl_readc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D (C+B))")) ) (instance next_INT_MASTER_DATAREADY_OUT_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (!B A))+D A)")) ) + (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) + ) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) (instance state_to_int_ns_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !C+D (!B+A))")) + (property lut_function (string "(!D (!C+(!B+A))+D (C (!B+A)))")) ) (instance next_INT_MASTER_DATA_OUT_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance next_INT_MASTER_DATA_OUT_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance current_fifo_to_apl_packet_type_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance current_fifo_to_apl_packet_type_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance current_fifo_to_apl_packet_type_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance next_INT_MASTER_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance state_to_apl_ns_1_0__m17_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B A))")) - ) - (instance state_to_apl_ns_1_0__m17_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C+(!B+!A)))")) - ) - (instance state_to_apl_ns_1_0__m17 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance next_INT_MASTER_DATA_OUT_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance next_INT_MASTER_DATA_OUT_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance sbuf_free_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+!A))+D (B+!A))")) ) - (instance next_INT_MASTER_DATA_OUT_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C (!B A))+D (!C B+C (B+A)))")) ) (instance next_INT_MASTER_DATA_OUT_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_INT_MASTER_DATA_OUT_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance un26_clk_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+A)))")) + (instance next_INT_MASTER_DATA_OUT_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) + (instance next_INT_MASTER_DATA_OUT_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance next_INT_MASTER_DATA_OUT_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance next_INT_MASTER_DATA_OUT_am_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (property lut_function (string "(C (!B A))")) ) (instance next_INT_MASTER_DATA_OUT_bm_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance next_INT_MASTER_DATA_OUT_5 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) (instance next_INT_MASTER_DATA_OUT_am_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) @@ -117993,59 +117990,76 @@ (property lut_function (string "(!C B+C A)")) ) (instance next_INT_MASTER_DATA_OUT_6 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance next_INT_MASTER_DATA_OUT_am_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance master_counter_1_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B+A)))")) ) - (instance next_INT_MASTER_DATA_OUT_bm_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) + (instance current_fifo_to_apl_packet_type_i_m2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance next_INT_MASTER_DATA_OUT_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance to_apl_un21_int_slave_dataready_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) + (instance current_fifo_to_apl_packet_type_i_0_m2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance current_fifo_to_apl_packet_type_i_0_m2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance state_to_apl_ns_1_0__m19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C !A))")) + (instance state_to_apl_ns_1_0__m19_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C (B !A))")) ) - (instance master_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A)+C B)")) + (instance state_to_apl_ns_1_0__m19_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B A))")) ) + (instance state_to_apl_ns_1_0__m19 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance fifo_to_int_read_before_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B+!A))+D !A)")) + (instance send_trm_wrong_addr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance master_counter_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A)+C A)")) ) - (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B A+B !A))+D C)")) + (instance slave_start_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (C (!B !A)))")) + ) + (instance PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D !B)")) ) (instance state_to_apl_ns_1_0__m11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D !C)")) + (property lut_function (string "(!D (!C (!B+A)+C (!B !A))+D !C)")) ) (instance state_to_apl_ns_1_0__m11_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C+(!B+!A)))")) + (property lut_function (string "(!D+(!C+(B+!A)))")) ) (instance state_to_apl_ns_1_0__m11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance PROC_ENDP_REACHED_endpoint_reached_1_iv (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B !A))+D !C)")) + (instance PROC_ENDP_REACHED_endpoint_reached_1_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) ) (instance state_to_int_ns_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(C (B A)))")) + (property lut_function (string "(!D+(C (!B A)))")) ) (instance state_to_int_ns_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C !B+C (!B+!A)))")) + (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))")) ) (instance state_to_int_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (B !A)))")) + (property lut_function (string "(D+(C (!B !A)))")) ) (instance state_to_apl_ns_1_0__N_17_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C (!B !A)))")) ) + (instance next_INT_MASTER_DATA_OUT_8_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance next_INT_MASTER_DATA_OUT_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C (!B A+B !A))")) + ) + (instance MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B !A+B A)+C A))")) + ) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C+(!B+!A)))")) + ) + (instance next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B !A)))")) + ) (instance send_trm_wrong_addr_RNIROOO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B !A))+D B)")) ) @@ -118119,151 +118133,119 @@ ) (instance GEN_FIFO_TO_INT_FIFO_TO_INT (viewRef netlist (cellRef trb_net16_fifo_1_6)) ) - (net un24_int_slave_dataready_in (joined - (portRef Z (instanceRef to_apl_un21_int_slave_dataready_in)) - (portRef D (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef C (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) - (portRef A (instanceRef send_trm_wrong_addr_RNO)) - )) - (net N_384 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) - (portRef A (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) - (portRef B (instanceRef send_trm_wrong_addr_RNO)) - )) - (net next_send_trm_wrong_addr_1_sqmuxa (joined - (portRef Z (instanceRef send_trm_wrong_addr_RNO)) - (portRef D (instanceRef send_trm_wrong_addr)) + (net buf_api_stat_fifo_to_int_55 (joined + (portRef Q (instanceRef master_counter_1)) + (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef B (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef master_counter_RNIUJ79_0_2)) + (portRef B (instanceRef master_counter_RNIUJ79_2)) + (portRef B (instanceRef master_end_0_sqmuxa_3)) + (portRef B (instanceRef state_to_int_RNIHQ09_3)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_0)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_3)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_4)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_5)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_6)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_7)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_9)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_12)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_13)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_10)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_15)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_2)) + (portRef B (instanceRef master_counter_RNO_1)) )) (net buf_api_stat_fifo_to_int_54 (joined (portRef Q (instanceRef master_counter_0)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef A (instanceRef master_counter_RNO_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_2)) + (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef A (instanceRef master_counter_RNO_0)) + (portRef A (instanceRef master_counter_1_sqmuxa_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_11)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_5)) - (portRef A (instanceRef un26_clk_en)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_2)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_am_1)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_4)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_1)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_5)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_6)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_2)) - (portRef A (instanceRef master_counter_RNIUJ79_0_2)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_11)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_5)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_1)) - (portRef A (instanceRef master_end_0_sqmuxa_3)) + (portRef A (instanceRef master_counter_RNIUJ79_0_2)) (portRef A (instanceRef master_counter_RNIUJ79_2)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4_2)) + (portRef A (instanceRef master_end_0_sqmuxa_3)) (portRef A (instanceRef state_to_int_RNIHQ09_3)) - (portRef A (instanceRef master_counter_RNO_0)) + (portRef C (instanceRef master_counter_RNO_1)) )) (net next_INT_MASTER_DATAREADY_OUT_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) - (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef C (instanceRef master_counter_RNO_1)) - (portRef D (instanceRef un26_clk_en)) - (portRef B (instanceRef master_counter_RNO_0)) - )) - (net buf_api_stat_fifo_to_int_56 (joined - (portRef Q (instanceRef master_counter_2)) - (portRef buf_api_stat_fifo_to_int_18 (instanceRef SBUF)) - (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef C (instanceRef un26_clk_en)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef B (instanceRef fifo_to_int_read)) - (portRef C (instanceRef master_counter_RNIUJ79_0_2)) - (portRef C (instanceRef master_end_0_sqmuxa_3)) - (portRef C (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef C (instanceRef master_counter_RNIUJ79_2)) - (portRef C (instanceRef to_int_un11_next_int_master_dataready_out)) - (portRef C (instanceRef master_counter_RNO_0)) - )) - (net buf_api_stat_fifo_to_int_55 (joined - (portRef Q (instanceRef master_counter_1)) - (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef B (instanceRef master_counter_RNO_1)) - (portRef B (instanceRef un26_clk_en)) - (portRef B (instanceRef master_counter_RNIUJ79_0_2)) - (portRef B (instanceRef master_end_0_sqmuxa_3)) - (portRef B (instanceRef master_counter_RNIUJ79_2)) - (portRef B (instanceRef state_to_int_RNIHQ09_3)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_3)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_4)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_6)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_12)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_14)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_15)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_13)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_11)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_5)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_10)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_9)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_8)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef D (instanceRef master_counter_RNO_0)) - )) - (net un2_master_counter_3_m_1 (joined - (portRef Z (instanceRef master_counter_RNO_0)) - (portRef D (instanceRef master_counter_0)) + (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef D (instanceRef master_counter_1_sqmuxa_0)) + (portRef D (instanceRef master_counter_RNO_1)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef A (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (net un2_master_counter_3_m_2 (joined + (portRef Z (instanceRef master_counter_RNO_1)) + (portRef D (instanceRef master_counter_1)) )) - (net un1_sbuf_free3_3_3 (joined - (portRef Z (instanceRef master_end_0_sqmuxa_3)) - (portRef D (instanceRef state_to_int_ns_0_0_4)) - (portRef D (instanceRef state_to_int_ns_0_i_3)) - (portRef C (instanceRef state_to_int_ns_i_5)) - (portRef B (instanceRef state_to_int_ns_0_a3_0_0)) - (portRef A (instanceRef state_to_int_RNO_3)) - (portRef C (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef A (instanceRef master_end_0_sqmuxa_3_RNI231A1)) )) (net state_to_int_1 (joined (portRef Q (instanceRef state_to_int_1)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_am_1)) - (portRef C (instanceRef state_to_int_ns_0_0_4)) + (portRef D (instanceRef state_to_int_ns_0_0_4)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef A (instanceRef state_to_int_ns_0_a3_0_0)) (portRef D (instanceRef master_counter_RNIUJ79_0_2)) (portRef D (instanceRef master_counter_RNIUJ79_2)) + (portRef B (instanceRef state_to_int_ns_0_a3_0_0)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_0)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_4)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_6)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_7)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_8)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_9)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_11)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_10)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_7)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef A (instanceRef state_to_int_RNIAF6H_3)) (portRef A (instanceRef state_to_apl_ns_1_0__m15_4)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) - (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_6)) + (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) (portRef D (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef D (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (portRef C (instanceRef master_end_0_sqmuxa_3_RNI231A1)) + )) + (net N_445_0 (joined + (portRef Z (instanceRef master_end_0_sqmuxa_3)) + (portRef C (instanceRef state_to_int_ns_0_0_4)) + (portRef A (instanceRef state_to_int_ns_0_i_3)) + (portRef C (instanceRef state_to_int_ns_i_5)) + (portRef A (instanceRef state_to_int_ns_0_a3_0_0)) + (portRef B (instanceRef state_to_int_RNO_3)) + (portRef D (instanceRef master_end_0_sqmuxa_3_RNI231A1)) )) (net sequence_countere_0_i (joined - (portRef Z (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (portRef Z (instanceRef master_end_0_sqmuxa_3_RNI231A1)) (portRef SP (instanceRef sequence_counter_7)) (portRef SP (instanceRef sequence_counter_6)) (portRef SP (instanceRef sequence_counter_5)) @@ -118275,9 +118257,10 @@ )) (net state_to_int_2 (joined (portRef Q (instanceRef state_to_int_2)) + (portRef (member state_to_int 0) (instanceRef SBUF)) (portRef A (instanceRef send_trm_wrong_addr_RNIROOO_0)) - (portRef B (instanceRef state_to_int_ns_0_i_3)) - (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) + (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef C (instanceRef state_to_int_ns_0_i_3)) (portRef B (instanceRef state_to_apl_ns_1_0__m15_3)) (portRef B (instanceRef un1_state_to_int_1_i_a2)) (portRef C (instanceRef state_to_apl_ns_1_0__m15_4)) @@ -118323,17 +118306,17 @@ )) (net state_to_int_3 (joined (portRef Q (instanceRef state_to_int_3)) - (portRef C (instanceRef state_to_int_ns_0_i_3)) + (portRef D (instanceRef state_to_int_ns_0_i_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) (portRef C (instanceRef state_to_apl_ns_1_0__m15_3)) (portRef C (instanceRef state_to_int_RNIHQ09_3)) (portRef B (instanceRef state_to_int_RNIAF6H_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_6)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) - (portRef B (instanceRef state_to_int_RNO_3)) + (portRef A (instanceRef state_to_int_RNO_3)) )) (net state_to_int_4 (joined (portRef Q (instanceRef state_to_int_4)) @@ -118347,8 +118330,8 @@ (portRef (member buf_apl_send_in 0)) (portRef D (instanceRef send_trm_wrong_addr_RNIROOO_0)) (portRef A (instanceRef state_to_int_ns_0_i_1)) - (portRef A (instanceRef state_to_int_ns_0_o2_3)) (portRef A (instanceRef state_to_int_ns_i_o4_2)) + (portRef A (instanceRef state_to_int_ns_0_o2_3)) (portRef CD (instanceRef apl_send_in_timeout_counter_3)) (portRef CD (instanceRef apl_send_in_timeout_counter_2)) (portRef CD (instanceRef apl_send_in_timeout_counter_1)) @@ -118363,23 +118346,22 @@ )) (net state_to_int_0 (joined (portRef Q (instanceRef state_to_int_0)) - (portRef (member state_to_int 0) (instanceRef SBUF)) - (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef C (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) (portRef A (instanceRef state_to_apl_ns_1_0__m15_3)) (portRef A (instanceRef un1_state_to_int_1_i_a2)) (portRef D (instanceRef state_to_apl_ns_1_0__m15_4)) (portRef B (instanceRef fifo_was_not_empty_RNIS4CU)) )) - (net N_5806_tz (joined + (net N_5811_tz (joined (portRef Z (instanceRef fifo_was_not_empty_RNIS4CU)) (portRef A (instanceRef state_to_int_ns_0_0_4)) (portRef A (instanceRef state_to_int_ns_i_5)) )) (net buf_api_stat_fifo_to_int_43 (joined - (portRef buf_api_stat_fifo_to_int_8 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef buf_api_stat_fifo_to_int_2 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) )) - (net N_5391 (joined + (net N_6672 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_2)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) )) @@ -118387,11 +118369,23 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_2)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) )) + (net fifo_to_int_data_out_5 (joined + (portRef (member fifo_to_int_data_out 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) + )) + (net N_6675 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_5)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) + )) + (net N_545 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) + )) (net fifo_to_int_data_out_6 (joined (portRef (member fifo_to_int_data_out 9) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_6)) )) - (net N_5395 (joined + (net N_6676 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_6)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_6)) )) @@ -118403,7 +118397,7 @@ (portRef (member fifo_to_int_data_out 4) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) )) - (net N_5400 (joined + (net N_6681 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_11)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) )) @@ -118411,23 +118405,11 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_11)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_11)) )) - (net fifo_to_int_data_out_5 (joined - (portRef (member fifo_to_int_data_out 10) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) - )) - (net N_5394 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_5)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) - )) - (net N_545 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_5)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) - )) (net buf_api_stat_fifo_to_int_42 (joined - (portRef buf_api_stat_fifo_to_int_7 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef buf_api_stat_fifo_to_int_1 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) )) - (net N_5390 (joined + (net N_6671 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_1)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_bm_RNO_1)) )) @@ -118438,18 +118420,55 @@ (net state_to_apl_1 (joined (portRef Q (instanceRef state_to_apl_1)) (portRef C0 (instanceRef state_to_apl_ns_1_0__m11)) - (portRef B (instanceRef state_to_apl_ns_1_0__m19)) - (portRef C0 (instanceRef state_to_apl_ns_1_0__m17)) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_bm)) + (portRef A (instanceRef state_to_apl_ns_1_0__m19_am)) (portRef C (instanceRef fifo_to_apl_read_iv)) (portRef D (instanceRef fifo_to_apl_read_before_RNO)) - (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) (portRef C (instanceRef next_APL_DATAREADY_OUT_2)) + (portRef D (instanceRef next_last_fifo_to_apl_read)) (portRef B (instanceRef state_to_apl_ns_1_0__m15_4)) )) (net m15_4 (joined (portRef Z (instanceRef state_to_apl_ns_1_0__m15_4)) (portRef D (instanceRef state_to_apl_ns_1_0__N_17_i)) )) + (net fifo_to_apl_packet_num_out_1 (joined + (portRef Q (instanceRef fifo_to_apl_packet_num_out_1)) + (portRef (member fifo_to_apl_packet_num_out 0) (instanceRef SBUF_TO_APL)) + (portRef B (instanceRef state_to_apl_ns_1_0__m11_bm)) + (portRef C (instanceRef un1_fifo_to_apl_long_packet_num_out_0_o2)) + (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) + (portRef A (instanceRef next_APL_DATAREADY_OUT_2)) + (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) + (portRef A (instanceRef fifo_to_apl_packet_num_out_RNI67961_0)) + )) + (net fifo_to_apl_packet_num_out_0 (joined + (portRef Q (instanceRef fifo_to_apl_packet_num_out_0)) + (portRef (member fifo_to_apl_packet_num_out 1) (instanceRef SBUF_TO_APL)) + (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) + (portRef B (instanceRef un1_fifo_to_apl_long_packet_num_out_0_o2)) + (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) + (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) + (portRef B (instanceRef fifo_to_apl_packet_num_out_RNI67961_0)) + )) + (net fifo_to_apl_long_packet_num_out_3_1 (joined + (portRef Z (instanceRef fifo_to_apl_long_packet_num_out_3_1)) + (portRef (member fifo_to_apl_long_packet_num_out_3 0) (instanceRef SBUF_TO_APL)) + (portRef A (instanceRef state_to_apl_ns_1_0__m11_bm)) + (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) + (portRef A (instanceRef un1_fifo_to_apl_long_packet_num_out_0_o2)) + (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) + (portRef C (instanceRef fifo_to_apl_packet_num_out_RNI67961_0)) + )) + (net N_5022_i (joined + (portRef Z (instanceRef fifo_to_apl_packet_num_out_RNI67961_0)) + (portRef SP (instanceRef saved_fifo_to_apl_packet_type_2)) + (portRef SP (instanceRef saved_fifo_to_apl_packet_type_1)) + (portRef SP (instanceRef saved_fifo_to_apl_packet_type_0)) + )) (net N_442_0 (joined (portRef Z (instanceRef state_to_int_ns_i_5)) (portRef D (instanceRef state_to_int_0)) @@ -118669,7 +118688,6 @@ (portRef VCC (instanceRef SBUF_TO_APL)) (portRef VCC (instanceRef SBUF)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) (portRef B0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) (portRef D1 (instanceRef sequence_counter_s_0_7)) @@ -118694,7 +118712,7 @@ )) (net N_452 (joined (portRef Z (instanceRef state_to_int_ns_0_a3_0_0)) - (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) (portRef D (instanceRef state_to_int_5)) )) (net state_to_ints_i (joined @@ -118714,9 +118732,10 @@ (net state_to_apl_0 (joined (portRef Q (instanceRef state_to_apl_0)) (portRef A (instanceRef state_to_apl_ns_1_0__N_17_i)) - (portRef A (instanceRef state_to_apl_ns_1_0__m19)) + (portRef C0 (instanceRef state_to_apl_ns_1_0__m19)) + (portRef C (instanceRef next_last_fifo_to_apl_readc)) (portRef B (instanceRef fifo_to_apl_read_iv)) - (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) (portRef B (instanceRef next_APL_DATAREADY_OUT_2)) )) (net state_to_apl_ns_1 (joined @@ -118737,7 +118756,7 @@ (portRef CD (instanceRef sequence_counter_2)) (portRef CD (instanceRef sequence_counter_1)) (portRef CD (instanceRef sequence_counter_0)) - (portRef B (instanceRef master_end_0_sqmuxa_3_RNI5J1A1)) + (portRef B (instanceRef master_end_0_sqmuxa_3_RNI231A1)) )) (net buf_APL_SEQNR_OUT_8 (joined (portRef Q (instanceRef sequence_counter_0)) @@ -118815,12 +118834,17 @@ (portRef D (instanceRef registered_header_F3_11)) (portRef D (instanceRef registered_trailer_F3_11)) )) + (net N_5016_i (joined + (portRef Z (instanceRef send_trm_wrong_addr_RNO)) + (portRef D (instanceRef send_trm_wrong_addr)) + )) (net sbuf_to_apl_next_READ (joined (portRef sbuf_to_apl_next_READ (instanceRef SBUF_TO_APL)) (portRef D (instanceRef sbuf_to_apl_free)) )) (net buf_api_stat_fifo_to_int_49 (joined (portRef Q (instanceRef sbuf_to_apl_free)) + (portRef A (instanceRef next_last_fifo_to_apl_readc)) (portRef A (instanceRef fifo_to_apl_read_iv)) (portRef A (instanceRef fifo_to_apl_read_before_RNO)) (portRef A (instanceRef next_APL_DATAREADY_OUT)) @@ -118841,63 +118865,47 @@ (net buf_api_stat_fifo_to_apl_40 (joined (portRef Q (instanceRef fifo_to_apl_data_out_0)) (portRef (member buf_api_stat_fifo_to_apl 1) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_0)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) (portRef D (instanceRef combined_header_F1_0)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_0)) )) - (net un1_fifo_to_apl_long_packet_num_out (joined - (portRef Z (instanceRef un1_fifo_to_apl_long_packet_num_out)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef C (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef SP (instanceRef saved_fifo_to_apl_packet_type_2)) - (portRef SP (instanceRef saved_fifo_to_apl_packet_type_1)) - (portRef SP (instanceRef saved_fifo_to_apl_packet_type_0)) - )) (net saved_fifo_to_apl_packet_type_0 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_0)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) (portRef B (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) )) (net buf_api_stat_fifo_to_apl_41 (joined (portRef Q (instanceRef fifo_to_apl_data_out_1)) (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_1)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_0_m2_1)) (portRef D (instanceRef combined_header_F1_1)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_1)) )) (net saved_fifo_to_apl_packet_type_1 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_1)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_1)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_0_m2_1)) + (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) )) (net fifo_to_apl_data_out_2 (joined (portRef Q (instanceRef fifo_to_apl_data_out_2)) (portRef (member fifo_to_apl_data_out 13) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef current_fifo_to_apl_packet_type_2)) + (portRef B (instanceRef current_fifo_to_apl_packet_type_i_0_m2_2)) (portRef D (instanceRef combined_header_F1_2)) (portRef D (instanceRef saved_fifo_to_apl_packet_type_2)) )) (net saved_fifo_to_apl_packet_type_2 (joined (portRef Q (instanceRef saved_fifo_to_apl_packet_type_2)) - (portRef B (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef D (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) + (portRef C (instanceRef current_fifo_to_apl_packet_type_i_0_m2_2)) + (portRef D (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) )) - (net fifo_to_apl_packet_num_out_0 (joined - (portRef Q (instanceRef fifo_to_apl_packet_num_out_0)) - (portRef (member fifo_to_apl_packet_num_out 1) (instanceRef SBUF_TO_APL)) - (portRef B (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef B (instanceRef state_to_apl_ns_1_0__m17_bm)) - (portRef B (instanceRef un1_fifo_to_apl_long_packet_num_out)) - (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) - (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) - )) (net last_fifo_to_apl_read (joined (portRef Q (instanceRef last_fifo_to_apl_read)) + (portRef C (instanceRef state_to_apl_ns_1_0__m11_bm)) + (portRef C (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) (portRef A (instanceRef fifo_to_apl_long_packet_num_out_3_1)) - (portRef A (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) (portRef SP (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) @@ -118906,29 +118914,10 @@ (portRef Q (instanceRef saved_fifo_to_apl_long_packet_num_out_0)) (portRef B (instanceRef fifo_to_apl_long_packet_num_out_3_1)) )) - (net fifo_to_apl_long_packet_num_out_3_1 (joined - (portRef Z (instanceRef fifo_to_apl_long_packet_num_out_3_1)) - (portRef (member fifo_to_apl_long_packet_num_out_3 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type)) - (portRef A (instanceRef state_to_apl_ns_1_0__m17_bm)) - (portRef A (instanceRef un1_fifo_to_apl_long_packet_num_out)) - (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) - )) (net saved_fifo_to_apl_long_packet_num_out_1 (joined (portRef Q (instanceRef saved_fifo_to_apl_long_packet_num_out_1)) (portRef C (instanceRef fifo_to_apl_long_packet_num_out_3_1)) )) - (net fifo_to_apl_packet_num_out_1 (joined - (portRef Q (instanceRef fifo_to_apl_packet_num_out_1)) - (portRef (member fifo_to_apl_packet_num_out 0) (instanceRef SBUF_TO_APL)) - (portRef C (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef C (instanceRef state_to_apl_ns_1_0__m17_bm)) - (portRef C (instanceRef un1_fifo_to_apl_long_packet_num_out)) - (portRef B (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) - (portRef A (instanceRef next_APL_DATAREADY_OUT_2)) - (portRef D (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) - )) (net saved_fifo_to_apl_long_packet_num_out_2 (joined (portRef Q (instanceRef saved_fifo_to_apl_long_packet_num_out_2)) (portRef D (instanceRef fifo_to_apl_long_packet_num_out_3_1)) @@ -119005,7 +118994,7 @@ )) (net endpoint_reached (joined (portRef Q (instanceRef endpoint_reached)) - (portRef B (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) (portRef D (instanceRef registered_trailer_F2_0)) )) (net registered_trailer_F2_0 (joined @@ -119511,9 +119500,9 @@ (portRef Q (instanceRef registered_header_F0_15)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_2_15)) )) - (net un1_fifo_to_apl_read (joined - (portRef Z (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) - (portRef D (instanceRef next_last_fifo_to_apl_read)) + (net next_last_fifo_to_apl_readc_i (joined + (portRef Z (instanceRef next_last_fifo_to_apl_readc)) + (portRef CD (instanceRef next_last_fifo_to_apl_read)) )) (net next_last_fifo_to_apl_read (joined (portRef Q (instanceRef next_last_fifo_to_apl_read)) @@ -119540,21 +119529,38 @@ (portRef D (instanceRef fifo_to_apl_read_before)) (portRef D (instanceRef last_fifo_to_apl_read)) )) - (net un2_master_counter_3_m_2 (joined - (portRef Z (instanceRef master_counter_RNO_1)) - (portRef D (instanceRef master_counter_1)) + (net un2_master_counter_3_m_1 (joined + (portRef Z (instanceRef master_counter_RNO_0)) + (portRef D (instanceRef master_counter_0)) )) (net master_counter_6_0_i_2 (joined (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) (portRef D (instanceRef master_counter_2)) )) + (net buf_api_stat_fifo_to_int_56 (joined + (portRef Q (instanceRef master_counter_2)) + (portRef buf_api_stat_fifo_to_int_18 (instanceRef SBUF)) + (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef C (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) + (portRef C (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_5)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_2)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) + (portRef B (instanceRef fifo_to_int_read)) + (portRef C (instanceRef master_counter_RNIUJ79_0_2)) + (portRef C (instanceRef master_counter_RNIUJ79_2)) + (portRef C (instanceRef master_end_0_sqmuxa_3)) + (portRef C (instanceRef to_int_un21_next_int_master_dataready_out)) + (portRef C (instanceRef to_int_un11_next_int_master_dataready_out)) + )) (net fifo_was_not_empty_3 (joined (portRef Z (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) (portRef D (instanceRef fifo_was_not_empty)) )) (net buf_api_stat_fifo_to_int_44 (joined (portRef Z (instanceRef fifo_to_int_read)) - (portRef buf_api_stat_fifo_to_int_9 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef buf_api_stat_fifo_to_int_3 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef A (instanceRef fifo_to_int_read_before_RNO)) (portRef D (instanceRef fifo_to_int_read_before)) )) @@ -119714,11 +119720,11 @@ (portRef D (instanceRef combined_header_F1_15)) )) (net endpoint_reached_1 (joined - (portRef Z (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (portRef Z (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) (portRef D (instanceRef endpoint_reached)) )) (net un10_current_fifo_to_apl_packet_type (joined - (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type)) + (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) (portRef SP (instanceRef combined_header_F1_15)) (portRef SP (instanceRef combined_header_F1_14)) (portRef SP (instanceRef combined_header_F1_13)) @@ -119736,7 +119742,7 @@ (portRef SP (instanceRef combined_header_F1_1)) (portRef SP (instanceRef combined_header_F1_0)) )) - (net N_4328_i (joined + (net N_4335_i (joined (portRef Z (instanceRef apl_send_in_timeout_counter_RNO_0)) (portRef D (instanceRef apl_send_in_timeout_counter_0)) )) @@ -119773,8 +119779,8 @@ (net apl_send_in_timeout_counter_3 (joined (portRef Q (instanceRef apl_send_in_timeout_counter_3)) (portRef D (instanceRef apl_send_in_timeout_counter_RNO_3)) - (portRef D (instanceRef apl_send_in_timeout_counter_RNO_2)) (portRef B (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) + (portRef D (instanceRef apl_send_in_timeout_counter_RNO_2)) (portRef B (instanceRef apl_send_in_timeout_counter_RNO_0)) (portRef C (instanceRef apl_send_in_timeout_counter_RNO_1)) )) @@ -119787,6 +119793,7 @@ (portRef GND (instanceRef SBUF)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) (portRef B1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) + (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) (portRef CIN (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) (portRef A0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) @@ -119813,40 +119820,28 @@ (portRef C0 (instanceRef sequence_counter_cry_0_0)) (portRef A0 (instanceRef sequence_counter_cry_0_0)) )) + (net N_446_i (joined + (portRef Z (instanceRef state_to_int_ns_0_o2_3)) + (portRef B (instanceRef state_to_int_ns_0_i_3)) + )) (net out_select (joined (portRef Z (instanceRef state_to_int_RNIAF6H_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_4)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_12)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) (portRef A (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) )) - (net buf_to_apl_INIT_PACKET_NUM_3 (joined - (portRef (member buf_to_apl_init_packet_num 2)) - (portRef buf_to_apl_INIT_PACKET_NUM_0 (instanceRef FIFO_TO_APL)) - (portRef B (instanceRef state_to_apl_ns_1_0__m6_0_a2_2)) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_1)) - (portRef D (instanceRef send_trm_wrong_addr_RNO)) - )) - (net buf_to_apl_INIT_PACKET_NUM_5 (joined - (portRef (member buf_to_apl_init_packet_num 0)) - (portRef buf_to_apl_INIT_PACKET_NUM_2 (instanceRef FIFO_TO_APL)) - (portRef C (instanceRef state_to_apl_ns_1_0__m6_0_a2_2)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_1)) - (portRef C (instanceRef send_trm_wrong_addr_RNO)) - )) - (net N_387 (joined - (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_1)) - (portRef A (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef B (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) - (portRef A (instanceRef state_to_apl_ns_1_0__m17_am)) + (net N_292 (joined + (portRef Z (instanceRef un1_state_to_int_1_i_a2)) + (portRef C (instanceRef fifo_to_int_read)) )) (net buf_api_stat_fifo_to_apl_46 (joined (portRef buf_api_stat_fifo_to_apl_11 (instanceRef FIFO_TO_APL)) @@ -119856,29 +119851,12 @@ (portRef Z (instanceRef fifo_to_apl_write)) (portRef buf_api_stat_fifo_to_apl_0 (instanceRef FIFO_TO_APL)) )) - (net buf_api_stat_fifo_to_apl_9 (joined - (portRef buf_api_stat_fifo_to_apl_9) - (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) - (portRef A (instanceRef state_to_apl_ns_1_0__m1_0_a2)) - (portRef A (instanceRef fifo_to_apl_write)) - )) - (net N_2 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m1_0_a2)) - (portRef B (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef B (instanceRef state_to_apl_ns_1_0__m17_am)) - (portRef C (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0)) - (portRef A (instanceRef fifo_to_int_write_0_a2)) - )) - (net N_292 (joined - (portRef Z (instanceRef un1_state_to_int_1_i_a2)) - (portRef C (instanceRef fifo_to_int_read)) - )) - (net N_446_i (joined - (portRef Z (instanceRef state_to_int_ns_0_o2_3)) - (portRef A (instanceRef state_to_int_ns_0_i_3)) + (net N_5024 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) + (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + (portRef A (instanceRef slave_start_0_a2)) + (portRef A (instanceRef send_trm_wrong_addr_RNO)) + (portRef A (instanceRef state_to_apl_ns_1_0__m8_0)) )) (net sequence_counter_s_0 (joined (portRef S1 (instanceRef sequence_counter_cry_0_0)) @@ -119923,390 +119901,335 @@ (portRef S0 (instanceRef sequence_counter_s_0_7)) (portRef A (instanceRef sequence_counterc_6)) )) - (net N_5396 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - )) - (net N_5399 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_10)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) - )) - (net N_5503 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_0)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) - )) - (net N_5504 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_1)) + (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) )) - (net N_5510 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_7)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (net N_5356 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_2)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_2)) )) - (net N_5511 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_8)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + (net N_5369 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_15)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) )) - (net N_5512 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_9)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) + (net N_6680 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_10)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) )) - (net N_4356 (joined + (net N_5364 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_10)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_10)) )) - (net N_5508 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_5)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_5)) - )) - (net N_5514 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_11)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_11)) + (net N_5368 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_14)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) )) - (net N_5516 (joined + (net N_5367 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_13)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) )) - (net N_5518 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_15)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - )) - (net N_5517 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_14)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - )) - (net N_5515 (joined + (net N_5366 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_12)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_12)) )) - (net N_5509 (joined + (net N_5365 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_11)) + )) + (net N_5363 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_9)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) + )) + (net N_5362 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_8)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + )) + (net N_5361 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_7)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + )) + (net N_5360 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_6)) )) - (net N_5507 (joined + (net N_5359 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_5)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_5)) + )) + (net N_5358 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_4)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_4)) )) - (net N_5506 (joined + (net N_5357 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_3)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_3)) )) - (net N_4348 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_2)) + (net N_5355 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_4_1)) )) - (net N_5398 (joined + (net N_5354 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2_0)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) + )) + (net N_6679 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_9)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) )) - (net N_5397 (joined + (net N_6678 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_8)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) )) - (net N_5393 (joined + (net N_6677 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_7)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + )) + (net N_6674 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_4)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_4)) )) - (net N_5392 (joined + (net N_6673 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_3)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_3)) )) - (net N_5389 (joined + (net N_6670 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1_0)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) )) - (net un5_fifo_to_apl_read (joined - (portRef Z (instanceRef to_apl_un5_fifo_to_apl_read)) - (portRef D (instanceRef fifo_to_apl_read_iv)) - )) - (net buf_api_stat_fifo_to_int_47 (joined - (portRef buf_api_stat_fifo_to_int_12 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef state_to_int_ns_0_0_4)) - (portRef B (instanceRef state_to_int_ns_i_5)) - (portRef A (instanceRef fifo_to_int_read)) - (portRef A (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) - (portRef B (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef B (instanceRef to_int_un11_next_int_master_dataready_out)) - )) - (net un11_next_int_master_dataready_out_0 (joined - (portRef Z (instanceRef to_int_un11_next_int_master_dataready_out)) - (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) - )) (net next_INT_MASTER_DATA_OUT_sn_N_12_0 (joined (portRef Z (instanceRef state_to_int_RNIHQ09_3)) - (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_6)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_11)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) + (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) (portRef C (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_3)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_4)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_15)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_14)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_12)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_9)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_8)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_9)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_0)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_12)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_14)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_10)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_7_15)) )) - (net un1_next_state_to_apl_2_sqmuxa_i_a2_1 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m6_0_a2_2)) - (portRef C (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0)) - (portRef D (instanceRef state_to_apl_ns_1_0__m6_0_a2)) + (net buf_api_stat_fifo_to_int_47 (joined + (portRef buf_api_stat_fifo_to_int_6 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef state_to_int_ns_0_0_4)) + (portRef B (instanceRef state_to_int_ns_i_5)) + (portRef A (instanceRef fifo_to_int_read)) + (portRef A (instanceRef PROC_FSM_REG_fifo_was_not_empty_3)) + (portRef B (instanceRef to_int_un21_next_int_master_dataready_out)) + (portRef B (instanceRef to_int_un11_next_int_master_dataready_out)) )) - (net next_INT_MASTER_DATA_OUT_sn_N_15_0 (joined - (portRef Z (instanceRef master_counter_RNIUJ79_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_2)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_6)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_11)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_5)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_8_0)) + (net un11_next_int_master_dataready_out_0 (joined + (portRef Z (instanceRef to_int_un11_next_int_master_dataready_out)) + (portRef un11_next_int_master_dataready_out_0 (instanceRef SBUF)) + (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + )) + (net un5_fifo_to_apl_read (joined + (portRef Z (instanceRef to_apl_un5_fifo_to_apl_read)) + (portRef D (instanceRef next_last_fifo_to_apl_readc)) + (portRef D (instanceRef fifo_to_apl_read_iv)) )) (net un21_next_int_master_dataready_out_0 (joined (portRef Z (instanceRef to_int_un21_next_int_master_dataready_out)) - (portRef un21_next_int_master_dataready_out_0 (instanceRef SBUF)) - (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) + (portRef D (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) )) (net next_APL_DATAREADY_OUT_2 (joined (portRef Z (instanceRef next_APL_DATAREADY_OUT_2)) (portRef B (instanceRef fifo_to_apl_read_before_RNO)) (portRef C (instanceRef next_APL_DATAREADY_OUT)) )) - (net buf_to_apl_INIT_PACKET_NUM_4 (joined - (portRef (member buf_to_apl_init_packet_num 1)) - (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0)) - (portRef B (instanceRef state_to_apl_ns_1_0__m1_0_a2)) - )) - (net m15_3 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m15_3)) - (portRef C (instanceRef state_to_apl_ns_1_0__N_17_i)) - )) - (net un11_reg_apl_typ_out_2 (joined - (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_2)) - (portRef C (instanceRef to_apl_un11_reg_apl_typ_out)) + (net buf_api_stat_fifo_to_apl_9 (joined + (portRef buf_api_stat_fifo_to_apl_9) + (portRef B (instanceRef state_to_apl_ns_1_0__m8_0)) + (portRef A (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef A (instanceRef fifo_to_apl_write)) )) - (net un11_reg_apl_typ_out_3 (joined - (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_3)) - (portRef D (instanceRef to_apl_un11_reg_apl_typ_out)) + (net N_5038 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) + (portRef B (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + (portRef B (instanceRef slave_start_0_a2)) + (portRef B (instanceRef send_trm_wrong_addr_RNO)) )) - (net tmp_4 (joined - (portRef Z (instanceRef to_apl_and_all_tmp_4)) - (portRef A (instanceRef to_apl_and_all_tmp)) + (net buf_to_apl_INIT_DATA_16 (joined + (portRef (member buf_to_apl_init_data 15)) + (portRef (member buf_to_apl_init_data 15) (instanceRef FIFO_TO_APL)) + (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) + (portRef A (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4)) )) (net buf_to_apl_INIT_DATA_18 (joined (portRef (member buf_to_apl_init_data 13)) (portRef (member buf_to_apl_init_data 13) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef A (instanceRef to_apl_and_all_tmp_5)) - (portRef A (instanceRef state_to_apl_ns_1_0__m6_0_a2_2)) + (portRef C (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_4)) )) - (net tmp_5 (joined - (portRef Z (instanceRef to_apl_and_all_tmp_5)) - (portRef B (instanceRef to_apl_and_all_tmp)) + (net buf_to_apl_INIT_PACKET_NUM_5 (joined + (portRef (member buf_to_apl_init_packet_num 0)) + (portRef buf_to_apl_INIT_PACKET_NUM_2 (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef B (instanceRef slave_start_0_o2_0)) + (portRef D (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) )) - (net tmp_6_0 (joined - (portRef Z (instanceRef to_apl_and_all_tmp_6_0)) - (portRef A (instanceRef to_apl_un23_int_slave_dataready_in)) - (portRef C (instanceRef to_apl_and_all_tmp)) + (net N_380_4 (joined + (portRef Z (instanceRef slave_start_0_a2_0_3_0_a2)) + (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef C (instanceRef slave_start_0_o2_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + )) + (net un11_reg_apl_typ_out_2 (joined + (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_2)) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_bm)) )) - (net tmp_7_0 (joined - (portRef Z (instanceRef to_apl_and_all_tmp_7_0)) - (portRef D (instanceRef to_apl_and_all_tmp)) + (net m15_3 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m15_3)) + (portRef C (instanceRef state_to_apl_ns_1_0__N_17_i)) )) (net un9_saved_fifo_to_apl_packet_type_3 (joined (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type_3)) (portRef D (instanceRef state_to_apl_ns_1_0__m11_bm)) - (portRef D (instanceRef state_to_apl_ns_1_0__m17_bm)) - )) - (net buf_to_apl_INIT_DATA_17 (joined - (portRef (member buf_to_apl_init_data 14)) - (portRef (member buf_to_apl_init_data 14) (instanceRef FIFO_TO_APL)) - (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) - (portRef B (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0)) - (portRef B (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef A (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef B (instanceRef to_apl_and_all_tmp_7_0)) - )) - (net buf_to_apl_INIT_DATA_19 (joined - (portRef (member buf_to_apl_init_data 12)) - (portRef (member buf_to_apl_init_data 12) (instanceRef FIFO_TO_APL)) - (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef B (instanceRef to_apl_and_all_tmp_5)) - )) - (net buf_to_apl_INIT_DATA_22 (joined - (portRef (member buf_to_apl_init_data 9)) - (portRef (member buf_to_apl_init_data 9) (instanceRef FIFO_TO_APL)) - (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef C (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef C (instanceRef to_apl_and_all_tmp_4)) - )) - (net buf_to_apl_INIT_DATA_25 (joined - (portRef (member buf_to_apl_init_data 6)) - (portRef (member buf_to_apl_init_data 6) (instanceRef FIFO_TO_APL)) - (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef D (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef D (instanceRef to_apl_and_all_tmp_5)) - )) - (net un23_int_slave_dataready_in_5 (joined - (portRef Z (instanceRef to_apl_un23_int_slave_dataready_in_5)) - (portRef B (instanceRef to_apl_un23_int_slave_dataready_in)) - )) - (net buf_to_apl_INIT_DATA_20 (joined - (portRef (member buf_to_apl_init_data 11)) - (portRef (member buf_to_apl_init_data 11) (instanceRef FIFO_TO_APL)) - (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef A (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef A (instanceRef to_apl_and_all_tmp_4)) - )) - (net buf_to_apl_INIT_DATA_21 (joined - (portRef (member buf_to_apl_init_data 10)) - (portRef (member buf_to_apl_init_data 10) (instanceRef FIFO_TO_APL)) - (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) - (portRef B (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef B (instanceRef to_apl_and_all_tmp_4)) - )) - (net buf_to_apl_INIT_DATA_23 (joined - (portRef (member buf_to_apl_init_data 8)) - (portRef (member buf_to_apl_init_data 8) (instanceRef FIFO_TO_APL)) - (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef C (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef D (instanceRef to_apl_and_all_tmp_4)) - )) - (net buf_to_apl_INIT_DATA_24 (joined - (portRef (member buf_to_apl_init_data 7)) - (portRef (member buf_to_apl_init_data 7) (instanceRef FIFO_TO_APL)) - (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) - (portRef D (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef C (instanceRef to_apl_and_all_tmp_5)) - )) - (net un23_int_slave_dataready_in_6 (joined - (portRef Z (instanceRef to_apl_un23_int_slave_dataready_in_6)) - (portRef C (instanceRef to_apl_un23_int_slave_dataready_in)) - )) - (net buf_to_apl_INIT_DATA_16 (joined - (portRef (member buf_to_apl_init_data 15)) - (portRef (member buf_to_apl_init_data 15) (instanceRef FIFO_TO_APL)) - (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) - (portRef A (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0)) - (portRef A (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef A (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef A (instanceRef to_apl_and_all_tmp_7_0)) - )) - (net buf_to_apl_INIT_DATA_26 (joined - (portRef (member buf_to_apl_init_data 5)) - (portRef (member buf_to_apl_init_data 5) (instanceRef FIFO_TO_APL)) - (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef C (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef C (instanceRef to_apl_and_all_tmp_7_0)) - )) - (net buf_to_apl_INIT_DATA_27 (joined - (portRef (member buf_to_apl_init_data 4)) - (portRef (member buf_to_apl_init_data 4) (instanceRef FIFO_TO_APL)) - (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef D (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef D (instanceRef to_apl_and_all_tmp_7_0)) + (portRef D (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) )) - (net un23_int_slave_dataready_in_7 (joined - (portRef Z (instanceRef to_apl_un23_int_slave_dataready_in_7)) - (portRef D (instanceRef to_apl_un23_int_slave_dataready_in)) + (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) )) - (net un10_current_fifo_to_apl_packet_type_2 (joined - (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_2)) - (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type)) + (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) )) - (net N_5402 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_1)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) + (net un10_current_fifo_to_apl_packet_type_0_a3_2 (joined + (portRef Z (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3_2)) + (portRef C (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type_0_a3)) )) - (net N_5405 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_5)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) + (net N_4372 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_2)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) )) - (net N_5410 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_11)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_11)) + (net next_INT_MASTER_DATA_OUT_sn_N_15_0 (joined + (portRef Z (instanceRef master_counter_RNIUJ79_2)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_8_0)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_6)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_am_11)) )) (net next_INT_MASTER_DATA_OUT_sn_N_17_0 (joined (portRef Z (instanceRef master_counter_RNIUJ79_0_2)) (portRef next_INT_MASTER_DATA_OUT_sn_N_17_0 (instanceRef SBUF)) - (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_2)) + (portRef SD (instanceRef next_INT_MASTER_DATA_OUT_0)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_6)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_11)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_5)) + (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_2)) (portRef C0 (instanceRef next_INT_MASTER_DATA_OUT_1)) - (portRef SD (instanceRef next_INT_MASTER_DATA_OUT_0)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_3)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_14)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_13)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_12)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_8)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_4)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_3)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_10)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_12)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_14)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_15)) )) - (net N_4364 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_2)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) + (net N_4381 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_11)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_11)) )) - (net N_5406 (joined + (net N_4376 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_6)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_6)) )) - (net N_7 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m6_0_a2)) - (portRef C (instanceRef state_to_apl_ns_1_0__m11_am)) - (portRef C (instanceRef state_to_apl_ns_1_0__m17_am)) + (net N_4375 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_5)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_5)) + )) + (net N_4371 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4_1)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_bm_1)) )) (net buf_api_stat_fifo_to_int_48 (joined (portRef Z (instanceRef next_APL_DATAREADY_OUT)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef SBUF_TO_APL2)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef SBUF_TO_APL)) )) - (net un1_next_state_to_apl_2_sqmuxa_i_a2_0 (joined - (portRef Z (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0)) - (portRef D (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) - )) - (net next_INT_MASTER_DATA_OUT_8_am_0_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) - )) - (net next_INT_MASTER_DATA_OUT_8_bm_0_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) + (net buf_to_apl_INIT_PACKET_NUM_3 (joined + (portRef (member buf_to_apl_init_packet_num 2)) + (portRef buf_to_apl_INIT_PACKET_NUM_0 (instanceRef FIFO_TO_APL)) + (portRef A (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef A (instanceRef slave_start_0_o2_0)) + (portRef B (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o3)) )) - (net N_574 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_0)) - (portRef D0 (instanceRef next_INT_MASTER_DATA_OUT_0)) + (net buf_to_apl_INIT_PACKET_NUM_4 (joined + (portRef (member buf_to_apl_init_packet_num 1)) + (portRef C (instanceRef state_to_apl_ns_1_0__m8_0)) + (portRef C (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_0_i_o3)) )) - (net buf_APL_DATAREADY_OUT_1 (joined - (portRef (member buf_apl_dataready_out 0) (instanceRef SBUF_TO_APL)) - (portRef A (instanceRef to_apl_un11_reg_apl_typ_out)) - (portRef A (instanceRef to_apl_un11_reg_apl_typ_out_3)) - (portRef (member buf_apl_dataready_out 0)) + (net N_7 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m6_0_a3)) + (portRef B (instanceRef state_to_apl_ns_1_0__m11_am)) + (portRef D (instanceRef state_to_apl_ns_1_0__m8_0)) )) - (net un11_reg_apl_typ_out (joined - (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out)) - (portRef D (instanceRef state_to_apl_ns_1_0__m19)) + (net un11_reg_apl_typ_out_3_0 (joined + (portRef Z (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_bm)) )) - (net tmp (joined - (portRef Z (instanceRef to_apl_and_all_tmp)) - (portRef A (instanceRef to_apl_un21_int_slave_dataready_in)) + (net N_9 (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m8_0)) + (portRef C (instanceRef state_to_apl_ns_1_0__m11_am)) + (portRef B (instanceRef state_to_apl_ns_1_0__m19_am)) )) - (net un23_int_slave_dataready_in (joined - (portRef Z (instanceRef to_apl_un23_int_slave_dataready_in)) - (portRef C (instanceRef to_apl_un21_int_slave_dataready_in)) + (net un9_saved_fifo_to_apl_packet_type (joined + (portRef Z (instanceRef to_apl_un9_saved_fifo_to_apl_packet_type)) + (portRef C (instanceRef state_to_apl_ns_1_0__m19_am)) )) (net next_INT_MASTER_DATAREADY_OUT_iv_0 (joined (portRef Z (instanceRef next_INT_MASTER_DATAREADY_OUT_iv_0)) (portRef next_INT_MASTER_DATAREADY_OUT_iv_0 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATAREADY_OUT_iv)) )) + (net un9_int_slave_dataready_in (joined + (portRef S1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) + (portRef D (instanceRef state_to_apl_ns_1_0__m11_am)) + (portRef D (instanceRef send_trm_wrong_addr_RNO)) + (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef D (instanceRef slave_start_0_o2_0)) + )) + (net slave_start_0_o2_0 (joined + (portRef Z (instanceRef slave_start_0_o2_0)) + (portRef D (instanceRef slave_start_0_a2)) + )) + (net endpoint_reached_1_iv_0_1_tz_0 (joined + (portRef Z (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1_tz_0)) + (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + )) + (net fifo_to_int_data_out_15 (joined + (portRef (member fifo_to_int_data_out 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_0_15 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_0_15 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + )) + (net N_572 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + (portRef N_572 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_15)) + )) (net fifo_to_int_data_out_10 (joined (portRef (member fifo_to_int_data_out 5) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_10)) @@ -120324,72 +120247,47 @@ (portRef N_567 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_10)) )) - (net buf_api_stat_fifo_to_int_41 (joined - (portRef buf_api_stat_fifo_to_int_6 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_0_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) - )) - (net N_557 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_0)) - (portRef D1 (instanceRef next_INT_MASTER_DATA_OUT_0)) - )) - (net fifo_to_int_data_out_7 (joined - (portRef (member fifo_to_int_data_out 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - )) - (net next_INT_MASTER_DATA_OUT_7_am_0_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_7 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (net next_INT_MASTER_DATA_OUT_8_am_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_am_0)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) - (net N_564 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_7)) - (portRef N_564 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7)) + (net next_INT_MASTER_DATA_OUT_8_bm_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_bm_0)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_8_0)) )) - (net fifo_to_int_data_out_8 (joined - (portRef (member fifo_to_int_data_out 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (net fifo_to_int_data_out_14 (joined + (portRef (member fifo_to_int_data_out 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (net next_INT_MASTER_DATA_OUT_7_am_0_14 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_14)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (net next_INT_MASTER_DATA_OUT_7_bm_0_14 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_14)) )) - (net N_565 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_8)) - (portRef N_565 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8)) + (net N_571 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_14)) + (portRef N_571 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_14)) )) - (net fifo_to_int_data_out_9 (joined - (portRef (member fifo_to_int_data_out 6) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) + (net fifo_to_int_data_out_13 (joined + (portRef (member fifo_to_int_data_out 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0_9 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_9)) + (net next_INT_MASTER_DATA_OUT_7_am_0_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_9 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_9)) + (net next_INT_MASTER_DATA_OUT_7_bm_0_13 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) )) - (net N_566 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_9)) - (portRef N_566 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_9)) + (net N_570 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (portRef N_570 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_13)) )) (net fifo_to_int_data_out_12 (joined (portRef (member fifo_to_int_data_out 3) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -120408,56 +120306,72 @@ (portRef N_569 (instanceRef SBUF)) (portRef A (instanceRef next_INT_MASTER_DATA_OUT_12)) )) - (net fifo_to_int_data_out_14 (joined - (portRef (member fifo_to_int_data_out 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) + (net buf_api_stat_fifo_to_int_41 (joined + (portRef buf_api_stat_fifo_to_int_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0_14 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_14)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_14)) + (net next_INT_MASTER_DATA_OUT_7_am_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_0)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_14 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_14)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_14)) + (net next_INT_MASTER_DATA_OUT_7_bm_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_0)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_0)) )) - (net N_571 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_14)) - (portRef N_571 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_14)) + (net N_557 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_0)) + (portRef D1 (instanceRef next_INT_MASTER_DATA_OUT_0)) )) - (net fifo_to_int_data_out_15 (joined - (portRef (member fifo_to_int_data_out 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) + (net fifo_to_int_data_out_9 (joined + (portRef (member fifo_to_int_data_out 6) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) )) - (net next_INT_MASTER_DATA_OUT_7_am_0_15 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_15)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + (net next_INT_MASTER_DATA_OUT_7_am_0_9 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_9)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_9)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_0_15 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_15)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_15)) + (net next_INT_MASTER_DATA_OUT_7_bm_0_9 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_9)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_9)) )) - (net N_572 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_15)) - (portRef N_572 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_15)) + (net N_566 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_9)) + (portRef N_566 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_9)) )) - (net fifo_to_int_data_out_13 (joined - (portRef (member fifo_to_int_data_out 2) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) + (net fifo_to_int_data_out_8 (joined + (portRef (member fifo_to_int_data_out 7) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) )) - (net next_INT_MASTER_DATA_OUT_7_am_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_13)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (net next_INT_MASTER_DATA_OUT_7_am_0_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_8)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) )) - (net next_INT_MASTER_DATA_OUT_7_bm_13 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_13)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_13)) + (net next_INT_MASTER_DATA_OUT_7_bm_0_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_8)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_8)) )) - (net N_570 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_13)) - (portRef N_570 (instanceRef SBUF)) - (portRef A (instanceRef next_INT_MASTER_DATA_OUT_13)) + (net N_565 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_8)) + (portRef N_565 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_8)) + )) + (net fifo_to_int_data_out_7 (joined + (portRef (member fifo_to_int_data_out 8) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef B (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + )) + (net next_INT_MASTER_DATA_OUT_7_am_0_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_am_7)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + )) + (net next_INT_MASTER_DATA_OUT_7_bm_0_7 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_bm_7)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + )) + (net N_564 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7_7)) + (portRef N_564 (instanceRef SBUF)) + (portRef A (instanceRef next_INT_MASTER_DATA_OUT_7)) )) (net fifo_to_int_data_out_4 (joined (portRef (member fifo_to_int_data_out 11) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) @@ -120496,7 +120410,27 @@ (net buf_api_stat_fifo_to_apl_43 (joined (portRef Z (instanceRef fifo_to_apl_read_iv)) (portRef buf_api_stat_fifo_to_apl_8 (instanceRef FIFO_TO_APL)) - (portRef A (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) + )) + (net un1_fifo_to_apl_long_packet_num_out_0_o2 (joined + (portRef Z (instanceRef un1_fifo_to_apl_long_packet_num_out_0_o2)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_0_m2_2)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_0_m2_1)) + (portRef A (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + )) + (net next_fifo_to_apl_empty (joined + (portRef next_fifo_to_apl_empty (instanceRef FIFO_TO_APL)) + (portRef B (instanceRef next_last_fifo_to_apl_readc)) + )) + (net N_5020 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) + )) + (net N_5075 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2)) + (portRef A (instanceRef state_to_apl_ns_1_0__m11_am)) + (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + (portRef C (instanceRef slave_start_0_a2)) + (portRef C (instanceRef send_trm_wrong_addr_RNO)) )) (net state_to_int_ns_0_0_4 (joined (portRef Z (instanceRef state_to_int_ns_0_0_4)) @@ -120506,66 +120440,41 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_15)) (portRef (member next_int_master_data_out 0) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_14 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_14)) - (portRef (member next_int_master_data_out 1) (instanceRef SBUF)) - )) - (net next_INT_MASTER_DATA_OUT_12 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_12)) - (portRef (member next_int_master_data_out 3) (instanceRef SBUF)) - )) (net next_INT_MASTER_DATA_OUT_10 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_10)) (portRef (member next_int_master_data_out 5) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_9 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_9)) - (portRef (member next_int_master_data_out 6) (instanceRef SBUF)) + (net next_INT_MASTER_DATA_OUT_3 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_3)) + (portRef (member next_int_master_data_out 12) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_8 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8)) - (portRef (member next_int_master_data_out 7) (instanceRef SBUF)) + (net next_INT_MASTER_DATA_OUT_4 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4)) + (portRef (member next_int_master_data_out 11) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_7 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_7)) (portRef (member next_int_master_data_out 8) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_0 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_0)) - (portRef (member current_fifo_to_apl_packet_type 2) (instanceRef SBUF_TO_APL2)) + (net next_INT_MASTER_DATA_OUT_8 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8)) + (portRef (member next_int_master_data_out 7) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_1 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_1)) - (portRef (member current_fifo_to_apl_packet_type 1) (instanceRef SBUF_TO_APL2)) - (portRef A (instanceRef gentarget0_reg_hdr_f1_un10_current_fifo_to_apl_packet_type)) + (net next_INT_MASTER_DATA_OUT_9 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_9)) + (portRef (member next_int_master_data_out 6) (instanceRef SBUF)) )) - (net current_fifo_to_apl_packet_type_2 (joined - (portRef Z (instanceRef current_fifo_to_apl_packet_type_2)) - (portRef (member current_fifo_to_apl_packet_type 0) (instanceRef SBUF_TO_APL2)) + (net next_INT_MASTER_DATA_OUT_12 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_12)) + (portRef (member next_int_master_data_out 3) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_13 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_13)) (portRef (member next_int_master_data_out 2) (instanceRef SBUF)) )) - (net m17_am (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m17_am)) - (portRef BLUT (instanceRef state_to_apl_ns_1_0__m17)) - )) - (net m17_bm (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m17_bm)) - (portRef ALUT (instanceRef state_to_apl_ns_1_0__m17)) - )) - (net N_18 (joined - (portRef Z (instanceRef state_to_apl_ns_1_0__m17)) - (portRef C (instanceRef state_to_apl_ns_1_0__m19)) - )) - (net next_INT_MASTER_DATA_OUT_3 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_3)) - (portRef (member next_int_master_data_out 12) (instanceRef SBUF)) - )) - (net next_INT_MASTER_DATA_OUT_4 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_4)) - (portRef (member next_int_master_data_out 11) (instanceRef SBUF)) + (net next_INT_MASTER_DATA_OUT_14 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_14)) + (portRef (member next_int_master_data_out 1) (instanceRef SBUF)) )) (net int_dataready_in_i (joined (portRef int_dataready_in_i) @@ -120583,10 +120492,6 @@ (portRef un3_current_syn_dataready_out (instanceRef SBUF)) (portRef D (instanceRef sbuf_free_1_0_i)) )) - (net next_INT_MASTER_DATA_OUT_0 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_0)) - (portRef (member next_int_master_data_out 15) (instanceRef SBUF)) - )) (net next_INT_MASTER_DATA_OUT_am_0_1 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_1)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_1)) @@ -120599,9 +120504,17 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_1)) (portRef (member next_int_master_data_out 14) (instanceRef SBUF)) )) - (net un26_clk_en (joined - (portRef Z (instanceRef un26_clk_en)) - (portRef B (instanceRef fifo_to_int_read_before_RNO)) + (net next_INT_MASTER_DATA_OUT_am_0_2 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_2)) + (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_2)) + )) + (net next_INT_MASTER_DATA_OUT_bm_0_2 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) + (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_2)) + )) + (net next_INT_MASTER_DATA_OUT_2 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2)) + (portRef (member next_int_master_data_out 13) (instanceRef SBUF)) )) (net next_INT_MASTER_DATA_OUT_am_5 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_5)) @@ -120615,10 +120528,6 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_5)) (portRef (member next_int_master_data_out 10) (instanceRef SBUF)) )) - (net next_fifo_to_apl_empty (joined - (portRef next_fifo_to_apl_empty (instanceRef FIFO_TO_APL)) - (portRef B (instanceRef PROC_NEXT_LAST_FIFO_TO_APL_READ_un1_fifo_to_apl_read)) - )) (net next_INT_MASTER_DATA_OUT_am_11 (joined (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_11)) (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_11)) @@ -120643,32 +120552,48 @@ (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_6)) (portRef (member next_int_master_data_out 9) (instanceRef SBUF)) )) - (net next_INT_MASTER_DATA_OUT_am_2 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_am_2)) - (portRef BLUT (instanceRef next_INT_MASTER_DATA_OUT_2)) + (net un26_clk_en (joined + (portRef Z (instanceRef master_counter_1_sqmuxa_0)) + (portRef B (instanceRef master_counter_RNO_0)) + (portRef B (instanceRef fifo_to_int_read_before_RNO)) )) - (net next_INT_MASTER_DATA_OUT_bm_2 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_bm_2)) - (portRef ALUT (instanceRef next_INT_MASTER_DATA_OUT_2)) + (net N_5039 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_m2_0)) + (portRef N_5039 (instanceRef SBUF_TO_APL2)) )) - (net next_INT_MASTER_DATA_OUT_2 (joined - (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_2)) - (portRef (member next_int_master_data_out 13) (instanceRef SBUF)) + (net N_5040 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_0_m2_1)) + (portRef N_5040 (instanceRef SBUF_TO_APL2)) )) - (net un9_int_slave_dataready_in_i (joined - (portRef S1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef B (instanceRef to_apl_un21_int_slave_dataready_in)) + (net N_5041 (joined + (portRef Z (instanceRef current_fifo_to_apl_packet_type_i_0_m2_2)) + (portRef N_5041 (instanceRef SBUF_TO_APL2)) )) - (net slave_start_i_0 (joined - (portRef Z (instanceRef un1_next_state_to_apl_2_sqmuxa_i_a2_0_RNIAFKQ)) + (net m19_am (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_am)) + (portRef BLUT (instanceRef state_to_apl_ns_1_0__m19)) + )) + (net m19_bm (joined + (portRef Z (instanceRef state_to_apl_ns_1_0__m19_bm)) + (portRef ALUT (instanceRef state_to_apl_ns_1_0__m19)) + )) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef D (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (portRef D (instanceRef state_to_int_RNO_5)) + (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) + (portRef C (instanceRef master_counter_RNO_0)) + (portRef A (instanceRef master_counter_RNO_1)) + )) + (net slave_start (joined + (portRef Z (instanceRef slave_start_0_a2)) (portRef B (instanceRef state_to_int_RNO_5)) (portRef B (instanceRef state_to_int_ns_0_i_1)) (portRef B (instanceRef state_to_int_ns_0_i_4)) - (portRef C (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) )) - (net un2_master_counter_3_m_3 (joined - (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_RNO_2)) - (portRef A (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) + (net endpoint_reached_1_iv_0_1 (joined + (portRef Z (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0_1)) + (portRef B (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv_0)) )) (net m11_am (joined (portRef Z (instanceRef state_to_apl_ns_1_0__m11_am)) @@ -120682,12 +120607,36 @@ (portRef Z (instanceRef state_to_apl_ns_1_0__m11)) (portRef B (instanceRef state_to_apl_ns_1_0__N_17_i)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef D (instanceRef state_to_int_RNO_5)) - (portRef D (instanceRef PROC_ENDP_REACHED_endpoint_reached_1_iv)) + (net N_574 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_8_0)) + (portRef D0 (instanceRef next_INT_MASTER_DATA_OUT_0)) + )) + (net next_INT_MASTER_DATA_OUT_0 (joined + (portRef Z (instanceRef next_INT_MASTER_DATA_OUT_0)) + (portRef (member next_int_master_data_out 15) (instanceRef SBUF)) + )) + (net master_counter_6_0_i_1_2 (joined + (portRef Z (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_1_2)) (portRef B (instanceRef MASTER_TRANSFER_COUNTER_master_counter_6_0_i_2)) )) + (net next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1 (joined + (portRef Z (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + )) + (net buf_to_apl_INIT_DATA_17 (joined + (portRef (member buf_to_apl_init_data 14)) + (portRef (member buf_to_apl_init_data 14) (instanceRef FIFO_TO_APL)) + (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_1_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + (portRef B (instanceRef slave_start_0_a2_0_3_0_a2)) + )) + (net buf_to_apl_INIT_DATA_23 (joined + (portRef (member buf_to_apl_init_data 8)) + (portRef (member buf_to_apl_init_data 8) (instanceRef FIFO_TO_APL)) + (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + )) (net sequence_counter_cry_0 (joined (portRef COUT (instanceRef sequence_counter_cry_0_0)) (portRef CIN (instanceRef sequence_counter_cry_0_1)) @@ -120743,6 +120692,12 @@ (portRef B0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) (portRef D (instanceRef registered_header_F0_3)) )) + (net buf_to_apl_INIT_DATA_19 (joined + (portRef (member buf_to_apl_init_data 12)) + (portRef (member buf_to_apl_init_data 12) (instanceRef FIFO_TO_APL)) + (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + )) (net MY_ADDRESS_4 (joined (portRef (member my_address 11)) (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) @@ -120753,6 +120708,18 @@ (portRef B1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) (portRef D (instanceRef registered_header_F0_5)) )) + (net buf_to_apl_INIT_DATA_20 (joined + (portRef (member buf_to_apl_init_data 11)) + (portRef (member buf_to_apl_init_data 11) (instanceRef FIFO_TO_APL)) + (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + )) + (net buf_to_apl_INIT_DATA_21 (joined + (portRef (member buf_to_apl_init_data 10)) + (portRef (member buf_to_apl_init_data 10) (instanceRef FIFO_TO_APL)) + (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2_1)) + )) (net un9_int_slave_dataready_in_0_data_tmp_2 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_9_0)) (portRef CIN (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) @@ -120773,6 +120740,12 @@ (portRef B0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) (portRef D (instanceRef registered_header_F0_7)) )) + (net buf_to_apl_INIT_DATA_22 (joined + (portRef (member buf_to_apl_init_data 9)) + (portRef (member buf_to_apl_init_data 9) (instanceRef FIFO_TO_APL)) + (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + )) (net MY_ADDRESS_8 (joined (portRef (member my_address 7)) (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) @@ -120783,6 +120756,18 @@ (portRef B1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) (portRef D (instanceRef registered_header_F0_9)) )) + (net buf_to_apl_INIT_DATA_24 (joined + (portRef (member buf_to_apl_init_data 7)) + (portRef (member buf_to_apl_init_data 7) (instanceRef FIFO_TO_APL)) + (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_o2)) + )) + (net buf_to_apl_INIT_DATA_25 (joined + (portRef (member buf_to_apl_init_data 6)) + (portRef (member buf_to_apl_init_data 6) (instanceRef FIFO_TO_APL)) + (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) + )) (net un9_int_slave_dataready_in_0_data_tmp_4 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_27_0)) (portRef CIN (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) @@ -120803,6 +120788,18 @@ (portRef B0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) (portRef D (instanceRef registered_header_F0_11)) )) + (net buf_to_apl_INIT_DATA_26 (joined + (portRef (member buf_to_apl_init_data 5)) + (portRef (member buf_to_apl_init_data 5) (instanceRef FIFO_TO_APL)) + (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) + (portRef A (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + )) + (net buf_to_apl_INIT_DATA_27 (joined + (portRef (member buf_to_apl_init_data 4)) + (portRef (member buf_to_apl_init_data 4) (instanceRef FIFO_TO_APL)) + (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) + (portRef B (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) + )) (net MY_ADDRESS_12 (joined (portRef (member my_address 3)) (portRef A1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) @@ -120817,13 +120814,13 @@ (portRef (member buf_to_apl_init_data 3)) (portRef (member buf_to_apl_init_data 3) (instanceRef FIFO_TO_APL)) (portRef C1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef A (instanceRef to_apl_and_all_tmp_6_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) )) (net buf_to_apl_INIT_DATA_29 (joined (portRef (member buf_to_apl_init_data 2)) (portRef (member buf_to_apl_init_data 2) (instanceRef FIFO_TO_APL)) (portRef D1 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) - (portRef B (instanceRef to_apl_and_all_tmp_6_0)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_5)) )) (net un9_int_slave_dataready_in_0_data_tmp_6 (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_33_0)) @@ -120849,13 +120846,13 @@ (portRef (member buf_to_apl_init_data 1)) (portRef (member buf_to_apl_init_data 1) (instanceRef FIFO_TO_APL)) (portRef C0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef C (instanceRef to_apl_and_all_tmp_6_0)) + (portRef C (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) )) (net buf_to_apl_INIT_DATA_31 (joined (portRef (member buf_to_apl_init_data 0)) (portRef (member buf_to_apl_init_data 0) (instanceRef FIFO_TO_APL)) (portRef D0 (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) - (portRef D (instanceRef to_apl_and_all_tmp_6_0)) + (portRef D (instanceRef next_send_trm_wrong_addr_1_sqmuxa_0_a2_i_a2_6)) )) (net un9_int_slave_dataready_in_0_I_21_0_COUT (joined (portRef COUT (instanceRef to_apl_un9_int_slave_dataready_in_0_I_21_0)) @@ -120927,13 +120924,13 @@ (portRef (member apl_to_buf_reply_data 0) (instanceRef SBUF)) (portRef (member apl_to_buf_reply_data 0)) )) - (net buf_api_stat_fifo_to_int_3 (joined + (net buf_api_stat_fifo_to_int_0 (joined (portRef buf_api_stat_fifo_to_int_0 (instanceRef SBUF)) - (portRef buf_api_stat_fifo_to_int_3) + (portRef buf_api_stat_fifo_to_int_0) )) - (net buf_api_stat_fifo_to_int_4 (joined + (net buf_api_stat_fifo_to_int_1 (joined (portRef buf_api_stat_fifo_to_int_1 (instanceRef SBUF)) - (portRef buf_api_stat_fifo_to_int_4) + (portRef buf_api_stat_fifo_to_int_1) )) (net apl_to_buf_REPLY_READ_i_3_i_1 (joined (portRef (member apl_to_buf_reply_read_i_3_i 0)) @@ -121018,6 +121015,11 @@ (portRef C (instanceRef to_apl_un11_reg_apl_typ_out_2)) (portRef (member buf_apl_packet_num_out 0)) )) + (net buf_APL_DATAREADY_OUT_1 (joined + (portRef (member buf_apl_dataready_out 0) (instanceRef SBUF_TO_APL)) + (portRef A (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) + (portRef (member buf_apl_dataready_out 0)) + )) (net buf_APL_READ_IN_1 (joined (portRef (member buf_apl_read_in 0)) (portRef (member buf_apl_read_in 0) (instanceRef SBUF_TO_APL2)) @@ -121026,40 +121028,26 @@ )) (net buf_APL_TYP_OUT_0 (joined (portRef (member buf_apl_typ_out 2) (instanceRef SBUF_TO_APL2)) - (portRef B (instanceRef to_apl_un11_reg_apl_typ_out_3)) + (portRef B (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) (portRef (member buf_apl_typ_out 2)) )) (net buf_APL_TYP_OUT_1 (joined (portRef (member buf_apl_typ_out 1) (instanceRef SBUF_TO_APL2)) - (portRef C (instanceRef to_apl_un11_reg_apl_typ_out_3)) + (portRef C (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) (portRef (member buf_apl_typ_out 1)) )) (net buf_APL_TYP_OUT_2 (joined (portRef (member buf_apl_typ_out 0) (instanceRef SBUF_TO_APL2)) - (portRef B (instanceRef to_apl_un11_reg_apl_typ_out)) + (portRef D (instanceRef to_apl_un11_reg_apl_typ_out_3_0)) (portRef (member buf_apl_typ_out 0)) )) (net buf_api_stat_fifo_to_apl_i_46 (joined (portRef (member buf_api_stat_fifo_to_apl_i 0) (instanceRef FIFO_TO_APL)) (portRef (member buf_api_stat_fifo_to_apl_i 0)) )) - (net buf_api_stat_fifo_to_int_11 (joined - (portRef buf_api_stat_fifo_to_int_11 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef B (instanceRef fifo_to_int_write_0_a2)) - (portRef buf_api_stat_fifo_to_int_11) - )) - (net buf_api_stat_fifo_to_int_0 (joined - (portRef Z (instanceRef fifo_to_int_write_0_a2)) - (portRef buf_api_stat_fifo_to_int_0 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef buf_api_stat_fifo_to_int_0) - )) - (net state_0 (joined - (portRef (member state 1)) - (portRef (member state 1) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - )) - (net state_1 (joined - (portRef (member state 0)) - (portRef (member state 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net buf_api_stat_fifo_to_int_8 (joined + (portRef buf_api_stat_fifo_to_int_5 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef buf_api_stat_fifo_to_int_8) )) (net buf_APL_DATA_IN_16 (joined (portRef (member buf_apl_data_in 15)) @@ -121129,18 +121117,14 @@ (portRef (member buf_apl_packet_num_in 0)) (portRef (member buf_apl_packet_num_in 0) (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net waiting_word (joined - (portRef waiting_word) - (portRef waiting_word (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (net N_5723_i (joined + (portRef N_5723_i) + (portRef N_5723_i (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) )) - (net FF_23_RNICS201 (joined - (portRef FF_23_RNICS201 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef FF_23_RNICS201) - )) - (net reset_i_19 (joined - (portRef reset_i_19) - (portRef reset_i_19 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) - (portRef reset_i_19 (instanceRef FIFO_TO_APL)) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef GEN_FIFO_TO_INT_FIFO_TO_INT)) + (portRef reset_i_20 (instanceRef FIFO_TO_APL)) )) ) (property HGROUP (string "API_group")) @@ -121150,7 +121134,9 @@ (view netlist (viewType NETLIST) (interface (port (array (rename med_io_packet_num_out "MED_IO_PACKET_NUM_OUT(23:21)") 3) (direction OUTPUT)) - (port (array (rename current_output_data_buffer "current_output_data_buffer(3:0)") 4) (direction OUTPUT)) + (port current_output_data_buffer_0 (direction OUTPUT)) + (port current_output_data_buffer_3 (direction OUTPUT)) + (port (array (rename current_output_data_buffer_mb "current_output_data_buffer_mb(2:1)") 2) (direction OUTPUT)) (port (array (rename timer_ticks "timer_ticks(1:1)") 1) (direction INPUT)) (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(103:102)") 2) (direction INPUT)) (port (array (rename apl_to_buf_reply_read_i_3_i "apl_to_buf_REPLY_READ_i_3_i(3:3)") 1) (direction OUTPUT)) @@ -121654,21 +121640,21 @@ (portRef (member timer_ticks 0)) (portRef (member timer_ticks 0) (instanceRef genREPLYOBUF1_REPLYOBUF)) )) - (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3) (instanceRef genREPLYOBUF1_REPLYOBUF)) - (portRef (member current_output_data_buffer 3)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1) (instanceRef genREPLYOBUF1_REPLYOBUF)) + (portRef (member current_output_data_buffer_mb 1)) )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2) (instanceRef genREPLYOBUF1_REPLYOBUF)) - (portRef (member current_output_data_buffer 2)) - )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1) (instanceRef genREPLYOBUF1_REPLYOBUF)) - (portRef (member current_output_data_buffer 1)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0) (instanceRef genREPLYOBUF1_REPLYOBUF)) + (portRef (member current_output_data_buffer_mb 0)) )) (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0) (instanceRef genREPLYOBUF1_REPLYOBUF)) - (portRef (member current_output_data_buffer 0)) + (portRef current_output_data_buffer_3 (instanceRef genREPLYOBUF1_REPLYOBUF)) + (portRef current_output_data_buffer_3) + )) + (net current_output_data_buffer_0 (joined + (portRef current_output_data_buffer_0 (instanceRef genREPLYOBUF1_REPLYOBUF)) + (portRef current_output_data_buffer_0) )) (net MED_IO_PACKET_NUM_OUT_21 (joined (portRef (member med_io_packet_num_out 2) (instanceRef genREPLYOBUF1_REPLYOBUF)) @@ -122840,7 +122826,7 @@ (cell edge_to_pulse_0_30 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -122912,11 +122898,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_16_0 (joined + (net channel_debug_01_i_29_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_16 0)) + (portRef (member channel_debug_01_i_29 0)) )) (net VCC (joined (portRef VCC) @@ -122930,15 +122916,15 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(16:16)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(2:2)") 1) (direction INPUT)) - (port reset_i_10 (direction INPUT)) - (port reset_i_9 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(29:29)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(2:2)") 1) (direction INPUT)) + (port reset_i_4 (direction INPUT)) + (port reset_i_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -123419,8 +123405,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_16_2 (joined - (portRef (member channel_debug_01_i_16 0)) + (net channel_debug_01_i_29_2 (joined + (portRef (member channel_debug_01_i_29 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -123467,7 +123453,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_16 (joined + (net rd_en_i_29 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -123511,8 +123497,8 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) (portRef B (instanceRef OR2_t18)) (portRef A (instanceRef OR2_t18)) )) @@ -123903,10 +123889,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -124196,6 +124179,9 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -124469,8 +124455,9 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_9 (joined - (portRef reset_i_9) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef CD (instanceRef FF_82)) (portRef CD (instanceRef FF_83)) (portRef CD (instanceRef FF_84)) (portRef CD (instanceRef FF_85)) @@ -124568,8 +124555,8 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) - (net reset_i_10 (joined - (portRef reset_i_10) + (net reset_i_4 (joined + (portRef reset_i_4) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -124601,7 +124588,6 @@ (portRef CD (instanceRef FF_79)) (portRef CD (instanceRef FF_80)) (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) @@ -125271,11 +125257,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(2:1)") 2) (direction INOUT)) - (port reset_tdc_51 (direction INPUT)) - (port reset_tdc_fast_36_r25 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(2:1)") 2) (direction INOUT)) + (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_fast_37_r16 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -125644,7 +125631,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIOMUI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI6DGC (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -125726,15 +125713,18 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -125744,9 +125734,6 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -125981,6 +125968,9 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -126262,9 +126252,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -126409,6 +126396,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNIE2UD1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -126418,38 +126411,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -126656,7 +126649,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIOMUI)) + (portRef A (instanceRef start_reg_RNI6DGC)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -126909,8 +126902,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -126996,6 +126989,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNIE2UD1)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -127004,6 +126998,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNIE2UD1)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -127012,6 +127007,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNIE2UD1)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -127020,6 +127016,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNIE2UD1)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -127116,8 +127113,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -127232,6 +127229,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -127273,7 +127280,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -127964,8 +127971,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIOMUI (joined - (portRef Z (instanceRef start_reg_RNIOMUI)) + (net start_reg_RNI6DGC (joined + (portRef Z (instanceRef start_reg_RNI6DGC)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -128010,8 +128017,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_16_1 (joined - (portRef (member channel_debug_01_i_16 1)) + (net channel_debug_01_i_29_1 (joined + (portRef (member channel_debug_01_i_29 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -128054,7 +128061,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIOMUI)) + (portRef B (instanceRef start_reg_RNI6DGC)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -128070,21 +128077,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_16_2 (joined + (net channel_debug_01_i_29_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_16 0)) + (portRef (member channel_debug_01_i_29 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -128647,7 +128644,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIOMUI)) + (portRef C (instanceRef start_reg_RNI6DGC)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -128776,19 +128773,13 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -128797,6 +128788,14 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) @@ -128809,10 +128808,6 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net interval_reg_41_14_am_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -129544,6 +129539,21 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -130247,19 +130257,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - )) (net interval_reg_41_15_0_1 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -130689,6 +130686,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNIE2UD1)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -130697,8 +130715,12 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r25 (joined - (portRef reset_tdc_fast_36_r25) + (net un3_binary_code_out_cry_1_0_S0 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_1)) + )) + (net reset_tdc_fast_37_r16 (joined + (portRef reset_tdc_fast_37_r16) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -130708,11 +130730,6 @@ (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_cry_1_0_S0 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) (net un3_binary_code_out_cry_3_0_S1 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -131269,9 +131286,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) - (portRef reset_tdc_51 (instanceRef ROM_Encoder_1)) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef reset_tdc_12 (instanceRef ROM_Encoder_1)) )) ) ) @@ -131281,10 +131298,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) - (port reset_tdc_50 (direction INPUT)) - (port reset_tdc_49 (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_11 (direction INPUT)) + (port reset_tdc_10 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -133440,8 +133457,73 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) (portRef CD (instanceRef FF_284)) (portRef CD (instanceRef FF_285)) (portRef CD (instanceRef FF_286)) @@ -133623,109 +133705,6 @@ (portRef S0 (instanceRef GEN_141_ADD)) (portRef D (instanceRef FF_282)) )) - (net reset_tdc_49 (joined - (portRef reset_tdc_49) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - )) (net result_i_282 (joined (portRef Q (instanceRef FF_282)) (portRef (member result_i 21)) @@ -134246,6 +134225,109 @@ (portRef S1 (instanceRef GEN_108_ADD)) (portRef D (instanceRef FF_217)) )) + (net reset_tdc_10 (joined + (portRef reset_tdc_10) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + )) (net result_i_217 (joined (portRef Q (instanceRef FF_217)) (portRef (member result_i 86)) @@ -134526,109 +134608,6 @@ (portRef S0 (instanceRef GEN_91_ADD)) (portRef D (instanceRef FF_182)) )) - (net reset_tdc_50 (joined - (portRef reset_tdc_50) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - )) (net result_i_182 (joined (portRef Q (instanceRef FF_182)) (portRef (member result_i 121)) @@ -135149,6 +135128,109 @@ (portRef S1 (instanceRef GEN_58_ADD)) (portRef D (instanceRef FF_117)) )) + (net reset_tdc_11 (joined + (portRef reset_tdc_11) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + )) (net result_i_117 (joined (portRef Q (instanceRef FF_117)) (portRef (member result_i 186)) @@ -135429,93 +135511,6 @@ (portRef S0 (instanceRef GEN_41_ADD)) (portRef D (instanceRef FF_82)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - )) (net result_i_82 (joined (portRef Q (instanceRef FF_82)) (portRef (member result_i 221)) @@ -136036,6 +136031,28 @@ (portRef S1 (instanceRef GEN_8_ADD)) (portRef D (instanceRef FF_17)) )) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + )) (net result_i_17 (joined (portRef Q (instanceRef FF_17)) (portRef (member result_i 286)) @@ -137101,7 +137118,7 @@ (cell edge_to_pulse_0_29 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -137173,11 +137190,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_29_0 (joined + (net channel_debug_01_i_14_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_29 0)) + (portRef (member channel_debug_01_i_14 0)) )) (net VCC (joined (portRef VCC) @@ -137191,16 +137208,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(29:29)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(2:2)") 1) (direction INPUT)) - (port reset_i_3 (direction INPUT)) - (port reset_i_2 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(14:14)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(2:2)") 1) (direction INPUT)) + (port reset_i_12 (direction INPUT)) + (port reset_i_11 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -137680,8 +137698,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_29_2 (joined - (portRef (member channel_debug_01_i_29 0)) + (net channel_debug_01_i_14_2 (joined + (portRef (member channel_debug_01_i_14 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -137724,11 +137742,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_29 (joined + (net rd_en_i_14 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -138164,7 +138178,8 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -138453,10 +138468,9 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -138730,16 +138744,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) + (net reset_i_11 (joined + (portRef reset_i_11) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) (portRef CD (instanceRef FF_93)) @@ -138797,40 +138803,8 @@ (portRef Q (instanceRef FF_90)) (portRef D (instanceRef FF_40)) )) - (net w_gcount_2 (joined - (portRef Q (instanceRef FF_89)) - (portRef D (instanceRef FF_39)) - )) - (net w_gcount_3 (joined - (portRef Q (instanceRef FF_88)) - (portRef D (instanceRef FF_38)) - )) - (net w_gcount_4 (joined - (portRef Q (instanceRef FF_87)) - (portRef D (instanceRef FF_37)) - )) - (net w_gcount_5 (joined - (portRef Q (instanceRef FF_86)) - (portRef D (instanceRef FF_36)) - )) - (net w_gcount_6 (joined - (portRef Q (instanceRef FF_85)) - (portRef D (instanceRef FF_35)) - )) - (net w_gcount_7 (joined - (portRef Q (instanceRef FF_84)) - (portRef D (instanceRef FF_34)) - )) - (net w_gcount_8 (joined - (portRef Q (instanceRef FF_83)) - (portRef D (instanceRef FF_33)) - )) - (net w_gcount_9 (joined - (portRef Q (instanceRef FF_82)) - (portRef D (instanceRef FF_32)) - )) - (net reset_i_3 (joined - (portRef reset_i_3) + (net reset_i_12 (joined + (portRef reset_i_12) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -138863,6 +138837,46 @@ (portRef CD (instanceRef FF_80)) (portRef CD (instanceRef FF_81)) (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + )) + (net w_gcount_2 (joined + (portRef Q (instanceRef FF_89)) + (portRef D (instanceRef FF_39)) + )) + (net w_gcount_3 (joined + (portRef Q (instanceRef FF_88)) + (portRef D (instanceRef FF_38)) + )) + (net w_gcount_4 (joined + (portRef Q (instanceRef FF_87)) + (portRef D (instanceRef FF_37)) + )) + (net w_gcount_5 (joined + (portRef Q (instanceRef FF_86)) + (portRef D (instanceRef FF_36)) + )) + (net w_gcount_6 (joined + (portRef Q (instanceRef FF_85)) + (portRef D (instanceRef FF_35)) + )) + (net w_gcount_7 (joined + (portRef Q (instanceRef FF_84)) + (portRef D (instanceRef FF_34)) + )) + (net w_gcount_8 (joined + (portRef Q (instanceRef FF_83)) + (portRef D (instanceRef FF_33)) + )) + (net w_gcount_9 (joined + (portRef Q (instanceRef FF_82)) + (portRef D (instanceRef FF_32)) )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) @@ -139092,6 +139106,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -139532,12 +139551,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_29 "channel_debug_01_i_29(2:1)") 2) (direction INOUT)) - (port reset_tdc_12 (direction INPUT)) - (port reset_tdc_fast_37_r16 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(2:1)") 2) (direction INOUT)) + (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_fast_36_r23 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -139906,7 +139924,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI6DGC (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIGIT11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -139951,10 +139969,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -139988,19 +140006,19 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -140240,6 +140258,9 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -140454,10 +140475,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -140518,9 +140539,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -140671,12 +140689,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNIE2UD1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -140686,38 +140698,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -140924,7 +140936,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI6DGC)) + (portRef A (instanceRef start_reg_RNIGIT11)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -141189,8 +141201,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -141264,7 +141276,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNIE2UD1)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -141273,7 +141284,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNIE2UD1)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -141282,7 +141292,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNIE2UD1)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -141291,7 +141300,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNIE2UD1)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -141421,8 +141429,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -141504,16 +141512,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -141555,7 +141553,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -142246,8 +142244,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI6DGC (joined - (portRef Z (instanceRef start_reg_RNI6DGC)) + (net start_reg_RNIGIT11 (joined + (portRef Z (instanceRef start_reg_RNIGIT11)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -142292,8 +142290,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_29_1 (joined - (portRef (member channel_debug_01_i_29 1)) + (net channel_debug_01_i_14_1 (joined + (portRef (member channel_debug_01_i_14 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -142336,7 +142334,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI6DGC)) + (portRef B (instanceRef start_reg_RNIGIT11)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -142352,11 +142350,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_29_2 (joined + (net channel_debug_01_i_14_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_29 0)) + (portRef (member channel_debug_01_i_14 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -142512,8 +142520,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -142767,8 +142775,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -142919,7 +142927,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI6DGC)) + (portRef C (instanceRef start_reg_RNIGIT11)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -142946,8 +142954,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -143018,14 +143026,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_0_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -143048,13 +143056,19 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -143063,18 +143077,14 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) @@ -144509,19 +144519,18 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) - )) (net mux_control_6_5_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) + (net mux_control_6_5_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) + )) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -144530,7 +144539,6 @@ (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -144961,27 +144969,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNIE2UD1)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -144990,30 +144977,31 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r16 (joined - (portRef reset_tdc_fast_37_r16) + (net reset_tdc_fast_36_r23 (joined + (portRef reset_tdc_fast_36_r23) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_6 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) @@ -145561,9 +145549,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef reset_tdc_12 (instanceRef ROM_Encoder_1)) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef reset_tdc_57 (instanceRef ROM_Encoder_1)) )) ) ) @@ -145573,10 +145561,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) - (port reset_tdc_11 (direction INPUT)) - (port reset_tdc_10 (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_56 (direction INPUT)) + (port reset_tdc_55 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -147732,83 +147720,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) - (portRef CD (instanceRef FF_289)) - (portRef CD (instanceRef FF_290)) - (portRef CD (instanceRef FF_291)) - (portRef CD (instanceRef FF_292)) - (portRef CD (instanceRef FF_293)) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) (portRef CD (instanceRef FF_294)) (portRef CD (instanceRef FF_295)) (portRef CD (instanceRef FF_296)) @@ -147900,6 +147813,109 @@ (portRef S0 (instanceRef GEN_146_ADD)) (portRef D (instanceRef FF_292)) )) + (net reset_tdc_55 (joined + (portRef reset_tdc_55) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) + (portRef CD (instanceRef FF_289)) + (portRef CD (instanceRef FF_290)) + (portRef CD (instanceRef FF_291)) + (portRef CD (instanceRef FF_292)) + (portRef CD (instanceRef FF_293)) + )) (net result_i_292 (joined (portRef Q (instanceRef FF_292)) (portRef (member result_i 11)) @@ -148500,109 +148516,6 @@ (portRef S1 (instanceRef GEN_108_ADD)) (portRef D (instanceRef FF_217)) )) - (net reset_tdc_10 (joined - (portRef reset_tdc_10) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - )) (net result_i_217 (joined (portRef Q (instanceRef FF_217)) (portRef (member result_i 86)) @@ -148803,6 +148716,109 @@ (portRef S0 (instanceRef GEN_96_ADD)) (portRef D (instanceRef FF_192)) )) + (net reset_tdc_56 (joined + (portRef reset_tdc_56) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + )) (net result_i_192 (joined (portRef Q (instanceRef FF_192)) (portRef (member result_i 111)) @@ -149403,109 +149419,6 @@ (portRef S1 (instanceRef GEN_58_ADD)) (portRef D (instanceRef FF_117)) )) - (net reset_tdc_11 (joined - (portRef reset_tdc_11) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - )) (net result_i_117 (joined (portRef Q (instanceRef FF_117)) (portRef (member result_i 186)) @@ -149706,6 +149619,103 @@ (portRef S0 (instanceRef GEN_46_ADD)) (portRef D (instanceRef FF_92)) )) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + )) (net result_i_92 (joined (portRef Q (instanceRef FF_92)) (portRef (member result_i 211)) @@ -150306,28 +150316,6 @@ (portRef S1 (instanceRef GEN_8_ADD)) (portRef D (instanceRef FF_17)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - )) (net result_i_17 (joined (portRef Q (instanceRef FF_17)) (portRef (member result_i 286)) @@ -151393,7 +151381,7 @@ (cell edge_to_pulse_0_28 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -151465,11 +151453,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_24_0 (joined + (net channel_debug_01_i_31_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_24 0)) + (portRef (member channel_debug_01_i_31 0)) )) (net VCC (joined (portRef VCC) @@ -151483,15 +151471,15 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(24:24)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(2:2)") 1) (direction INPUT)) - (port reset_i_6 (direction INPUT)) - (port reset_i_5 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(31:31)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(2:2)") 1) (direction INPUT)) + (port reset_i_3 (direction INPUT)) + (port reset_i_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -151972,8 +151960,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_24_2 (joined - (portRef (member channel_debug_01_i_24 0)) + (net channel_debug_01_i_31_2 (joined + (portRef (member channel_debug_01_i_31 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -152020,7 +152008,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_24 (joined + (net rd_en_i_31 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -152064,8 +152052,8 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) (portRef B (instanceRef OR2_t18)) (portRef A (instanceRef OR2_t18)) )) @@ -152456,9 +152444,6 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -152749,6 +152734,9 @@ (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -152879,16 +152867,16 @@ (portRef DO13 (instanceRef pdp_ram_0_0_0)) (portRef (member fifo_data_out_i 0)) )) - (net un1_pdp_ram_0_0_0_1 (joined + (net pdp_ram_0_0_0_DO14 (joined (portRef DO14 (instanceRef pdp_ram_0_0_0)) )) - (net un1_pdp_ram_0_0_0_2 (joined + (net pdp_ram_0_0_0_DO15 (joined (portRef DO15 (instanceRef pdp_ram_0_0_0)) )) - (net un1_pdp_ram_0_0_0_3 (joined + (net pdp_ram_0_0_0_DO16 (joined (portRef DO16 (instanceRef pdp_ram_0_0_0)) )) - (net un1_pdp_ram_0_0_0 (joined + (net pdp_ram_0_0_0_DO17 (joined (portRef DO17 (instanceRef pdp_ram_0_0_0)) )) (net fifo_data_out_i_0 (joined @@ -153022,31 +153010,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_5 (joined - (portRef reset_i_5) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) + (net reset_i_2 (joined + (portRef reset_i_2) (portRef CD (instanceRef FF_75)) (portRef CD (instanceRef FF_76)) (portRef CD (instanceRef FF_77)) @@ -153152,6 +153117,33 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -153380,18 +153372,14 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef CD (instanceRef FF_0)) - )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) )) - (net un1_w_gctr_cia (joined + (net w_gctr_cia_S0 (joined (portRef S0 (instanceRef w_gctr_cia)) )) - (net un1_w_gctr_cia_1 (joined + (net w_gctr_cia_S1 (joined (portRef S1 (instanceRef w_gctr_cia)) )) (net co0 (joined @@ -153417,10 +153405,10 @@ (portRef COUT (instanceRef r_gctr_cia)) (portRef CI (instanceRef r_gctr_0)) )) - (net un1_r_gctr_cia (joined + (net r_gctr_cia_S0 (joined (portRef S0 (instanceRef r_gctr_cia)) )) - (net un1_r_gctr_cia_1 (joined + (net r_gctr_cia_S1 (joined (portRef S1 (instanceRef r_gctr_cia)) )) (net co0_1 (joined @@ -153446,40 +153434,40 @@ (portRef COUT (instanceRef empty_cmp_ci_a)) (portRef CI (instanceRef empty_cmp_0)) )) - (net un1_empty_cmp_ci_a (joined + (net empty_cmp_ci_a_S0 (joined (portRef S0 (instanceRef empty_cmp_ci_a)) )) - (net un1_empty_cmp_ci_a_1 (joined + (net empty_cmp_ci_a_S1 (joined (portRef S1 (instanceRef empty_cmp_ci_a)) )) (net empty_d_c (joined (portRef GE (instanceRef empty_cmp_4)) (portRef CI (instanceRef a0)) )) - (net un1_a0 (joined + (net a0_COUT (joined (portRef COUT (instanceRef a0)) )) - (net un1_a0_1 (joined + (net a0_S1 (joined (portRef S1 (instanceRef a0)) )) (net cmp_ci_1 (joined (portRef COUT (instanceRef full_cmp_ci_a)) (portRef CI (instanceRef full_cmp_0)) )) - (net un1_full_cmp_ci_a (joined + (net full_cmp_ci_a_S0 (joined (portRef S0 (instanceRef full_cmp_ci_a)) )) - (net un1_full_cmp_ci_a_1 (joined + (net full_cmp_ci_a_S1 (joined (portRef S1 (instanceRef full_cmp_ci_a)) )) (net full_d_c (joined (portRef GE (instanceRef full_cmp_4)) (portRef CI (instanceRef a1)) )) - (net un1_a1 (joined + (net a1_COUT (joined (portRef COUT (instanceRef a1)) )) - (net un1_a1_1 (joined + (net a1_S1 (joined (portRef S1 (instanceRef a1)) )) (net co3_3 (joined @@ -153824,9 +153812,10 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(2:1)") 2) (direction INOUT)) - (port reset_tdc_27 (direction INPUT)) - (port reset_tdc_fast_36_r33 (direction INPUT)) + (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(2:1)") 2) (direction INOUT)) + (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_fast_37_r18 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -154197,7 +154186,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIIIT11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI8SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154242,10 +154231,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154288,16 +154277,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154534,7 +154523,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154751,10 +154740,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154815,7 +154804,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -154962,6 +154951,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNII10S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -154971,38 +154966,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -155209,7 +155204,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIIIT11)) + (portRef A (instanceRef start_reg_RNI8SB81)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -155450,8 +155445,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -155462,8 +155457,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -155549,6 +155544,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNII10S)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -155557,6 +155553,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNII10S)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -155565,6 +155562,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNII10S)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -155573,6 +155571,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNII10S)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -155636,8 +155635,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -155669,8 +155668,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -155785,6 +155784,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -155826,7 +155835,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -156517,8 +156526,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIIIT11 (joined - (portRef Z (instanceRef start_reg_RNIIIT11)) + (net start_reg_RNI8SB81 (joined + (portRef Z (instanceRef start_reg_RNI8SB81)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -156563,8 +156572,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_24_1 (joined - (portRef (member channel_debug_01_i_24 1)) + (net channel_debug_01_i_31_1 (joined + (portRef (member channel_debug_01_i_31 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -156607,7 +156616,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIIIT11)) + (portRef B (instanceRef start_reg_RNI8SB81)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -156623,21 +156632,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_24_2 (joined + (net channel_debug_01_i_31_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_24 0)) + (portRef (member channel_debug_01_i_31 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -156793,8 +156792,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -157048,8 +157047,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -157200,7 +157199,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIIIT11)) + (portRef C (instanceRef start_reg_RNI8SB81)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -157227,8 +157226,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -157299,14 +157298,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_1_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -157348,21 +157347,21 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net interval_reg_41_14_am_1_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -158097,7 +158096,7 @@ )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -158107,7 +158106,7 @@ (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) )) (net mux_control_6_14_0 (joined @@ -158805,14 +158804,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -159242,6 +159241,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNII10S)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_0_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -159250,22 +159270,21 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r33 (joined - (portRef reset_tdc_fast_36_r33) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) + (net reset_tdc_fast_37_r18 (joined + (portRef reset_tdc_fast_37_r18) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) + (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -159283,14 +159302,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) - (net un3_binary_code_out_8 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_8)) - )) (net un3_binary_code_out_7 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_7)) )) + (net un3_binary_code_out_8 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_8)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -159822,9 +159841,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) - (portRef reset_tdc_27 (instanceRef ROM_Encoder_1)) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef reset_tdc_6 (instanceRef ROM_Encoder_1)) )) ) ) @@ -159834,10 +159853,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) - (port reset_tdc_26 (direction INPUT)) - (port reset_tdc_25 (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_5 (direction INPUT)) + (port reset_tdc_4 (direction INPUT)) + (port reset_tdc_3 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -161993,8 +162012,43 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) + (net reset_tdc_3 (joined + (portRef reset_tdc_3) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) (portRef CD (instanceRef FF_244)) (portRef CD (instanceRef FF_245)) (portRef CD (instanceRef FF_246)) @@ -162536,109 +162590,6 @@ (portRef S0 (instanceRef GEN_121_ADD)) (portRef D (instanceRef FF_242)) )) - (net reset_tdc_25 (joined - (portRef reset_tdc_25) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - )) (net result_i_242 (joined (portRef Q (instanceRef FF_242)) (portRef (member result_i 61)) @@ -162919,6 +162870,109 @@ (portRef S1 (instanceRef GEN_103_ADD)) (portRef D (instanceRef FF_207)) )) + (net reset_tdc_4 (joined + (portRef reset_tdc_4) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + )) (net result_i_207 (joined (portRef Q (instanceRef FF_207)) (portRef (member result_i 96)) @@ -163439,109 +163493,6 @@ (portRef S0 (instanceRef GEN_71_ADD)) (portRef D (instanceRef FF_142)) )) - (net reset_tdc_26 (joined - (portRef reset_tdc_26) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - )) (net result_i_142 (joined (portRef Q (instanceRef FF_142)) (portRef (member result_i 161)) @@ -163822,6 +163773,109 @@ (portRef S1 (instanceRef GEN_53_ADD)) (portRef D (instanceRef FF_107)) )) + (net reset_tdc_5 (joined + (portRef reset_tdc_5) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + )) (net result_i_107 (joined (portRef Q (instanceRef FF_107)) (portRef (member result_i 196)) @@ -164342,53 +164396,6 @@ (portRef S0 (instanceRef GEN_21_ADD)) (portRef D (instanceRef FF_42)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - )) (net result_i_42 (joined (portRef Q (instanceRef FF_42)) (portRef (member result_i 261)) @@ -164669,6 +164676,18 @@ (portRef S1 (instanceRef GEN_3_ADD)) (portRef D (instanceRef FF_7)) )) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + )) (net result_i_7 (joined (portRef Q (instanceRef FF_7)) (portRef (member result_i 296)) @@ -165654,7 +165673,7 @@ (cell edge_to_pulse_0_27 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -165726,11 +165745,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_12_0 (joined + (net channel_debug_01_i_24_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_12 0)) + (portRef (member channel_debug_01_i_24 0)) )) (net VCC (joined (portRef VCC) @@ -165744,10 +165763,9 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(12:12)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(2:2)") 1) (direction INPUT)) - (port reset_i_12 (direction INPUT)) - (port reset_i_11 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(24:24)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(2:2)") 1) (direction INPUT)) + (port reset_i_6 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -166233,8 +166251,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_12_2 (joined - (portRef (member channel_debug_01_i_12 0)) + (net channel_debug_01_i_24_2 (joined + (portRef (member channel_debug_01_i_24 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -166281,7 +166299,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_12 (joined + (net rd_en_i_24 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -166717,7 +166735,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) @@ -167008,8 +167026,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -167283,31 +167301,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef PD (instanceRef FF_101)) - (portRef RST (instanceRef pdp_ram_0_0_0)) - )) - (net iwcount_1 (joined - (portRef NC1 (instanceRef w_gctr_0)) - (portRef D (instanceRef FF_100)) - )) - (net iwcount_2 (joined - (portRef NC0 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_99)) - )) - (net iwcount_3 (joined - (portRef NC1 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_98)) - )) - (net iwcount_4 (joined - (portRef NC0 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_97)) - )) - (net reset_i_12 (joined - (portRef reset_i_12) + (net reset_i_6 (joined + (portRef reset_i_6) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -167356,6 +167351,26 @@ (portRef CD (instanceRef FF_96)) (portRef CD (instanceRef FF_97)) (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef PD (instanceRef FF_101)) + (portRef RST (instanceRef pdp_ram_0_0_0)) + )) + (net iwcount_1 (joined + (portRef NC1 (instanceRef w_gctr_0)) + (portRef D (instanceRef FF_100)) + )) + (net iwcount_2 (joined + (portRef NC0 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_99)) + )) + (net iwcount_3 (joined + (portRef NC1 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_98)) + )) + (net iwcount_4 (joined + (portRef NC0 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_97)) )) (net iwcount_5 (joined (portRef NC1 (instanceRef w_gctr_2)) @@ -168085,11 +168100,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(2:1)") 2) (direction INOUT)) - (port reset_tdc_64 (direction INPUT)) - (port reset_tdc_fast_36_r21 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_24 "channel_debug_01_i_24(2:1)") 2) (direction INOUT)) + (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_fast_36_r33 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -168458,7 +168473,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI8ES01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIIIT11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -168503,10 +168518,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -168555,10 +168570,10 @@ (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -169015,10 +169030,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -169244,10 +169259,10 @@ (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -169470,7 +169485,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI8ES01)) + (portRef A (instanceRef start_reg_RNIIIT11)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -170778,8 +170793,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI8ES01 (joined - (portRef Z (instanceRef start_reg_RNI8ES01)) + (net start_reg_RNIIIT11 (joined + (portRef Z (instanceRef start_reg_RNIIIT11)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -170824,8 +170839,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_12_1 (joined - (portRef (member channel_debug_01_i_12 1)) + (net channel_debug_01_i_24_1 (joined + (portRef (member channel_debug_01_i_24 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -170868,7 +170883,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI8ES01)) + (portRef B (instanceRef start_reg_RNIIIT11)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -170889,16 +170904,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_12_2 (joined + (net channel_debug_01_i_24_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_12 0)) + (portRef (member channel_debug_01_i_24 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -171054,8 +171069,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -171309,8 +171324,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -171461,7 +171476,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI8ES01)) + (portRef C (instanceRef start_reg_RNIIIT11)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -171488,8 +171503,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -171560,14 +171575,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_2_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -171590,10 +171605,8 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) @@ -171621,14 +171634,14 @@ (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net interval_reg_41_14_am_2_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -172360,6 +172373,19 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -173055,24 +173081,13 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -173511,15 +173526,15 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r21 (joined - (portRef reset_tdc_fast_36_r21) + (net reset_tdc_fast_36_r33 (joined + (portRef reset_tdc_fast_36_r33) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) @@ -173528,14 +173543,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) - )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) @@ -174083,9 +174098,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) - (portRef reset_tdc_64 (instanceRef ROM_Encoder_1)) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) + (portRef reset_tdc_27 (instanceRef ROM_Encoder_1)) )) ) ) @@ -174095,10 +174110,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) - (port reset_tdc_63 (direction INPUT)) - (port reset_tdc_62 (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_26 (direction INPUT)) + (port reset_tdc_25 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -176254,44 +176269,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) (portRef CD (instanceRef FF_244)) (portRef CD (instanceRef FF_245)) (portRef CD (instanceRef FF_246)) @@ -176833,6 +176812,109 @@ (portRef S0 (instanceRef GEN_121_ADD)) (portRef D (instanceRef FF_242)) )) + (net reset_tdc_25 (joined + (portRef reset_tdc_25) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + )) (net result_i_242 (joined (portRef Q (instanceRef FF_242)) (portRef (member result_i 61)) @@ -177121,108 +177203,6 @@ (portRef S0 (instanceRef GEN_103_ADD)) (portRef D (instanceRef FF_206)) )) - (net reset_tdc_62 (joined - (portRef reset_tdc_62) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - )) (net result_i_206 (joined (portRef Q (instanceRef FF_206)) (portRef (member result_i 97)) @@ -177735,6 +177715,109 @@ (portRef S0 (instanceRef GEN_71_ADD)) (portRef D (instanceRef FF_142)) )) + (net reset_tdc_26 (joined + (portRef reset_tdc_26) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + )) (net result_i_142 (joined (portRef Q (instanceRef FF_142)) (portRef (member result_i 161)) @@ -178015,108 +178098,6 @@ (portRef S1 (instanceRef GEN_53_ADD)) (portRef D (instanceRef FF_107)) )) - (net reset_tdc_63 (joined - (portRef reset_tdc_63) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - )) (net result_i_107 (joined (portRef Q (instanceRef FF_107)) (portRef (member result_i 196)) @@ -178637,6 +178618,53 @@ (portRef S0 (instanceRef GEN_21_ADD)) (portRef D (instanceRef FF_42)) )) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + )) (net result_i_42 (joined (portRef Q (instanceRef FF_42)) (portRef (member result_i 261)) @@ -178909,19 +178937,6 @@ (portRef S0 (instanceRef GEN_4_ADD)) (portRef D (instanceRef FF_8)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - )) (net result_i_8 (joined (portRef Q (instanceRef FF_8)) (portRef (member result_i 295)) @@ -179915,7 +179930,7 @@ (cell edge_to_pulse_0_26 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -179987,11 +180002,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_27_0 (joined + (net channel_debug_01_i_10_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_27 0)) + (portRef (member channel_debug_01_i_10 0)) )) (net VCC (joined (portRef VCC) @@ -180005,16 +180020,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(27:27)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(2:2)") 1) (direction INPUT)) - (port reset_i_4 (direction INPUT)) - (port reset_i_3 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(10:10)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(2:2)") 1) (direction INPUT)) + (port reset_i_14 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -180494,8 +180509,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_27_2 (joined - (portRef (member channel_debug_01_i_27 0)) + (net channel_debug_01_i_10_2 (joined + (portRef (member channel_debug_01_i_10 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -180538,11 +180553,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_27 (joined + (net rd_en_i_10 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -180978,7 +180989,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -181267,10 +181280,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -181544,8 +181555,47 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_3 (joined - (portRef reset_i_3) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) @@ -181608,48 +181658,6 @@ (portRef Q (instanceRef FF_89)) (portRef D (instanceRef FF_39)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - )) (net w_gcount_3 (joined (portRef Q (instanceRef FF_88)) (portRef D (instanceRef FF_38)) @@ -181906,6 +181914,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -182346,12 +182359,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(2:1)") 2) (direction INOUT)) - (port reset_tdc_18 (direction INPUT)) - (port reset_tdc_fast_37_r14 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(2:1)") 2) (direction INOUT)) + (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_fast_36_r17 (direction INPUT)) + (port reset_tdc_fast_37_r11 (direction INPUT)) + (port reset_tdc_fast_37_r10 (direction INPUT)) + (port reset_tdc_fast_36_r18 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -182720,7 +182734,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIU8FR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI0ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -182765,10 +182779,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -182790,16 +182804,16 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -182811,16 +182825,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -183054,15 +183068,9 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -183274,10 +183282,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -183338,9 +183346,15 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -183485,12 +183499,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNI6IE9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -183500,38 +183508,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -183738,7 +183746,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIU8FR)) + (portRef A (instanceRef start_reg_RNI0ARF)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -183950,8 +183958,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -184003,8 +184011,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -184078,7 +184086,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNI6IE9)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -184087,7 +184094,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNI6IE9)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -184096,7 +184102,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNI6IE9)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -184105,7 +184110,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNI6IE9)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -184136,8 +184140,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -184235,8 +184239,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -184318,16 +184322,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -184369,7 +184363,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -185060,8 +185054,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIU8FR (joined - (portRef Z (instanceRef start_reg_RNIU8FR)) + (net start_reg_RNI0ARF (joined + (portRef Z (instanceRef start_reg_RNI0ARF)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -185106,8 +185100,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_27_1 (joined - (portRef (member channel_debug_01_i_27 1)) + (net channel_debug_01_i_10_1 (joined + (portRef (member channel_debug_01_i_10 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -185150,7 +185144,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIU8FR)) + (portRef B (instanceRef start_reg_RNI0ARF)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -185166,11 +185160,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_27_2 (joined + (net channel_debug_01_i_10_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_27 0)) + (portRef (member channel_debug_01_i_10 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -185326,8 +185330,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -185581,8 +185585,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -185733,7 +185737,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIU8FR)) + (portRef C (instanceRef start_reg_RNI0ARF)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -185760,8 +185764,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -185832,14 +185836,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_3_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -185862,12 +185866,11 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -185881,22 +185884,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_3_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -186628,20 +186631,10 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_fast_36_r18 (joined + (portRef reset_tdc_fast_36_r18) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (portRef D (instanceRef proc_finished_2c)) )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) @@ -187338,13 +187331,30 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (net reset_tdc_fast_37_r10 (joined + (portRef reset_tdc_fast_37_r10) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + )) + (net reset_tdc_fast_37_r11 (joined + (portRef reset_tdc_fast_37_r11) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -187775,27 +187785,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNI6IE9)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_0_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -187804,12 +187793,8 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r14 (joined - (portRef reset_tdc_fast_37_r14) + (net reset_tdc_fast_36_r17 (joined + (portRef reset_tdc_fast_36_r17) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -187819,6 +187804,11 @@ (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) @@ -188375,9 +188365,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) - (portRef reset_tdc_18 (instanceRef ROM_Encoder_1)) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) + (portRef reset_tdc_70 (instanceRef ROM_Encoder_1)) )) ) ) @@ -188387,10 +188377,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) - (port reset_tdc_17 (direction INPUT)) - (port reset_tdc_16 (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_69 (direction INPUT)) + (port reset_tdc_68 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -190546,8 +190536,13 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) (portRef CD (instanceRef FF_229)) (portRef CD (instanceRef FF_230)) (portRef CD (instanceRef FF_231)) @@ -191224,8 +191219,52 @@ (portRef S1 (instanceRef GEN_113_ADD)) (portRef D (instanceRef FF_227)) )) - (net reset_tdc_16 (joined - (portRef reset_tdc_16) + (net result_i_227 (joined + (portRef Q (instanceRef FF_227)) + (portRef (member result_i 76)) + )) + (net tsum_226 (joined + (portRef S0 (instanceRef GEN_113_ADD)) + (portRef D (instanceRef FF_226)) + )) + (net result_i_226 (joined + (portRef Q (instanceRef FF_226)) + (portRef (member result_i 77)) + )) + (net tsum_225 (joined + (portRef S1 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_225)) + )) + (net result_i_225 (joined + (portRef Q (instanceRef FF_225)) + (portRef (member result_i 78)) + )) + (net tsum_224 (joined + (portRef S0 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_224)) + )) + (net result_i_224 (joined + (portRef Q (instanceRef FF_224)) + (portRef (member result_i 79)) + )) + (net tsum_223 (joined + (portRef S1 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_223)) + )) + (net result_i_223 (joined + (portRef Q (instanceRef FF_223)) + (portRef (member result_i 80)) + )) + (net tsum_222 (joined + (portRef S0 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_222)) + )) + (net reset_tdc_68 (joined + (portRef reset_tdc_68) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) (portRef CD (instanceRef FF_129)) (portRef CD (instanceRef FF_130)) (portRef CD (instanceRef FF_131)) @@ -191321,51 +191360,6 @@ (portRef CD (instanceRef FF_221)) (portRef CD (instanceRef FF_222)) (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - )) - (net result_i_227 (joined - (portRef Q (instanceRef FF_227)) - (portRef (member result_i 76)) - )) - (net tsum_226 (joined - (portRef S0 (instanceRef GEN_113_ADD)) - (portRef D (instanceRef FF_226)) - )) - (net result_i_226 (joined - (portRef Q (instanceRef FF_226)) - (portRef (member result_i 77)) - )) - (net tsum_225 (joined - (portRef S1 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_225)) - )) - (net result_i_225 (joined - (portRef Q (instanceRef FF_225)) - (portRef (member result_i 78)) - )) - (net tsum_224 (joined - (portRef S0 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_224)) - )) - (net result_i_224 (joined - (portRef Q (instanceRef FF_224)) - (portRef (member result_i 79)) - )) - (net tsum_223 (joined - (portRef S1 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_223)) - )) - (net result_i_223 (joined - (portRef Q (instanceRef FF_223)) - (portRef (member result_i 80)) - )) - (net tsum_222 (joined - (portRef S0 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_222)) )) (net result_i_222 (joined (portRef Q (instanceRef FF_222)) @@ -192127,8 +192121,43 @@ (portRef S1 (instanceRef GEN_63_ADD)) (portRef D (instanceRef FF_127)) )) - (net reset_tdc_17 (joined - (portRef reset_tdc_17) + (net result_i_127 (joined + (portRef Q (instanceRef FF_127)) + (portRef (member result_i 176)) + )) + (net tsum_126 (joined + (portRef S0 (instanceRef GEN_63_ADD)) + (portRef D (instanceRef FF_126)) + )) + (net result_i_126 (joined + (portRef Q (instanceRef FF_126)) + (portRef (member result_i 177)) + )) + (net tsum_125 (joined + (portRef S1 (instanceRef GEN_62_ADD)) + (portRef D (instanceRef FF_125)) + )) + (net result_i_125 (joined + (portRef Q (instanceRef FF_125)) + (portRef (member result_i 178)) + )) + (net tsum_124 (joined + (portRef S0 (instanceRef GEN_62_ADD)) + (portRef D (instanceRef FF_124)) + )) + (net result_i_124 (joined + (portRef Q (instanceRef FF_124)) + (portRef (member result_i 179)) + )) + (net tsum_123 (joined + (portRef S1 (instanceRef GEN_61_ADD)) + (portRef D (instanceRef FF_123)) + )) + (net reset_tdc_69 (joined + (portRef reset_tdc_69) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) (portRef CD (instanceRef FF_29)) (portRef CD (instanceRef FF_30)) (portRef CD (instanceRef FF_31)) @@ -192225,42 +192254,6 @@ (portRef CD (instanceRef FF_122)) (portRef CD (instanceRef FF_123)) (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - )) - (net result_i_127 (joined - (portRef Q (instanceRef FF_127)) - (portRef (member result_i 176)) - )) - (net tsum_126 (joined - (portRef S0 (instanceRef GEN_63_ADD)) - (portRef D (instanceRef FF_126)) - )) - (net result_i_126 (joined - (portRef Q (instanceRef FF_126)) - (portRef (member result_i 177)) - )) - (net tsum_125 (joined - (portRef S1 (instanceRef GEN_62_ADD)) - (portRef D (instanceRef FF_125)) - )) - (net result_i_125 (joined - (portRef Q (instanceRef FF_125)) - (portRef (member result_i 178)) - )) - (net tsum_124 (joined - (portRef S0 (instanceRef GEN_62_ADD)) - (portRef D (instanceRef FF_124)) - )) - (net result_i_124 (joined - (portRef Q (instanceRef FF_124)) - (portRef (member result_i 179)) - )) - (net tsum_123 (joined - (portRef S1 (instanceRef GEN_61_ADD)) - (portRef D (instanceRef FF_123)) )) (net result_i_123 (joined (portRef Q (instanceRef FF_123)) @@ -193030,8 +193023,32 @@ (portRef S1 (instanceRef GEN_13_ADD)) (portRef D (instanceRef FF_27)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) + (net result_i_27 (joined + (portRef Q (instanceRef FF_27)) + (portRef (member result_i 276)) + )) + (net tsum_26 (joined + (portRef S0 (instanceRef GEN_13_ADD)) + (portRef D (instanceRef FF_26)) + )) + (net result_i_26 (joined + (portRef Q (instanceRef FF_26)) + (portRef (member result_i 277)) + )) + (net tsum_25 (joined + (portRef S1 (instanceRef GEN_12_ADD)) + (portRef D (instanceRef FF_25)) + )) + (net result_i_25 (joined + (portRef Q (instanceRef FF_25)) + (portRef (member result_i 278)) + )) + (net tsum_24 (joined + (portRef S0 (instanceRef GEN_12_ADD)) + (portRef D (instanceRef FF_24)) + )) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -193058,33 +193075,6 @@ (portRef CD (instanceRef FF_23)) (portRef CD (instanceRef FF_24)) (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - )) - (net result_i_27 (joined - (portRef Q (instanceRef FF_27)) - (portRef (member result_i 276)) - )) - (net tsum_26 (joined - (portRef S0 (instanceRef GEN_13_ADD)) - (portRef D (instanceRef FF_26)) - )) - (net result_i_26 (joined - (portRef Q (instanceRef FF_26)) - (portRef (member result_i 277)) - )) - (net tsum_25 (joined - (portRef S1 (instanceRef GEN_12_ADD)) - (portRef D (instanceRef FF_25)) - )) - (net result_i_25 (joined - (portRef Q (instanceRef FF_25)) - (portRef (member result_i 278)) - )) - (net tsum_24 (joined - (portRef S0 (instanceRef GEN_12_ADD)) - (portRef D (instanceRef FF_24)) )) (net result_i_24 (joined (portRef Q (instanceRef FF_24)) @@ -194299,8 +194289,8 @@ (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) (port (array (rename rd_en_i "rd_en_i(22:22)") 1) (direction INPUT)) (port (array (rename channel_debug_01_i_22 "channel_debug_01_i_22(2:2)") 1) (direction INPUT)) + (port reset_i_8 (direction INPUT)) (port reset_i_7 (direction INPUT)) - (port reset_i_6 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -195836,8 +195826,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_6 (joined - (portRef reset_i_6) + (net reset_i_7 (joined + (portRef reset_i_7) (portRef CD (instanceRef FF_19)) (portRef CD (instanceRef FF_20)) (portRef CD (instanceRef FF_21)) @@ -196174,8 +196164,8 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) - (net reset_i_7 (joined - (portRef reset_i_7) + (net reset_i_8 (joined + (portRef reset_i_8) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -197056,10 +197046,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -197102,13 +197092,13 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -197345,9 +197335,15 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -197562,10 +197558,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -197626,12 +197622,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -198264,8 +198254,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -198288,8 +198278,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -198450,8 +198440,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -198516,8 +198506,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -199607,8 +199597,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -199862,8 +199852,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -200041,8 +200031,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -200113,14 +200103,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_4_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -200146,7 +200136,9 @@ (net reset_tdc_rep1_35 (joined (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) @@ -200164,17 +200156,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) @@ -201606,18 +201598,16 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) - )) (net mux_control_6_5_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) + (net mux_control_6_5_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) + )) (net reset_tdc_rep1_36 (joined (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -208468,7 +208458,7 @@ (cell edge_to_pulse_0_24 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -208540,11 +208530,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_10_0 (joined + (net channel_debug_01_i_27_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_10 0)) + (portRef (member channel_debug_01_i_27 0)) )) (net VCC (joined (portRef VCC) @@ -208558,9 +208548,10 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(10:10)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(2:2)") 1) (direction INPUT)) - (port reset_i_13 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(27:27)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(2:2)") 1) (direction INPUT)) + (port reset_i_5 (direction INPUT)) + (port reset_i_4 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -209046,8 +209037,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_10_2 (joined - (portRef (member channel_debug_01_i_10 0)) + (net channel_debug_01_i_27_2 (joined + (portRef (member channel_debug_01_i_27 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -209094,7 +209085,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_10 (joined + (net rd_en_i_27 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -209530,9 +209521,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -209821,8 +209810,10 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -210096,46 +210087,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) + (net reset_i_4 (joined + (portRef reset_i_4) (portRef CD (instanceRef FF_89)) (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) @@ -210203,6 +210156,47 @@ (portRef Q (instanceRef FF_88)) (portRef D (instanceRef FF_38)) )) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + )) (net w_gcount_4 (joined (portRef Q (instanceRef FF_87)) (portRef D (instanceRef FF_37)) @@ -210895,13 +210889,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_10 "channel_debug_01_i_10(2:1)") 2) (direction INOUT)) - (port reset_tdc_70 (direction INPUT)) - (port reset_tdc_fast_36_r17 (direction INPUT)) - (port reset_tdc_fast_37_r11 (direction INPUT)) - (port reset_tdc_fast_37_r10 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_36_r20 (direction INPUT)) + (port (array (rename channel_debug_01_i_27 "channel_debug_01_i_27(2:1)") 2) (direction INOUT)) + (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_fast_37_r14 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -211270,7 +211263,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI0ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIU8FR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -211352,25 +211345,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -211604,10 +211597,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -211885,10 +211878,10 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -212035,6 +212028,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNI6IE9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -212044,38 +212043,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -212282,7 +212281,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI0ARF)) + (portRef A (instanceRef start_reg_RNIU8FR)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -212494,8 +212493,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -212547,8 +212546,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -212622,6 +212621,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNI6IE9)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -212630,6 +212630,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNI6IE9)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -212638,6 +212639,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNI6IE9)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -212646,6 +212648,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNI6IE9)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -212676,8 +212679,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -212775,8 +212778,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -212858,6 +212861,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -212899,7 +212912,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -213590,8 +213603,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI0ARF (joined - (portRef Z (instanceRef start_reg_RNI0ARF)) + (net start_reg_RNIU8FR (joined + (portRef Z (instanceRef start_reg_RNIU8FR)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -213636,8 +213649,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_10_1 (joined - (portRef (member channel_debug_01_i_10 1)) + (net channel_debug_01_i_27_1 (joined + (portRef (member channel_debug_01_i_27 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -213680,7 +213693,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI0ARF)) + (portRef B (instanceRef start_reg_RNIU8FR)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -213696,21 +213709,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_10_2 (joined + (net channel_debug_01_i_27_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_10 0)) + (portRef (member channel_debug_01_i_27 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -214273,7 +214276,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI0ARF)) + (portRef C (instanceRef start_reg_RNIU8FR)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -214402,17 +214405,15 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r20 (joined - (portRef reset_tdc_fast_36_r20) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -214421,21 +214422,25 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net interval_reg_41_14_am_5_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -215168,11 +215173,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_finished_3c)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -215876,21 +215876,18 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r10 (joined - (portRef reset_tdc_fast_37_r10) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - )) - (net reset_tdc_fast_37_r11 (joined - (portRef reset_tdc_fast_37_r11) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -216321,6 +216318,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNI6IE9)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_1_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -216329,22 +216347,21 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r17 (joined - (portRef reset_tdc_fast_36_r17) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) + (net reset_tdc_fast_37_r14 (joined + (portRef reset_tdc_fast_37_r14) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) + (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) @@ -216362,14 +216379,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) - (net un3_binary_code_out_7 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_7)) - )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) )) + (net un3_binary_code_out_7 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_7)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -216901,9 +216918,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) - (portRef reset_tdc_70 (instanceRef ROM_Encoder_1)) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) + (portRef reset_tdc_18 (instanceRef ROM_Encoder_1)) )) ) ) @@ -216913,10 +216930,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) - (port reset_tdc_69 (direction INPUT)) - (port reset_tdc_68 (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_17 (direction INPUT)) + (port reset_tdc_16 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -219072,13 +219089,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) (portRef CD (instanceRef FF_229)) (portRef CD (instanceRef FF_230)) (portRef CD (instanceRef FF_231)) @@ -219755,52 +219767,8 @@ (portRef S1 (instanceRef GEN_113_ADD)) (portRef D (instanceRef FF_227)) )) - (net result_i_227 (joined - (portRef Q (instanceRef FF_227)) - (portRef (member result_i 76)) - )) - (net tsum_226 (joined - (portRef S0 (instanceRef GEN_113_ADD)) - (portRef D (instanceRef FF_226)) - )) - (net result_i_226 (joined - (portRef Q (instanceRef FF_226)) - (portRef (member result_i 77)) - )) - (net tsum_225 (joined - (portRef S1 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_225)) - )) - (net result_i_225 (joined - (portRef Q (instanceRef FF_225)) - (portRef (member result_i 78)) - )) - (net tsum_224 (joined - (portRef S0 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_224)) - )) - (net result_i_224 (joined - (portRef Q (instanceRef FF_224)) - (portRef (member result_i 79)) - )) - (net tsum_223 (joined - (portRef S1 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_223)) - )) - (net result_i_223 (joined - (portRef Q (instanceRef FF_223)) - (portRef (member result_i 80)) - )) - (net tsum_222 (joined - (portRef S0 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_222)) - )) - (net reset_tdc_68 (joined - (portRef reset_tdc_68) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) + (net reset_tdc_16 (joined + (portRef reset_tdc_16) (portRef CD (instanceRef FF_129)) (portRef CD (instanceRef FF_130)) (portRef CD (instanceRef FF_131)) @@ -219896,6 +219864,51 @@ (portRef CD (instanceRef FF_221)) (portRef CD (instanceRef FF_222)) (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + )) + (net result_i_227 (joined + (portRef Q (instanceRef FF_227)) + (portRef (member result_i 76)) + )) + (net tsum_226 (joined + (portRef S0 (instanceRef GEN_113_ADD)) + (portRef D (instanceRef FF_226)) + )) + (net result_i_226 (joined + (portRef Q (instanceRef FF_226)) + (portRef (member result_i 77)) + )) + (net tsum_225 (joined + (portRef S1 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_225)) + )) + (net result_i_225 (joined + (portRef Q (instanceRef FF_225)) + (portRef (member result_i 78)) + )) + (net tsum_224 (joined + (portRef S0 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_224)) + )) + (net result_i_224 (joined + (portRef Q (instanceRef FF_224)) + (portRef (member result_i 79)) + )) + (net tsum_223 (joined + (portRef S1 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_223)) + )) + (net result_i_223 (joined + (portRef Q (instanceRef FF_223)) + (portRef (member result_i 80)) + )) + (net tsum_222 (joined + (portRef S0 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_222)) )) (net result_i_222 (joined (portRef Q (instanceRef FF_222)) @@ -220657,43 +220670,8 @@ (portRef S1 (instanceRef GEN_63_ADD)) (portRef D (instanceRef FF_127)) )) - (net result_i_127 (joined - (portRef Q (instanceRef FF_127)) - (portRef (member result_i 176)) - )) - (net tsum_126 (joined - (portRef S0 (instanceRef GEN_63_ADD)) - (portRef D (instanceRef FF_126)) - )) - (net result_i_126 (joined - (portRef Q (instanceRef FF_126)) - (portRef (member result_i 177)) - )) - (net tsum_125 (joined - (portRef S1 (instanceRef GEN_62_ADD)) - (portRef D (instanceRef FF_125)) - )) - (net result_i_125 (joined - (portRef Q (instanceRef FF_125)) - (portRef (member result_i 178)) - )) - (net tsum_124 (joined - (portRef S0 (instanceRef GEN_62_ADD)) - (portRef D (instanceRef FF_124)) - )) - (net result_i_124 (joined - (portRef Q (instanceRef FF_124)) - (portRef (member result_i 179)) - )) - (net tsum_123 (joined - (portRef S1 (instanceRef GEN_61_ADD)) - (portRef D (instanceRef FF_123)) - )) - (net reset_tdc_69 (joined - (portRef reset_tdc_69) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) + (net reset_tdc_17 (joined + (portRef reset_tdc_17) (portRef CD (instanceRef FF_29)) (portRef CD (instanceRef FF_30)) (portRef CD (instanceRef FF_31)) @@ -220790,6 +220768,42 @@ (portRef CD (instanceRef FF_122)) (portRef CD (instanceRef FF_123)) (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + )) + (net result_i_127 (joined + (portRef Q (instanceRef FF_127)) + (portRef (member result_i 176)) + )) + (net tsum_126 (joined + (portRef S0 (instanceRef GEN_63_ADD)) + (portRef D (instanceRef FF_126)) + )) + (net result_i_126 (joined + (portRef Q (instanceRef FF_126)) + (portRef (member result_i 177)) + )) + (net tsum_125 (joined + (portRef S1 (instanceRef GEN_62_ADD)) + (portRef D (instanceRef FF_125)) + )) + (net result_i_125 (joined + (portRef Q (instanceRef FF_125)) + (portRef (member result_i 178)) + )) + (net tsum_124 (joined + (portRef S0 (instanceRef GEN_62_ADD)) + (portRef D (instanceRef FF_124)) + )) + (net result_i_124 (joined + (portRef Q (instanceRef FF_124)) + (portRef (member result_i 179)) + )) + (net tsum_123 (joined + (portRef S1 (instanceRef GEN_61_ADD)) + (portRef D (instanceRef FF_123)) )) (net result_i_123 (joined (portRef Q (instanceRef FF_123)) @@ -221559,32 +221573,8 @@ (portRef S1 (instanceRef GEN_13_ADD)) (portRef D (instanceRef FF_27)) )) - (net result_i_27 (joined - (portRef Q (instanceRef FF_27)) - (portRef (member result_i 276)) - )) - (net tsum_26 (joined - (portRef S0 (instanceRef GEN_13_ADD)) - (portRef D (instanceRef FF_26)) - )) - (net result_i_26 (joined - (portRef Q (instanceRef FF_26)) - (portRef (member result_i 277)) - )) - (net tsum_25 (joined - (portRef S1 (instanceRef GEN_12_ADD)) - (portRef D (instanceRef FF_25)) - )) - (net result_i_25 (joined - (portRef Q (instanceRef FF_25)) - (portRef (member result_i 278)) - )) - (net tsum_24 (joined - (portRef S0 (instanceRef GEN_12_ADD)) - (portRef D (instanceRef FF_24)) - )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -221611,6 +221601,33 @@ (portRef CD (instanceRef FF_23)) (portRef CD (instanceRef FF_24)) (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + )) + (net result_i_27 (joined + (portRef Q (instanceRef FF_27)) + (portRef (member result_i 276)) + )) + (net tsum_26 (joined + (portRef S0 (instanceRef GEN_13_ADD)) + (portRef D (instanceRef FF_26)) + )) + (net result_i_26 (joined + (portRef Q (instanceRef FF_26)) + (portRef (member result_i 277)) + )) + (net tsum_25 (joined + (portRef S1 (instanceRef GEN_12_ADD)) + (portRef D (instanceRef FF_25)) + )) + (net result_i_25 (joined + (portRef Q (instanceRef FF_25)) + (portRef (member result_i 278)) + )) + (net tsum_24 (joined + (portRef S0 (instanceRef GEN_12_ADD)) + (portRef D (instanceRef FF_24)) )) (net result_i_24 (joined (portRef Q (instanceRef FF_24)) @@ -222733,7 +222750,7 @@ (cell edge_to_pulse_0_23 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -222805,11 +222822,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_25_0 (joined + (net channel_debug_01_i_12_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_25 0)) + (portRef (member channel_debug_01_i_12 0)) )) (net VCC (joined (portRef VCC) @@ -222823,16 +222840,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(25:25)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(2:2)") 1) (direction INPUT)) - (port reset_i_5 (direction INPUT)) - (port reset_i_4 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(12:12)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(2:2)") 1) (direction INPUT)) + (port reset_i_13 (direction INPUT)) + (port reset_i_12 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -223312,8 +223330,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_25_2 (joined - (portRef (member channel_debug_01_i_25 0)) + (net channel_debug_01_i_12_2 (joined + (portRef (member channel_debug_01_i_12 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -223356,11 +223374,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_25 (joined + (net rd_en_i_12 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -223796,8 +223810,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -224086,9 +224101,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -224362,10 +224376,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) + (net reset_i_12 (joined + (portRef reset_i_12) (portRef CD (instanceRef FF_99)) (portRef CD (instanceRef FF_100)) (portRef PD (instanceRef FF_101)) @@ -224387,16 +224399,8 @@ (portRef NC0 (instanceRef w_gctr_2)) (portRef D (instanceRef FF_97)) )) - (net iwcount_5 (joined - (portRef NC1 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_96)) - )) - (net iwcount_6 (joined - (portRef NC0 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_95)) - )) - (net reset_i_5 (joined - (portRef reset_i_5) + (net reset_i_13 (joined + (portRef reset_i_13) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -224443,6 +224447,16 @@ (portRef CD (instanceRef FF_94)) (portRef CD (instanceRef FF_95)) (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + )) + (net iwcount_5 (joined + (portRef NC1 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_96)) + )) + (net iwcount_6 (joined + (portRef NC0 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_95)) )) (net iwcount_7 (joined (portRef NC1 (instanceRef w_gctr_3)) @@ -224724,6 +224738,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -225164,12 +225183,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(2:1)") 2) (direction INOUT)) - (port reset_tdc_24 (direction INPUT)) - (port reset_tdc_fast_37_r12 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_12 "channel_debug_01_i_12(2:1)") 2) (direction INOUT)) + (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_fast_36_r21 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -225538,7 +225556,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIM4EQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI8ES01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -225583,10 +225601,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -225620,27 +225638,27 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -225875,7 +225893,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -226092,10 +226110,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -226156,7 +226174,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -226303,12 +226321,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNIU1V41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -226318,38 +226330,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -226556,7 +226568,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIM4EQ)) + (portRef A (instanceRef start_reg_RNI8ES01)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -226797,8 +226809,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -226809,8 +226821,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -226896,7 +226908,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNIU1V41)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -226905,7 +226916,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNIU1V41)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -226914,7 +226924,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNIU1V41)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -226923,7 +226932,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNIU1V41)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -226987,8 +226995,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -227020,8 +227028,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -227136,16 +227144,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -227187,7 +227185,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -227878,8 +227876,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIM4EQ (joined - (portRef Z (instanceRef start_reg_RNIM4EQ)) + (net start_reg_RNI8ES01 (joined + (portRef Z (instanceRef start_reg_RNI8ES01)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -227924,8 +227922,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_25_1 (joined - (portRef (member channel_debug_01_i_25 1)) + (net channel_debug_01_i_12_1 (joined + (portRef (member channel_debug_01_i_12 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -227968,7 +227966,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIM4EQ)) + (portRef B (instanceRef start_reg_RNI8ES01)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -227984,11 +227982,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_25_2 (joined + (net channel_debug_01_i_12_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_25 0)) + (portRef (member channel_debug_01_i_12 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -228144,8 +228152,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -228399,8 +228407,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -228551,7 +228559,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIM4EQ)) + (portRef C (instanceRef start_reg_RNI8ES01)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -228578,8 +228586,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -228650,14 +228658,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_6_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -228680,20 +228688,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -228702,22 +228706,26 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net interval_reg_41_14_am_6_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -230144,17 +230152,17 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) - )) (net mux_control_6_5_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (net mux_control_6_5_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) + )) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -230593,27 +230601,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNIU1V41)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_1_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -230622,21 +230609,22 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r12 (joined - (portRef reset_tdc_fast_37_r12) + (net reset_tdc_fast_36_r21 (joined + (portRef reset_tdc_fast_36_r21) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) - (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) + (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) @@ -230646,14 +230634,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_4)) )) - (net un3_binary_code_out_6 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_6)) - )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) + (net un3_binary_code_out_6 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_6)) + )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) @@ -231193,9 +231181,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) - (portRef reset_tdc_24 (instanceRef ROM_Encoder_1)) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef reset_tdc_64 (instanceRef ROM_Encoder_1)) )) ) ) @@ -231205,10 +231193,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) - (port reset_tdc_23 (direction INPUT)) - (port reset_tdc_22 (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_63 (direction INPUT)) + (port reset_tdc_62 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -233364,8 +233352,39 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) (portRef CD (instanceRef FF_239)) (portRef CD (instanceRef FF_240)) (portRef CD (instanceRef FF_241)) @@ -233952,8 +233971,286 @@ (portRef S1 (instanceRef GEN_118_ADD)) (portRef D (instanceRef FF_237)) )) - (net reset_tdc_22 (joined - (portRef reset_tdc_22) + (net result_i_237 (joined + (portRef Q (instanceRef FF_237)) + (portRef (member result_i 66)) + )) + (net tsum_236 (joined + (portRef S0 (instanceRef GEN_118_ADD)) + (portRef D (instanceRef FF_236)) + )) + (net result_i_236 (joined + (portRef Q (instanceRef FF_236)) + (portRef (member result_i 67)) + )) + (net tsum_235 (joined + (portRef S1 (instanceRef GEN_117_ADD)) + (portRef D (instanceRef FF_235)) + )) + (net result_i_235 (joined + (portRef Q (instanceRef FF_235)) + (portRef (member result_i 68)) + )) + (net tsum_234 (joined + (portRef S0 (instanceRef GEN_117_ADD)) + (portRef D (instanceRef FF_234)) + )) + (net result_i_234 (joined + (portRef Q (instanceRef FF_234)) + (portRef (member result_i 69)) + )) + (net tsum_233 (joined + (portRef S1 (instanceRef GEN_116_ADD)) + (portRef D (instanceRef FF_233)) + )) + (net result_i_233 (joined + (portRef Q (instanceRef FF_233)) + (portRef (member result_i 70)) + )) + (net tsum_232 (joined + (portRef S0 (instanceRef GEN_116_ADD)) + (portRef D (instanceRef FF_232)) + )) + (net result_i_232 (joined + (portRef Q (instanceRef FF_232)) + (portRef (member result_i 71)) + )) + (net tsum_231 (joined + (portRef S1 (instanceRef GEN_115_ADD)) + (portRef D (instanceRef FF_231)) + )) + (net result_i_231 (joined + (portRef Q (instanceRef FF_231)) + (portRef (member result_i 72)) + )) + (net tsum_230 (joined + (portRef S0 (instanceRef GEN_115_ADD)) + (portRef D (instanceRef FF_230)) + )) + (net result_i_230 (joined + (portRef Q (instanceRef FF_230)) + (portRef (member result_i 73)) + )) + (net tsum_229 (joined + (portRef S1 (instanceRef GEN_114_ADD)) + (portRef D (instanceRef FF_229)) + )) + (net result_i_229 (joined + (portRef Q (instanceRef FF_229)) + (portRef (member result_i 74)) + )) + (net tsum_228 (joined + (portRef S0 (instanceRef GEN_114_ADD)) + (portRef D (instanceRef FF_228)) + )) + (net result_i_228 (joined + (portRef Q (instanceRef FF_228)) + (portRef (member result_i 75)) + )) + (net tsum_227 (joined + (portRef S1 (instanceRef GEN_113_ADD)) + (portRef D (instanceRef FF_227)) + )) + (net result_i_227 (joined + (portRef Q (instanceRef FF_227)) + (portRef (member result_i 76)) + )) + (net tsum_226 (joined + (portRef S0 (instanceRef GEN_113_ADD)) + (portRef D (instanceRef FF_226)) + )) + (net result_i_226 (joined + (portRef Q (instanceRef FF_226)) + (portRef (member result_i 77)) + )) + (net tsum_225 (joined + (portRef S1 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_225)) + )) + (net result_i_225 (joined + (portRef Q (instanceRef FF_225)) + (portRef (member result_i 78)) + )) + (net tsum_224 (joined + (portRef S0 (instanceRef GEN_112_ADD)) + (portRef D (instanceRef FF_224)) + )) + (net result_i_224 (joined + (portRef Q (instanceRef FF_224)) + (portRef (member result_i 79)) + )) + (net tsum_223 (joined + (portRef S1 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_223)) + )) + (net result_i_223 (joined + (portRef Q (instanceRef FF_223)) + (portRef (member result_i 80)) + )) + (net tsum_222 (joined + (portRef S0 (instanceRef GEN_111_ADD)) + (portRef D (instanceRef FF_222)) + )) + (net result_i_222 (joined + (portRef Q (instanceRef FF_222)) + (portRef (member result_i 81)) + )) + (net tsum_221 (joined + (portRef S1 (instanceRef GEN_110_ADD)) + (portRef D (instanceRef FF_221)) + )) + (net result_i_221 (joined + (portRef Q (instanceRef FF_221)) + (portRef (member result_i 82)) + )) + (net tsum_220 (joined + (portRef S0 (instanceRef GEN_110_ADD)) + (portRef D (instanceRef FF_220)) + )) + (net result_i_220 (joined + (portRef Q (instanceRef FF_220)) + (portRef (member result_i 83)) + )) + (net tsum_219 (joined + (portRef S1 (instanceRef GEN_109_ADD)) + (portRef D (instanceRef FF_219)) + )) + (net result_i_219 (joined + (portRef Q (instanceRef FF_219)) + (portRef (member result_i 84)) + )) + (net tsum_218 (joined + (portRef S0 (instanceRef GEN_109_ADD)) + (portRef D (instanceRef FF_218)) + )) + (net result_i_218 (joined + (portRef Q (instanceRef FF_218)) + (portRef (member result_i 85)) + )) + (net tsum_217 (joined + (portRef S1 (instanceRef GEN_108_ADD)) + (portRef D (instanceRef FF_217)) + )) + (net result_i_217 (joined + (portRef Q (instanceRef FF_217)) + (portRef (member result_i 86)) + )) + (net tsum_216 (joined + (portRef S0 (instanceRef GEN_108_ADD)) + (portRef D (instanceRef FF_216)) + )) + (net result_i_216 (joined + (portRef Q (instanceRef FF_216)) + (portRef (member result_i 87)) + )) + (net tsum_215 (joined + (portRef S1 (instanceRef GEN_107_ADD)) + (portRef D (instanceRef FF_215)) + )) + (net result_i_215 (joined + (portRef Q (instanceRef FF_215)) + (portRef (member result_i 88)) + )) + (net tsum_214 (joined + (portRef S0 (instanceRef GEN_107_ADD)) + (portRef D (instanceRef FF_214)) + )) + (net result_i_214 (joined + (portRef Q (instanceRef FF_214)) + (portRef (member result_i 89)) + )) + (net tsum_213 (joined + (portRef S1 (instanceRef GEN_106_ADD)) + (portRef D (instanceRef FF_213)) + )) + (net result_i_213 (joined + (portRef Q (instanceRef FF_213)) + (portRef (member result_i 90)) + )) + (net tsum_212 (joined + (portRef S0 (instanceRef GEN_106_ADD)) + (portRef D (instanceRef FF_212)) + )) + (net result_i_212 (joined + (portRef Q (instanceRef FF_212)) + (portRef (member result_i 91)) + )) + (net tsum_211 (joined + (portRef S1 (instanceRef GEN_105_ADD)) + (portRef D (instanceRef FF_211)) + )) + (net result_i_211 (joined + (portRef Q (instanceRef FF_211)) + (portRef (member result_i 92)) + )) + (net tsum_210 (joined + (portRef S0 (instanceRef GEN_105_ADD)) + (portRef D (instanceRef FF_210)) + )) + (net result_i_210 (joined + (portRef Q (instanceRef FF_210)) + (portRef (member result_i 93)) + )) + (net tsum_209 (joined + (portRef S1 (instanceRef GEN_104_ADD)) + (portRef D (instanceRef FF_209)) + )) + (net result_i_209 (joined + (portRef Q (instanceRef FF_209)) + (portRef (member result_i 94)) + )) + (net tsum_208 (joined + (portRef S0 (instanceRef GEN_104_ADD)) + (portRef D (instanceRef FF_208)) + )) + (net result_i_208 (joined + (portRef Q (instanceRef FF_208)) + (portRef (member result_i 95)) + )) + (net tsum_207 (joined + (portRef S1 (instanceRef GEN_103_ADD)) + (portRef D (instanceRef FF_207)) + )) + (net result_i_207 (joined + (portRef Q (instanceRef FF_207)) + (portRef (member result_i 96)) + )) + (net tsum_206 (joined + (portRef S0 (instanceRef GEN_103_ADD)) + (portRef D (instanceRef FF_206)) + )) + (net reset_tdc_62 (joined + (portRef reset_tdc_62) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) (portRef CD (instanceRef FF_139)) (portRef CD (instanceRef FF_140)) (portRef CD (instanceRef FF_141)) @@ -234023,285 +234320,6 @@ (portRef CD (instanceRef FF_205)) (portRef CD (instanceRef FF_206)) (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - )) - (net result_i_237 (joined - (portRef Q (instanceRef FF_237)) - (portRef (member result_i 66)) - )) - (net tsum_236 (joined - (portRef S0 (instanceRef GEN_118_ADD)) - (portRef D (instanceRef FF_236)) - )) - (net result_i_236 (joined - (portRef Q (instanceRef FF_236)) - (portRef (member result_i 67)) - )) - (net tsum_235 (joined - (portRef S1 (instanceRef GEN_117_ADD)) - (portRef D (instanceRef FF_235)) - )) - (net result_i_235 (joined - (portRef Q (instanceRef FF_235)) - (portRef (member result_i 68)) - )) - (net tsum_234 (joined - (portRef S0 (instanceRef GEN_117_ADD)) - (portRef D (instanceRef FF_234)) - )) - (net result_i_234 (joined - (portRef Q (instanceRef FF_234)) - (portRef (member result_i 69)) - )) - (net tsum_233 (joined - (portRef S1 (instanceRef GEN_116_ADD)) - (portRef D (instanceRef FF_233)) - )) - (net result_i_233 (joined - (portRef Q (instanceRef FF_233)) - (portRef (member result_i 70)) - )) - (net tsum_232 (joined - (portRef S0 (instanceRef GEN_116_ADD)) - (portRef D (instanceRef FF_232)) - )) - (net result_i_232 (joined - (portRef Q (instanceRef FF_232)) - (portRef (member result_i 71)) - )) - (net tsum_231 (joined - (portRef S1 (instanceRef GEN_115_ADD)) - (portRef D (instanceRef FF_231)) - )) - (net result_i_231 (joined - (portRef Q (instanceRef FF_231)) - (portRef (member result_i 72)) - )) - (net tsum_230 (joined - (portRef S0 (instanceRef GEN_115_ADD)) - (portRef D (instanceRef FF_230)) - )) - (net result_i_230 (joined - (portRef Q (instanceRef FF_230)) - (portRef (member result_i 73)) - )) - (net tsum_229 (joined - (portRef S1 (instanceRef GEN_114_ADD)) - (portRef D (instanceRef FF_229)) - )) - (net result_i_229 (joined - (portRef Q (instanceRef FF_229)) - (portRef (member result_i 74)) - )) - (net tsum_228 (joined - (portRef S0 (instanceRef GEN_114_ADD)) - (portRef D (instanceRef FF_228)) - )) - (net result_i_228 (joined - (portRef Q (instanceRef FF_228)) - (portRef (member result_i 75)) - )) - (net tsum_227 (joined - (portRef S1 (instanceRef GEN_113_ADD)) - (portRef D (instanceRef FF_227)) - )) - (net result_i_227 (joined - (portRef Q (instanceRef FF_227)) - (portRef (member result_i 76)) - )) - (net tsum_226 (joined - (portRef S0 (instanceRef GEN_113_ADD)) - (portRef D (instanceRef FF_226)) - )) - (net result_i_226 (joined - (portRef Q (instanceRef FF_226)) - (portRef (member result_i 77)) - )) - (net tsum_225 (joined - (portRef S1 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_225)) - )) - (net result_i_225 (joined - (portRef Q (instanceRef FF_225)) - (portRef (member result_i 78)) - )) - (net tsum_224 (joined - (portRef S0 (instanceRef GEN_112_ADD)) - (portRef D (instanceRef FF_224)) - )) - (net result_i_224 (joined - (portRef Q (instanceRef FF_224)) - (portRef (member result_i 79)) - )) - (net tsum_223 (joined - (portRef S1 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_223)) - )) - (net result_i_223 (joined - (portRef Q (instanceRef FF_223)) - (portRef (member result_i 80)) - )) - (net tsum_222 (joined - (portRef S0 (instanceRef GEN_111_ADD)) - (portRef D (instanceRef FF_222)) - )) - (net result_i_222 (joined - (portRef Q (instanceRef FF_222)) - (portRef (member result_i 81)) - )) - (net tsum_221 (joined - (portRef S1 (instanceRef GEN_110_ADD)) - (portRef D (instanceRef FF_221)) - )) - (net result_i_221 (joined - (portRef Q (instanceRef FF_221)) - (portRef (member result_i 82)) - )) - (net tsum_220 (joined - (portRef S0 (instanceRef GEN_110_ADD)) - (portRef D (instanceRef FF_220)) - )) - (net result_i_220 (joined - (portRef Q (instanceRef FF_220)) - (portRef (member result_i 83)) - )) - (net tsum_219 (joined - (portRef S1 (instanceRef GEN_109_ADD)) - (portRef D (instanceRef FF_219)) - )) - (net result_i_219 (joined - (portRef Q (instanceRef FF_219)) - (portRef (member result_i 84)) - )) - (net tsum_218 (joined - (portRef S0 (instanceRef GEN_109_ADD)) - (portRef D (instanceRef FF_218)) - )) - (net result_i_218 (joined - (portRef Q (instanceRef FF_218)) - (portRef (member result_i 85)) - )) - (net tsum_217 (joined - (portRef S1 (instanceRef GEN_108_ADD)) - (portRef D (instanceRef FF_217)) - )) - (net result_i_217 (joined - (portRef Q (instanceRef FF_217)) - (portRef (member result_i 86)) - )) - (net tsum_216 (joined - (portRef S0 (instanceRef GEN_108_ADD)) - (portRef D (instanceRef FF_216)) - )) - (net result_i_216 (joined - (portRef Q (instanceRef FF_216)) - (portRef (member result_i 87)) - )) - (net tsum_215 (joined - (portRef S1 (instanceRef GEN_107_ADD)) - (portRef D (instanceRef FF_215)) - )) - (net result_i_215 (joined - (portRef Q (instanceRef FF_215)) - (portRef (member result_i 88)) - )) - (net tsum_214 (joined - (portRef S0 (instanceRef GEN_107_ADD)) - (portRef D (instanceRef FF_214)) - )) - (net result_i_214 (joined - (portRef Q (instanceRef FF_214)) - (portRef (member result_i 89)) - )) - (net tsum_213 (joined - (portRef S1 (instanceRef GEN_106_ADD)) - (portRef D (instanceRef FF_213)) - )) - (net result_i_213 (joined - (portRef Q (instanceRef FF_213)) - (portRef (member result_i 90)) - )) - (net tsum_212 (joined - (portRef S0 (instanceRef GEN_106_ADD)) - (portRef D (instanceRef FF_212)) - )) - (net result_i_212 (joined - (portRef Q (instanceRef FF_212)) - (portRef (member result_i 91)) - )) - (net tsum_211 (joined - (portRef S1 (instanceRef GEN_105_ADD)) - (portRef D (instanceRef FF_211)) - )) - (net result_i_211 (joined - (portRef Q (instanceRef FF_211)) - (portRef (member result_i 92)) - )) - (net tsum_210 (joined - (portRef S0 (instanceRef GEN_105_ADD)) - (portRef D (instanceRef FF_210)) - )) - (net result_i_210 (joined - (portRef Q (instanceRef FF_210)) - (portRef (member result_i 93)) - )) - (net tsum_209 (joined - (portRef S1 (instanceRef GEN_104_ADD)) - (portRef D (instanceRef FF_209)) - )) - (net result_i_209 (joined - (portRef Q (instanceRef FF_209)) - (portRef (member result_i 94)) - )) - (net tsum_208 (joined - (portRef S0 (instanceRef GEN_104_ADD)) - (portRef D (instanceRef FF_208)) - )) - (net result_i_208 (joined - (portRef Q (instanceRef FF_208)) - (portRef (member result_i 95)) - )) - (net tsum_207 (joined - (portRef S1 (instanceRef GEN_103_ADD)) - (portRef D (instanceRef FF_207)) - )) - (net result_i_207 (joined - (portRef Q (instanceRef FF_207)) - (portRef (member result_i 96)) - )) - (net tsum_206 (joined - (portRef S0 (instanceRef GEN_103_ADD)) - (portRef D (instanceRef FF_206)) )) (net result_i_206 (joined (portRef Q (instanceRef FF_206)) @@ -234855,109 +234873,6 @@ (portRef S1 (instanceRef GEN_68_ADD)) (portRef D (instanceRef FF_137)) )) - (net reset_tdc_23 (joined - (portRef reset_tdc_23) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - )) (net result_i_137 (joined (portRef Q (instanceRef FF_137)) (portRef (member result_i 166)) @@ -235198,6 +235113,108 @@ (portRef S1 (instanceRef GEN_53_ADD)) (portRef D (instanceRef FF_107)) )) + (net reset_tdc_63 (joined + (portRef reset_tdc_63) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + )) (net result_i_107 (joined (portRef Q (instanceRef FF_107)) (portRef (member result_i 196)) @@ -235758,48 +235775,6 @@ (portRef S1 (instanceRef GEN_18_ADD)) (portRef D (instanceRef FF_37)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - )) (net result_i_37 (joined (portRef Q (instanceRef FF_37)) (portRef (member result_i 266)) @@ -236032,6 +236007,19 @@ (portRef S0 (instanceRef GEN_4_ADD)) (portRef D (instanceRef FF_8)) )) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + )) (net result_i_8 (joined (portRef Q (instanceRef FF_8)) (portRef (member result_i 295)) @@ -237025,7 +237013,7 @@ (cell edge_to_pulse_0_22 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -237097,11 +237085,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_13_0 (joined + (net channel_debug_01_i_25_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_13 0)) + (portRef (member channel_debug_01_i_25 0)) )) (net VCC (joined (portRef VCC) @@ -237115,9 +237103,10 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(13:13)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(2:2)") 1) (direction INPUT)) - (port reset_i_11 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(25:25)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(2:2)") 1) (direction INPUT)) + (port reset_i_6 (direction INPUT)) + (port reset_i_5 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -237603,8 +237592,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_13_2 (joined - (portRef (member channel_debug_01_i_13 0)) + (net channel_debug_01_i_25_2 (joined + (portRef (member channel_debug_01_i_25 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -237651,7 +237640,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_13 (joined + (net rd_en_i_25 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -238087,7 +238076,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) @@ -238377,8 +238366,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -238653,8 +238642,46 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_11 (joined - (portRef reset_i_11) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef PD (instanceRef FF_101)) + (portRef RST (instanceRef pdp_ram_0_0_0)) + )) + (net iwcount_1 (joined + (portRef NC1 (instanceRef w_gctr_0)) + (portRef D (instanceRef FF_100)) + )) + (net iwcount_2 (joined + (portRef NC0 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_99)) + )) + (net iwcount_3 (joined + (portRef NC1 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_98)) + )) + (net iwcount_4 (joined + (portRef NC0 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_97)) + )) + (net iwcount_5 (joined + (portRef NC1 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_96)) + )) + (net iwcount_6 (joined + (portRef NC0 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_95)) + )) + (net iwcount_7 (joined + (portRef NC1 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_94)) + )) + (net reset_i_6 (joined + (portRef reset_i_6) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -238700,41 +238727,6 @@ (portRef CD (instanceRef FF_93)) (portRef CD (instanceRef FF_94)) (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef PD (instanceRef FF_101)) - (portRef RST (instanceRef pdp_ram_0_0_0)) - )) - (net iwcount_1 (joined - (portRef NC1 (instanceRef w_gctr_0)) - (portRef D (instanceRef FF_100)) - )) - (net iwcount_2 (joined - (portRef NC0 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_99)) - )) - (net iwcount_3 (joined - (portRef NC1 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_98)) - )) - (net iwcount_4 (joined - (portRef NC0 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_97)) - )) - (net iwcount_5 (joined - (portRef NC1 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_96)) - )) - (net iwcount_6 (joined - (portRef NC0 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_95)) - )) - (net iwcount_7 (joined - (portRef NC1 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_94)) )) (net iwcount_8 (joined (portRef NC0 (instanceRef w_gctr_4)) @@ -239452,11 +239444,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(2:1)") 2) (direction INOUT)) - (port reset_tdc_61 (direction INPUT)) - (port reset_tdc_fast_36_r22 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_25 "channel_debug_01_i_25(2:1)") 2) (direction INOUT)) + (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_fast_37_r12 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -239825,7 +239818,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIC0DP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIM4EQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -239907,25 +239900,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -240165,9 +240158,6 @@ (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -240446,6 +240436,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -240590,6 +240583,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNIU1V41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -240599,38 +240598,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) + (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -240837,7 +240836,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIC0DP)) + (portRef A (instanceRef start_reg_RNIM4EQ)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -241078,8 +241077,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -241177,6 +241176,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNIU1V41)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -241185,6 +241185,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNIU1V41)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -241193,6 +241194,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNIU1V41)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -241201,6 +241203,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNIU1V41)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -241264,8 +241267,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -241413,6 +241416,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -241454,7 +241467,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -242145,8 +242158,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIC0DP (joined - (portRef Z (instanceRef start_reg_RNIC0DP)) + (net start_reg_RNIM4EQ (joined + (portRef Z (instanceRef start_reg_RNIM4EQ)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -242191,8 +242204,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_13_1 (joined - (portRef (member channel_debug_01_i_13 1)) + (net channel_debug_01_i_25_1 (joined + (portRef (member channel_debug_01_i_25 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -242235,7 +242248,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIC0DP)) + (portRef B (instanceRef start_reg_RNIM4EQ)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -242251,21 +242264,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_13_2 (joined + (net channel_debug_01_i_25_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_13 0)) + (portRef (member channel_debug_01_i_25 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -242828,7 +242831,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIC0DP)) + (portRef C (instanceRef start_reg_RNIM4EQ)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -242957,10 +242960,9 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) @@ -242968,10 +242970,6 @@ (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -242980,21 +242978,25 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net interval_reg_41_14_am_7_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -244430,8 +244432,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -244870,16 +244873,41 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNIU1V41)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_2_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) (net un3_binary_code_out_1 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r22 (joined - (portRef reset_tdc_fast_36_r22) + (net reset_tdc_fast_37_r12 (joined + (portRef reset_tdc_fast_37_r12) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -244887,13 +244915,8 @@ (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) - (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -245450,9 +245473,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef reset_tdc_61 (instanceRef ROM_Encoder_1)) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) + (portRef reset_tdc_24 (instanceRef ROM_Encoder_1)) )) ) ) @@ -245462,11 +245485,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) - (port reset_tdc_60 (direction INPUT)) - (port reset_tdc_59 (direction INPUT)) - (port reset_tdc_58 (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_23 (direction INPUT)) + (port reset_tdc_22 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -247622,95 +247644,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef CD (instanceRef FF_299)) - (portRef CD (instanceRef FF_300)) - (portRef CD (instanceRef FF_301)) - (portRef CD (instanceRef FF_302)) - (portRef CD (instanceRef FF_303)) - )) - (net result_i_302 (joined - (portRef Q (instanceRef FF_302)) - (portRef (member result_i 1)) - )) - (net tsum_301 (joined - (portRef S1 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_301)) - )) - (net result_i_301 (joined - (portRef Q (instanceRef FF_301)) - (portRef (member result_i 2)) - )) - (net tsum_300 (joined - (portRef S0 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_300)) - )) - (net result_i_300 (joined - (portRef Q (instanceRef FF_300)) - (portRef (member result_i 3)) - )) - (net tsum_299 (joined - (portRef S1 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_299)) - )) - (net result_i_299 (joined - (portRef Q (instanceRef FF_299)) - (portRef (member result_i 4)) - )) - (net tsum_298 (joined - (portRef S0 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_298)) - )) - (net result_i_298 (joined - (portRef Q (instanceRef FF_298)) - (portRef (member result_i 5)) - )) - (net tsum_297 (joined - (portRef S1 (instanceRef GEN_148_ADD)) - (portRef D (instanceRef FF_297)) - )) - (net reset_tdc_58 (joined - (portRef reset_tdc_58) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) (portRef CD (instanceRef FF_239)) (portRef CD (instanceRef FF_240)) (portRef CD (instanceRef FF_241)) @@ -247771,6 +247706,51 @@ (portRef CD (instanceRef FF_296)) (portRef CD (instanceRef FF_297)) (portRef CD (instanceRef FF_298)) + (portRef CD (instanceRef FF_299)) + (portRef CD (instanceRef FF_300)) + (portRef CD (instanceRef FF_301)) + (portRef CD (instanceRef FF_302)) + (portRef CD (instanceRef FF_303)) + )) + (net result_i_302 (joined + (portRef Q (instanceRef FF_302)) + (portRef (member result_i 1)) + )) + (net tsum_301 (joined + (portRef S1 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_301)) + )) + (net result_i_301 (joined + (portRef Q (instanceRef FF_301)) + (portRef (member result_i 2)) + )) + (net tsum_300 (joined + (portRef S0 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_300)) + )) + (net result_i_300 (joined + (portRef Q (instanceRef FF_300)) + (portRef (member result_i 3)) + )) + (net tsum_299 (joined + (portRef S1 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_299)) + )) + (net result_i_299 (joined + (portRef Q (instanceRef FF_299)) + (portRef (member result_i 4)) + )) + (net tsum_298 (joined + (portRef S0 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_298)) + )) + (net result_i_298 (joined + (portRef Q (instanceRef FF_298)) + (portRef (member result_i 5)) + )) + (net tsum_297 (joined + (portRef S1 (instanceRef GEN_148_ADD)) + (portRef D (instanceRef FF_297)) )) (net result_i_297 (joined (portRef Q (instanceRef FF_297)) @@ -248252,6 +248232,109 @@ (portRef S1 (instanceRef GEN_118_ADD)) (portRef D (instanceRef FF_237)) )) + (net reset_tdc_22 (joined + (portRef reset_tdc_22) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + )) (net result_i_237 (joined (portRef Q (instanceRef FF_237)) (portRef (member result_i 66)) @@ -248564,108 +248647,6 @@ (portRef S0 (instanceRef GEN_99_ADD)) (portRef D (instanceRef FF_198)) )) - (net reset_tdc_59 (joined - (portRef reset_tdc_59) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - )) (net result_i_198 (joined (portRef Q (instanceRef FF_198)) (portRef (member result_i 105)) @@ -249154,6 +249135,109 @@ (portRef S1 (instanceRef GEN_68_ADD)) (portRef D (instanceRef FF_137)) )) + (net reset_tdc_23 (joined + (portRef reset_tdc_23) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + )) (net result_i_137 (joined (portRef Q (instanceRef FF_137)) (portRef (member result_i 166)) @@ -249458,108 +249542,6 @@ (portRef S1 (instanceRef GEN_49_ADD)) (portRef D (instanceRef FF_99)) )) - (net reset_tdc_60 (joined - (portRef reset_tdc_60) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - )) (net result_i_99 (joined (portRef Q (instanceRef FF_99)) (portRef (member result_i 204)) @@ -250056,6 +250038,48 @@ (portRef S1 (instanceRef GEN_18_ADD)) (portRef D (instanceRef FF_37)) )) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + )) (net result_i_37 (joined (portRef Q (instanceRef FF_37)) (portRef (member result_i 266)) @@ -250352,11 +250376,6 @@ (portRef S0 (instanceRef GEN_0_ADD)) (portRef D (instanceRef FF_0)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - )) (net result_i_0 (joined (portRef Q (instanceRef FF_0)) (portRef (member result_i 303)) @@ -251286,7 +251305,7 @@ (cell edge_to_pulse_0_21 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -251358,11 +251377,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_28_0 (joined + (net channel_debug_01_i_15_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_28 0)) + (portRef (member channel_debug_01_i_15 0)) )) (net VCC (joined (portRef VCC) @@ -251376,15 +251395,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(28:28)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(2:2)") 1) (direction INPUT)) - (port reset_i_3 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(15:15)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(2:2)") 1) (direction INPUT)) + (port reset_i_11 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -251864,8 +251884,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_28_2 (joined - (portRef (member channel_debug_01_i_28 0)) + (net channel_debug_01_i_15_2 (joined + (portRef (member channel_debug_01_i_15 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -251908,11 +251928,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_28 (joined + (net rd_en_i_15 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -252348,8 +252364,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -252638,9 +252653,10 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -252914,8 +252930,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_3 (joined - (portRef reset_i_3) + (net reset_i_11 (joined + (portRef reset_i_11) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -253273,6 +253289,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -253713,12 +253734,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(2:1)") 2) (direction INOUT)) - (port reset_tdc_15 (direction INPUT)) - (port reset_tdc_fast_37_r15 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(2:1)") 2) (direction INOUT)) + (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_fast_36_r24 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -254087,7 +254107,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI2RVJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIK4EQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -254157,16 +254177,16 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -254178,16 +254198,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -254421,6 +254441,12 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -254702,12 +254728,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -254852,12 +254872,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNIAAMR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -254867,38 +254881,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -255105,7 +255119,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI2RVJ)) + (portRef A (instanceRef start_reg_RNIK4EQ)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -255358,8 +255372,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -255370,8 +255384,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -255445,7 +255459,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNIAAMR)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -255454,7 +255467,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNIAAMR)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -255463,7 +255475,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNIAAMR)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -255472,7 +255483,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNIAAMR)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -255569,8 +255579,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -255602,8 +255612,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -255685,16 +255695,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -255736,7 +255736,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -256427,8 +256427,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI2RVJ (joined - (portRef Z (instanceRef start_reg_RNI2RVJ)) + (net start_reg_RNIK4EQ (joined + (portRef Z (instanceRef start_reg_RNIK4EQ)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -256473,8 +256473,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_28_1 (joined - (portRef (member channel_debug_01_i_28 1)) + (net channel_debug_01_i_15_1 (joined + (portRef (member channel_debug_01_i_15 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -256517,7 +256517,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI2RVJ)) + (portRef B (instanceRef start_reg_RNIK4EQ)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -256533,11 +256533,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_28_2 (joined + (net channel_debug_01_i_15_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_28 0)) + (portRef (member channel_debug_01_i_15 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -257100,7 +257110,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI2RVJ)) + (portRef C (instanceRef start_reg_RNIK4EQ)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -257229,14 +257239,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) @@ -257250,22 +257262,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net interval_reg_41_14_am_8_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -258700,10 +258712,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -259142,27 +259152,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNIAAMR)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_2_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -259171,12 +259160,8 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r15 (joined - (portRef reset_tdc_fast_37_r15) + (net reset_tdc_fast_36_r24 (joined + (portRef reset_tdc_fast_36_r24) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -259186,6 +259171,11 @@ (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -259742,9 +259732,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) - (portRef reset_tdc_15 (instanceRef ROM_Encoder_1)) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) + (portRef reset_tdc_54 (instanceRef ROM_Encoder_1)) )) ) ) @@ -259754,10 +259744,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) - (port reset_tdc_14 (direction INPUT)) - (port reset_tdc_13 (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_53 (direction INPUT)) + (port reset_tdc_52 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -261913,73 +261903,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) (portRef CD (instanceRef FF_289)) (portRef CD (instanceRef FF_290)) (portRef CD (instanceRef FF_291)) @@ -262116,6 +262041,109 @@ (portRef S1 (instanceRef GEN_143_ADD)) (portRef D (instanceRef FF_287)) )) + (net reset_tdc_52 (joined + (portRef reset_tdc_52) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) + )) (net result_i_287 (joined (portRef Q (instanceRef FF_287)) (portRef (member result_i 16)) @@ -262636,109 +262664,6 @@ (portRef S0 (instanceRef GEN_111_ADD)) (portRef D (instanceRef FF_222)) )) - (net reset_tdc_13 (joined - (portRef reset_tdc_13) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - )) (net result_i_222 (joined (portRef Q (instanceRef FF_222)) (portRef (member result_i 81)) @@ -263019,6 +262944,109 @@ (portRef S1 (instanceRef GEN_93_ADD)) (portRef D (instanceRef FF_187)) )) + (net reset_tdc_53 (joined + (portRef reset_tdc_53) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + )) (net result_i_187 (joined (portRef Q (instanceRef FF_187)) (portRef (member result_i 116)) @@ -263539,109 +263567,6 @@ (portRef S0 (instanceRef GEN_61_ADD)) (portRef D (instanceRef FF_122)) )) - (net reset_tdc_14 (joined - (portRef reset_tdc_14) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - )) (net result_i_122 (joined (portRef Q (instanceRef FF_122)) (portRef (member result_i 181)) @@ -263922,6 +263847,98 @@ (portRef S1 (instanceRef GEN_43_ADD)) (portRef D (instanceRef FF_87)) )) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + )) (net result_i_87 (joined (portRef Q (instanceRef FF_87)) (portRef (member result_i 216)) @@ -264442,33 +264459,6 @@ (portRef S0 (instanceRef GEN_11_ADD)) (portRef D (instanceRef FF_22)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - )) (net result_i_22 (joined (portRef Q (instanceRef FF_22)) (portRef (member result_i 281)) @@ -265574,7 +265564,7 @@ (cell edge_to_pulse_0_20 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -265646,11 +265636,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_23_0 (joined + (net channel_debug_01_i_30_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_23 0)) + (portRef (member channel_debug_01_i_30 0)) )) (net VCC (joined (portRef VCC) @@ -265664,14 +265654,14 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(23:23)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(2:2)") 1) (direction INPUT)) - (port reset_i_6 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(30:30)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(2:2)") 1) (direction INPUT)) + (port reset_i_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -266152,8 +266142,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_23_2 (joined - (portRef (member channel_debug_01_i_23 0)) + (net channel_debug_01_i_30_2 (joined + (portRef (member channel_debug_01_i_30 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -266200,7 +266190,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_23 (joined + (net rd_en_i_30 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -266244,8 +266234,8 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) (portRef B (instanceRef OR2_t18)) (portRef A (instanceRef OR2_t18)) )) @@ -266636,7 +266626,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -266926,9 +266916,9 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -267202,8 +267192,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_6 (joined - (portRef reset_i_6) + (net reset_i_3 (joined + (portRef reset_i_3) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -268001,9 +267991,10 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(2:1)") 2) (direction INOUT)) - (port reset_tdc_30 (direction INPUT)) - (port reset_tdc_fast_36_r32 (direction INPUT)) + (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(2:1)") 2) (direction INOUT)) + (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_fast_37_r17 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -268374,7 +268365,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIE0DP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI4ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -268456,25 +268447,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -269139,6 +269130,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNIE9O9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -269148,38 +269145,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -269386,7 +269383,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIE0DP)) + (portRef A (instanceRef start_reg_RNI4ARF)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -269726,6 +269723,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNIE9O9)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -269734,6 +269732,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNIE9O9)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -269742,6 +269741,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNIE9O9)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -269750,6 +269750,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNIE9O9)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -269962,6 +269963,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -270003,7 +270014,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -270694,8 +270705,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIE0DP (joined - (portRef Z (instanceRef start_reg_RNIE0DP)) + (net start_reg_RNI4ARF (joined + (portRef Z (instanceRef start_reg_RNI4ARF)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -270740,8 +270751,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_23_1 (joined - (portRef (member channel_debug_01_i_23 1)) + (net channel_debug_01_i_30_1 (joined + (portRef (member channel_debug_01_i_30 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -270784,7 +270795,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIE0DP)) + (portRef B (instanceRef start_reg_RNI4ARF)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -270800,21 +270811,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_23_2 (joined + (net channel_debug_01_i_30_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_23 0)) + (portRef (member channel_debug_01_i_30 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -271377,7 +271378,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIE0DP)) + (portRef C (instanceRef start_reg_RNI4ARF)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -271516,10 +271517,6 @@ (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -271528,13 +271525,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) - )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) @@ -271544,6 +271537,14 @@ (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net interval_reg_41_14_am_9_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -273419,6 +273420,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNIE9O9)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_3_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -273427,8 +273449,12 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r32 (joined - (portRef reset_tdc_fast_36_r32) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) + (net reset_tdc_fast_37_r17 (joined + (portRef reset_tdc_fast_37_r17) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -273438,11 +273464,6 @@ (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -273999,9 +274020,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_30 (joined - (portRef reset_tdc_30) - (portRef reset_tdc_30 (instanceRef ROM_Encoder_1)) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef reset_tdc_9 (instanceRef ROM_Encoder_1)) )) ) ) @@ -274011,10 +274032,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_30 (direction INPUT)) - (port reset_tdc_29 (direction INPUT)) - (port reset_tdc_28 (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_8 (direction INPUT)) + (port reset_tdc_7 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -276170,8 +276191,43 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) (portRef CD (instanceRef FF_249)) (portRef CD (instanceRef FF_250)) (portRef CD (instanceRef FF_251)) @@ -276668,109 +276724,6 @@ (portRef S1 (instanceRef GEN_123_ADD)) (portRef D (instanceRef FF_247)) )) - (net reset_tdc_28 (joined - (portRef reset_tdc_28) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - )) (net result_i_247 (joined (portRef Q (instanceRef FF_247)) (portRef (member result_i 56)) @@ -277051,6 +277004,109 @@ (portRef S0 (instanceRef GEN_106_ADD)) (portRef D (instanceRef FF_212)) )) + (net reset_tdc_7 (joined + (portRef reset_tdc_7) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + )) (net result_i_212 (joined (portRef Q (instanceRef FF_212)) (portRef (member result_i 91)) @@ -277571,109 +277627,6 @@ (portRef S1 (instanceRef GEN_73_ADD)) (portRef D (instanceRef FF_147)) )) - (net reset_tdc_29 (joined - (portRef reset_tdc_29) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - )) (net result_i_147 (joined (portRef Q (instanceRef FF_147)) (portRef (member result_i 156)) @@ -277954,6 +277907,109 @@ (portRef S0 (instanceRef GEN_56_ADD)) (portRef D (instanceRef FF_112)) )) + (net reset_tdc_8 (joined + (portRef reset_tdc_8) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + )) (net result_i_112 (joined (portRef Q (instanceRef FF_112)) (portRef (member result_i 191)) @@ -278474,58 +278530,6 @@ (portRef S1 (instanceRef GEN_23_ADD)) (portRef D (instanceRef FF_47)) )) - (net reset_tdc_30 (joined - (portRef reset_tdc_30) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - )) (net result_i_47 (joined (portRef Q (instanceRef FF_47)) (portRef (member result_i 256)) @@ -278806,6 +278810,23 @@ (portRef S0 (instanceRef GEN_6_ADD)) (portRef D (instanceRef FF_12)) )) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + )) (net result_i_12 (joined (portRef Q (instanceRef FF_12)) (portRef (member result_i 291)) @@ -279831,7 +279852,7 @@ (cell edge_to_pulse_0_19 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -279903,11 +279924,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_11_0 (joined + (net channel_debug_01_i_13_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_11 0)) + (portRef (member channel_debug_01_i_13 0)) )) (net VCC (joined (portRef VCC) @@ -279921,9 +279942,8 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(11:11)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(2:2)") 1) (direction INPUT)) - (port reset_i_13 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(13:13)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(2:2)") 1) (direction INPUT)) (port reset_i_12 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) @@ -279931,6 +279951,7 @@ (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -280410,8 +280431,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_11_2 (joined - (portRef (member channel_debug_01_i_11 0)) + (net channel_debug_01_i_13_2 (joined + (portRef (member channel_debug_01_i_13 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -280454,11 +280475,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_11 (joined + (net rd_en_i_13 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -280895,7 +280912,7 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -281185,7 +281202,7 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -281462,6 +281479,10 @@ )) (net reset_i_12 (joined (portRef reset_i_12) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) (portRef CD (instanceRef FF_15)) (portRef CD (instanceRef FF_16)) (portRef CD (instanceRef FF_17)) @@ -281802,13 +281823,6 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - )) (net empty_d (joined (portRef S0 (instanceRef a0)) (portRef D (instanceRef FF_1)) @@ -281822,6 +281836,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -282262,13 +282281,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(2:1)") 2) (direction INOUT)) - (port reset_tdc_67 (direction INPUT)) - (port reset_tdc_fast_36_r19 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_37_r11 (direction INPUT)) - (port reset_tdc_fast_36_r1 (direction INPUT)) - (port reset_tdc_fast_36_r20 (direction INPUT)) + (port (array (rename channel_debug_01_i_13 "channel_debug_01_i_13(2:1)") 2) (direction INOUT)) + (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_fast_36_r22 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -282637,7 +282654,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI4SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIC0DP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -282719,25 +282736,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -282971,9 +282988,6 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -283258,6 +283272,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -283417,18 +283434,18 @@ (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A+B !A)))")) ) - (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) + ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) @@ -283649,7 +283666,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI4SB81)) + (portRef A (instanceRef start_reg_RNIC0DP)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -283914,8 +283931,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -284142,8 +284159,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -284957,8 +284974,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI4SB81 (joined - (portRef Z (instanceRef start_reg_RNI4SB81)) + (net start_reg_RNIC0DP (joined + (portRef Z (instanceRef start_reg_RNIC0DP)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -285003,8 +285020,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_11_1 (joined - (portRef (member channel_debug_01_i_11 1)) + (net channel_debug_01_i_13_1 (joined + (portRef (member channel_debug_01_i_13 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -285047,7 +285064,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI4SB81)) + (portRef B (instanceRef start_reg_RNIC0DP)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -285068,16 +285085,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_11_2 (joined + (net channel_debug_01_i_13_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_11 0)) + (portRef (member channel_debug_01_i_13 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -285640,7 +285657,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI4SB81)) + (portRef C (instanceRef start_reg_RNIC0DP)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -285769,23 +285786,15 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r20 (joined - (portRef reset_tdc_fast_36_r20) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - )) - (net reset_tdc_fast_36_r1 (joined - (portRef reset_tdc_fast_36_r1) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) - )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -285795,6 +285804,10 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) @@ -285803,14 +285816,14 @@ (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_10_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -287245,19 +287258,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_37_r11 (joined - (portRef reset_tdc_fast_37_r11) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -287692,35 +287703,35 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) + (net un3_binary_code_out_1 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r19 (joined - (portRef reset_tdc_fast_36_r19) + (net reset_tdc_fast_36_r22 (joined + (portRef reset_tdc_fast_36_r22) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) - (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) )) - (net un3_binary_code_out_1 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_1)) - )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) @@ -288268,9 +288279,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef reset_tdc_67 (instanceRef ROM_Encoder_1)) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef reset_tdc_61 (instanceRef ROM_Encoder_1)) )) ) ) @@ -288280,10 +288291,11 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) - (port reset_tdc_66 (direction INPUT)) - (port reset_tdc_65 (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_60 (direction INPUT)) + (port reset_tdc_59 (direction INPUT)) + (port reset_tdc_58 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -290439,8 +290451,72 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef CD (instanceRef FF_299)) + (portRef CD (instanceRef FF_300)) + (portRef CD (instanceRef FF_301)) + (portRef CD (instanceRef FF_302)) + (portRef CD (instanceRef FF_303)) + )) + (net result_i_302 (joined + (portRef Q (instanceRef FF_302)) + (portRef (member result_i 1)) + )) + (net tsum_301 (joined + (portRef S1 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_301)) + )) + (net result_i_301 (joined + (portRef Q (instanceRef FF_301)) + (portRef (member result_i 2)) + )) + (net tsum_300 (joined + (portRef S0 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_300)) + )) + (net result_i_300 (joined + (portRef Q (instanceRef FF_300)) + (portRef (member result_i 3)) + )) + (net tsum_299 (joined + (portRef S1 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_299)) + )) + (net result_i_299 (joined + (portRef Q (instanceRef FF_299)) + (portRef (member result_i 4)) + )) + (net tsum_298 (joined + (portRef S0 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_298)) + )) + (net result_i_298 (joined + (portRef Q (instanceRef FF_298)) + (portRef (member result_i 5)) + )) + (net tsum_297 (joined + (portRef S1 (instanceRef GEN_148_ADD)) + (portRef D (instanceRef FF_297)) + )) + (net reset_tdc_58 (joined + (portRef reset_tdc_58) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) (portRef CD (instanceRef FF_216)) (portRef CD (instanceRef FF_217)) (portRef CD (instanceRef FF_218)) @@ -290524,51 +290600,6 @@ (portRef CD (instanceRef FF_296)) (portRef CD (instanceRef FF_297)) (portRef CD (instanceRef FF_298)) - (portRef CD (instanceRef FF_299)) - (portRef CD (instanceRef FF_300)) - (portRef CD (instanceRef FF_301)) - (portRef CD (instanceRef FF_302)) - (portRef CD (instanceRef FF_303)) - )) - (net result_i_302 (joined - (portRef Q (instanceRef FF_302)) - (portRef (member result_i 1)) - )) - (net tsum_301 (joined - (portRef S1 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_301)) - )) - (net result_i_301 (joined - (portRef Q (instanceRef FF_301)) - (portRef (member result_i 2)) - )) - (net tsum_300 (joined - (portRef S0 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_300)) - )) - (net result_i_300 (joined - (portRef Q (instanceRef FF_300)) - (portRef (member result_i 3)) - )) - (net tsum_299 (joined - (portRef S1 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_299)) - )) - (net result_i_299 (joined - (portRef Q (instanceRef FF_299)) - (portRef (member result_i 4)) - )) - (net tsum_298 (joined - (portRef S0 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_298)) - )) - (net result_i_298 (joined - (portRef Q (instanceRef FF_298)) - (portRef (member result_i 5)) - )) - (net tsum_297 (joined - (portRef S1 (instanceRef GEN_148_ADD)) - (portRef D (instanceRef FF_297)) )) (net result_i_297 (joined (portRef Q (instanceRef FF_297)) @@ -291234,108 +291265,6 @@ (portRef S0 (instanceRef GEN_107_ADD)) (portRef D (instanceRef FF_214)) )) - (net reset_tdc_65 (joined - (portRef reset_tdc_65) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - )) (net result_i_214 (joined (portRef Q (instanceRef FF_214)) (portRef (member result_i 89)) @@ -291464,6 +291393,108 @@ (portRef S0 (instanceRef GEN_99_ADD)) (portRef D (instanceRef FF_198)) )) + (net reset_tdc_59 (joined + (portRef reset_tdc_59) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + )) (net result_i_198 (joined (portRef Q (instanceRef FF_198)) (portRef (member result_i 105)) @@ -292128,108 +292159,6 @@ (portRef S1 (instanceRef GEN_57_ADD)) (portRef D (instanceRef FF_115)) )) - (net reset_tdc_66 (joined - (portRef reset_tdc_66) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - )) (net result_i_115 (joined (portRef Q (instanceRef FF_115)) (portRef (member result_i 188)) @@ -292358,6 +292287,108 @@ (portRef S1 (instanceRef GEN_49_ADD)) (portRef D (instanceRef FF_99)) )) + (net reset_tdc_60 (joined + (portRef reset_tdc_60) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + )) (net result_i_99 (joined (portRef Q (instanceRef FF_99)) (portRef (member result_i 204)) @@ -293022,27 +293053,6 @@ (portRef S0 (instanceRef GEN_8_ADD)) (portRef D (instanceRef FF_16)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - )) (net result_i_16 (joined (portRef Q (instanceRef FF_16)) (portRef (member result_i 287)) @@ -293171,6 +293181,11 @@ (portRef S0 (instanceRef GEN_0_ADD)) (portRef D (instanceRef FF_0)) )) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + )) (net result_i_0 (joined (portRef Q (instanceRef FF_0)) (portRef (member result_i 303)) @@ -294100,7 +294115,7 @@ (cell edge_to_pulse_0_18 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -294172,11 +294187,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_26_0 (joined + (net channel_debug_01_i_16_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_26 0)) + (portRef (member channel_debug_01_i_16 0)) )) (net VCC (joined (portRef VCC) @@ -294190,9 +294205,10 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(26:26)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(2:2)") 1) (direction INPUT)) - (port reset_i_4 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(16:16)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(2:2)") 1) (direction INPUT)) + (port reset_i_11 (direction INPUT)) + (port reset_i_10 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -294678,8 +294694,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_26_2 (joined - (portRef (member channel_debug_01_i_26 0)) + (net channel_debug_01_i_16_2 (joined + (portRef (member channel_debug_01_i_16 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -294726,7 +294742,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_26 (joined + (net rd_en_i_16 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -295162,7 +295178,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) @@ -295453,8 +295471,6 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -295728,40 +295744,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) + (net reset_i_10 (joined + (portRef reset_i_10) (portRef CD (instanceRef FF_83)) (portRef CD (instanceRef FF_84)) (portRef CD (instanceRef FF_85)) @@ -295859,6 +295843,41 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -296527,12 +296546,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(2:1)") 2) (direction INOUT)) - (port reset_tdc_21 (direction INPUT)) - (port reset_tdc_fast_37_r13 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_16 "channel_debug_01_i_16(2:1)") 2) (direction INOUT)) + (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_fast_36_r25 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -296901,7 +296919,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIQMUI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIOMUI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -296992,16 +297010,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -297238,15 +297256,9 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -297522,6 +297534,12 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -297666,12 +297684,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNI2Q6N1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -297681,38 +297693,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -297919,7 +297931,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIQMUI)) + (portRef A (instanceRef start_reg_RNIOMUI)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -298131,8 +298143,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -298172,8 +298184,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -298259,7 +298271,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNI2Q6N1)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -298268,7 +298279,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNI2Q6N1)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -298277,7 +298287,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNI2Q6N1)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -298286,7 +298295,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNI2Q6N1)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -298317,8 +298325,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -298383,8 +298391,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -298499,16 +298507,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -298550,7 +298548,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -299241,8 +299239,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIQMUI (joined - (portRef Z (instanceRef start_reg_RNIQMUI)) + (net start_reg_RNIOMUI (joined + (portRef Z (instanceRef start_reg_RNIOMUI)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -299287,8 +299285,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_26_1 (joined - (portRef (member channel_debug_01_i_26 1)) + (net channel_debug_01_i_16_1 (joined + (portRef (member channel_debug_01_i_16 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -299331,7 +299329,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIQMUI)) + (portRef B (instanceRef start_reg_RNIOMUI)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -299347,11 +299345,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_26_2 (joined + (net channel_debug_01_i_16_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_26 0)) + (portRef (member channel_debug_01_i_16 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -299914,7 +299922,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIQMUI)) + (portRef C (instanceRef start_reg_RNIOMUI)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -300043,11 +300051,9 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) @@ -300066,14 +300072,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) @@ -300082,6 +300080,14 @@ (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net interval_reg_41_14_am_11_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -301516,8 +301522,10 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -301956,50 +301964,30 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNI2Q6N1)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_3_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) (net un3_binary_code_out_1 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_37_r13 (joined - (portRef reset_tdc_fast_37_r13) + (net reset_tdc_fast_36_r25 (joined + (portRef reset_tdc_fast_36_r25) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) - (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) + (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) - (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) + (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -302009,14 +301997,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) - (net un3_binary_code_out_6 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_6)) - )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) + (net un3_binary_code_out_6 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_6)) + )) (net un3_binary_code_out_7 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_7)) @@ -302556,9 +302544,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) - (portRef reset_tdc_21 (instanceRef ROM_Encoder_1)) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) + (portRef reset_tdc_51 (instanceRef ROM_Encoder_1)) )) ) ) @@ -302568,10 +302556,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) - (port reset_tdc_20 (direction INPUT)) - (port reset_tdc_19 (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_50 (direction INPUT)) + (port reset_tdc_49 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -304727,58 +304715,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) (portRef CD (instanceRef FF_284)) (portRef CD (instanceRef FF_285)) (portRef CD (instanceRef FF_286)) @@ -304960,6 +304898,109 @@ (portRef S0 (instanceRef GEN_141_ADD)) (portRef D (instanceRef FF_282)) )) + (net reset_tdc_49 (joined + (portRef reset_tdc_49) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + )) (net result_i_282 (joined (portRef Q (instanceRef FF_282)) (portRef (member result_i 21)) @@ -305360,109 +305401,6 @@ (portRef S0 (instanceRef GEN_116_ADD)) (portRef D (instanceRef FF_232)) )) - (net reset_tdc_19 (joined - (portRef reset_tdc_19) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - )) (net result_i_232 (joined (portRef Q (instanceRef FF_232)) (portRef (member result_i 71)) @@ -305863,6 +305801,109 @@ (portRef S0 (instanceRef GEN_91_ADD)) (portRef D (instanceRef FF_182)) )) + (net reset_tdc_50 (joined + (portRef reset_tdc_50) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + )) (net result_i_182 (joined (portRef Q (instanceRef FF_182)) (portRef (member result_i 121)) @@ -306263,109 +306304,6 @@ (portRef S0 (instanceRef GEN_66_ADD)) (portRef D (instanceRef FF_132)) )) - (net reset_tdc_20 (joined - (portRef reset_tdc_20) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - )) (net result_i_132 (joined (portRef Q (instanceRef FF_132)) (portRef (member result_i 171)) @@ -306766,6 +306704,93 @@ (portRef S0 (instanceRef GEN_41_ADD)) (portRef D (instanceRef FF_82)) )) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + )) (net result_i_82 (joined (portRef Q (instanceRef FF_82)) (portRef (member result_i 221)) @@ -307166,43 +307191,6 @@ (portRef S0 (instanceRef GEN_16_ADD)) (portRef D (instanceRef FF_32)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - )) (net result_i_32 (joined (portRef Q (instanceRef FF_32)) (portRef (member result_i 271)) @@ -308388,7 +308376,7 @@ (cell edge_to_pulse_0_17 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -308460,11 +308448,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_31_0 (joined + (net channel_debug_01_i_23_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_31 0)) + (portRef (member channel_debug_01_i_23 0)) )) (net VCC (joined (portRef VCC) @@ -308478,15 +308466,14 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(31:31)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(2:2)") 1) (direction INPUT)) - (port reset_i_2 (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(23:23)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(2:2)") 1) (direction INPUT)) + (port reset_i_7 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -308967,8 +308954,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_31_2 (joined - (portRef (member channel_debug_01_i_31 0)) + (net channel_debug_01_i_23_2 (joined + (portRef (member channel_debug_01_i_23 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -309015,7 +309002,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_31 (joined + (net rd_en_i_23 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -309059,8 +309046,8 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) + (net reset_i_rep2_1 (joined + (portRef reset_i_rep2_1) (portRef B (instanceRef OR2_t18)) (portRef A (instanceRef OR2_t18)) )) @@ -309451,6 +309438,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -309740,7 +309728,6 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) @@ -309874,16 +309861,16 @@ (portRef DO13 (instanceRef pdp_ram_0_0_0)) (portRef (member fifo_data_out_i 0)) )) - (net pdp_ram_0_0_0_DO14 (joined + (net un1_pdp_ram_0_0_0_1 (joined (portRef DO14 (instanceRef pdp_ram_0_0_0)) )) - (net pdp_ram_0_0_0_DO15 (joined + (net un1_pdp_ram_0_0_0_2 (joined (portRef DO15 (instanceRef pdp_ram_0_0_0)) )) - (net pdp_ram_0_0_0_DO16 (joined + (net un1_pdp_ram_0_0_0_3 (joined (portRef DO16 (instanceRef pdp_ram_0_0_0)) )) - (net pdp_ram_0_0_0_DO17 (joined + (net un1_pdp_ram_0_0_0 (joined (portRef DO17 (instanceRef pdp_ram_0_0_0)) )) (net fifo_data_out_i_0 (joined @@ -310017,8 +310004,33 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) + (net reset_i_7 (joined + (portRef reset_i_7) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) (portRef CD (instanceRef FF_76)) (portRef CD (instanceRef FF_77)) (portRef CD (instanceRef FF_78)) @@ -310123,34 +310135,6 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -310383,10 +310367,10 @@ (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) )) - (net w_gctr_cia_S0 (joined + (net un1_w_gctr_cia (joined (portRef S0 (instanceRef w_gctr_cia)) )) - (net w_gctr_cia_S1 (joined + (net un1_w_gctr_cia_1 (joined (portRef S1 (instanceRef w_gctr_cia)) )) (net co0 (joined @@ -310412,10 +310396,10 @@ (portRef COUT (instanceRef r_gctr_cia)) (portRef CI (instanceRef r_gctr_0)) )) - (net r_gctr_cia_S0 (joined + (net un1_r_gctr_cia (joined (portRef S0 (instanceRef r_gctr_cia)) )) - (net r_gctr_cia_S1 (joined + (net un1_r_gctr_cia_1 (joined (portRef S1 (instanceRef r_gctr_cia)) )) (net co0_1 (joined @@ -310441,40 +310425,40 @@ (portRef COUT (instanceRef empty_cmp_ci_a)) (portRef CI (instanceRef empty_cmp_0)) )) - (net empty_cmp_ci_a_S0 (joined + (net un1_empty_cmp_ci_a (joined (portRef S0 (instanceRef empty_cmp_ci_a)) )) - (net empty_cmp_ci_a_S1 (joined + (net un1_empty_cmp_ci_a_1 (joined (portRef S1 (instanceRef empty_cmp_ci_a)) )) (net empty_d_c (joined (portRef GE (instanceRef empty_cmp_4)) (portRef CI (instanceRef a0)) )) - (net a0_COUT (joined + (net un1_a0 (joined (portRef COUT (instanceRef a0)) )) - (net a0_S1 (joined + (net un1_a0_1 (joined (portRef S1 (instanceRef a0)) )) (net cmp_ci_1 (joined (portRef COUT (instanceRef full_cmp_ci_a)) (portRef CI (instanceRef full_cmp_0)) )) - (net full_cmp_ci_a_S0 (joined + (net un1_full_cmp_ci_a (joined (portRef S0 (instanceRef full_cmp_ci_a)) )) - (net full_cmp_ci_a_S1 (joined + (net un1_full_cmp_ci_a_1 (joined (portRef S1 (instanceRef full_cmp_ci_a)) )) (net full_d_c (joined (portRef GE (instanceRef full_cmp_4)) (portRef CI (instanceRef a1)) )) - (net a1_COUT (joined + (net un1_a1 (joined (portRef COUT (instanceRef a1)) )) - (net a1_S1 (joined + (net un1_a1_1 (joined (portRef S1 (instanceRef a1)) )) (net co3_3 (joined @@ -310819,10 +310803,9 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_31 "channel_debug_01_i_31(2:1)") 2) (direction INOUT)) - (port reset_tdc_6 (direction INPUT)) - (port reset_tdc_fast_37_r18 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) + (port (array (rename channel_debug_01_i_23 "channel_debug_01_i_23(2:1)") 2) (direction INOUT)) + (port reset_tdc_30 (direction INPUT)) + (port reset_tdc_fast_36_r32 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -311193,7 +311176,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI8SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIE0DP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -311275,22 +311258,22 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -311527,15 +311510,15 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -311811,7 +311794,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -311958,12 +311941,6 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNII10S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -311973,38 +311950,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (!B !A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A+B !A)))")) + ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) + ) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (property lut_function (string "(C (!B A))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -312211,7 +312188,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI8SB81)) + (portRef A (instanceRef start_reg_RNIE0DP)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -312423,8 +312400,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -312476,8 +312453,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -312551,7 +312528,6 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNII10S)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -312560,7 +312536,6 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNII10S)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -312569,7 +312544,6 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNII10S)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -312578,7 +312552,6 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNII10S)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -312609,8 +312582,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -312708,8 +312681,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -312791,16 +312764,6 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -312842,7 +312805,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -313533,8 +313496,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI8SB81 (joined - (portRef Z (instanceRef start_reg_RNI8SB81)) + (net start_reg_RNIE0DP (joined + (portRef Z (instanceRef start_reg_RNIE0DP)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -313579,8 +313542,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_31_1 (joined - (portRef (member channel_debug_01_i_31 1)) + (net channel_debug_01_i_23_1 (joined + (portRef (member channel_debug_01_i_23 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -313623,7 +313586,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI8SB81)) + (portRef B (instanceRef start_reg_RNIE0DP)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -313639,11 +313602,21 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_31_2 (joined + (net channel_debug_01_i_23_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_31 0)) + (portRef (member channel_debug_01_i_23 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -314206,7 +314179,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI8SB81)) + (portRef C (instanceRef start_reg_RNIE0DP)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -314337,18 +314310,14 @@ )) (net reset_tdc_rep2_35 (joined (portRef reset_tdc_rep2_35) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -314357,18 +314326,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) @@ -315809,7 +315782,7 @@ )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -316248,27 +316221,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNII10S)) - (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) - (portRef D (instanceRef BINARY_CODE_OUTd_5)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) - (portRef D (instanceRef BINARY_CODE_OUTd_3)) - (portRef D (instanceRef BINARY_CODE_OUTd_4)) - (portRef D (instanceRef BINARY_CODE_OUTd_2)) - (portRef D (instanceRef BINARY_CODE_OUTd_1)) - (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) - )) - (net BINARY_CODE_OUTd_RNO_4_0 (joined - (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) - )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -316277,21 +316229,22 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) - )) - (net reset_tdc_fast_37_r18 (joined - (portRef reset_tdc_fast_37_r18) + (net reset_tdc_fast_36_r32 (joined + (portRef reset_tdc_fast_36_r32) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) + (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) + )) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -316309,14 +316262,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) - (net un3_binary_code_out_7 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_7)) - )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) )) + (net un3_binary_code_out_7 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_7)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -316848,9 +316801,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef reset_tdc_6 (instanceRef ROM_Encoder_1)) + (net reset_tdc_30 (joined + (portRef reset_tdc_30) + (portRef reset_tdc_30 (instanceRef ROM_Encoder_1)) )) ) ) @@ -316860,10 +316813,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) - (port reset_tdc_5 (direction INPUT)) - (port reset_tdc_4 (direction INPUT)) - (port reset_tdc_3 (direction INPUT)) + (port reset_tdc_30 (direction INPUT)) + (port reset_tdc_29 (direction INPUT)) + (port reset_tdc_28 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -319019,48 +318972,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_3 (joined - (portRef reset_tdc_3) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) (portRef CD (instanceRef FF_249)) (portRef CD (instanceRef FF_250)) (portRef CD (instanceRef FF_251)) @@ -319557,6 +319470,109 @@ (portRef S1 (instanceRef GEN_123_ADD)) (portRef D (instanceRef FF_247)) )) + (net reset_tdc_28 (joined + (portRef reset_tdc_28) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + )) (net result_i_247 (joined (portRef Q (instanceRef FF_247)) (portRef (member result_i 56)) @@ -319877,109 +319893,6 @@ (portRef S1 (instanceRef GEN_103_ADD)) (portRef D (instanceRef FF_207)) )) - (net reset_tdc_4 (joined - (portRef reset_tdc_4) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - )) (net result_i_207 (joined (portRef Q (instanceRef FF_207)) (portRef (member result_i 96)) @@ -320460,6 +320373,109 @@ (portRef S1 (instanceRef GEN_73_ADD)) (portRef D (instanceRef FF_147)) )) + (net reset_tdc_29 (joined + (portRef reset_tdc_29) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + )) (net result_i_147 (joined (portRef Q (instanceRef FF_147)) (portRef (member result_i 156)) @@ -320780,109 +320796,6 @@ (portRef S1 (instanceRef GEN_53_ADD)) (portRef D (instanceRef FF_107)) )) - (net reset_tdc_5 (joined - (portRef reset_tdc_5) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - )) (net result_i_107 (joined (portRef Q (instanceRef FF_107)) (portRef (member result_i 196)) @@ -321363,6 +321276,58 @@ (portRef S1 (instanceRef GEN_23_ADD)) (portRef D (instanceRef FF_47)) )) + (net reset_tdc_30 (joined + (portRef reset_tdc_30) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + )) (net result_i_47 (joined (portRef Q (instanceRef FF_47)) (portRef (member result_i 256)) @@ -321683,18 +321648,6 @@ (portRef S1 (instanceRef GEN_3_ADD)) (portRef D (instanceRef FF_7)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - )) (net result_i_7 (joined (portRef Q (instanceRef FF_7)) (portRef (member result_i 296)) @@ -322680,7 +322633,7 @@ (cell edge_to_pulse_0_16 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -322752,11 +322705,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_14_0 (joined + (net channel_debug_01_i_28_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_14 0)) + (portRef (member channel_debug_01_i_28 0)) )) (net VCC (joined (portRef VCC) @@ -322770,15 +322723,15 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(14:14)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(2:2)") 1) (direction INPUT)) - (port reset_i_11 (direction INPUT)) - (port reset_i_10 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(28:28)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(2:2)") 1) (direction INPUT)) + (port reset_i_4 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -323259,8 +323212,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_14_2 (joined - (portRef (member channel_debug_01_i_14 0)) + (net channel_debug_01_i_28_2 (joined + (portRef (member channel_debug_01_i_28 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -323307,7 +323260,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_14 (joined + (net rd_en_i_28 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -323351,10 +323304,13 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef A (instanceRef OR2_t18)) + )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef B (instanceRef OR2_t18)) - (portRef A (instanceRef OR2_t18)) )) (net rRst (joined (portRef Z (instanceRef OR2_t18)) @@ -323743,7 +323699,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) @@ -324033,9 +323989,9 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -324309,8 +324265,48 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_10 (joined - (portRef reset_i_10) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) (portRef CD (instanceRef FF_93)) @@ -324368,49 +324364,6 @@ (portRef Q (instanceRef FF_90)) (portRef D (instanceRef FF_40)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - )) (net w_gcount_2 (joined (portRef Q (instanceRef FF_89)) (portRef D (instanceRef FF_39)) @@ -325111,11 +325064,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_14 "channel_debug_01_i_14(2:1)") 2) (direction INOUT)) - (port reset_tdc_57 (direction INPUT)) - (port reset_tdc_fast_36_r23 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_28 "channel_debug_01_i_28(2:1)") 2) (direction INOUT)) + (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_fast_37_r15 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -325484,7 +325438,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIGIT11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI2RVJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -325554,16 +325508,16 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -325575,16 +325529,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -325824,6 +325778,9 @@ (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -326102,9 +326059,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -326249,6 +326203,12 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) + (instance proc_finished_1_RNIAAMR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_10_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C B)+D (!B !A))")) ) @@ -326258,38 +326218,38 @@ (instance Interval_Number_to_Binary_mux_control_6_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (!B !A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_1_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))")) ) - (instance BINARY_CODE_OUTd_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A+B !A)))")) - ) (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (property lut_function (string "(D (C (!B A)))")) ) (instance un3_binary_code_out_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -326496,7 +326456,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIGIT11)) + (portRef A (instanceRef start_reg_RNI2RVJ)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -326737,8 +326697,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -326836,6 +326796,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) + (portRef D (instanceRef proc_finished_1_RNIAAMR)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -326844,6 +326805,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) + (portRef C (instanceRef proc_finished_1_RNIAAMR)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -326852,6 +326814,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) + (portRef B (instanceRef proc_finished_1_RNIAAMR)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -326860,6 +326823,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) + (portRef A (instanceRef proc_finished_1_RNIAAMR)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -326923,8 +326887,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -327072,6 +327036,16 @@ )) (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) + (portRef C (instanceRef BINARY_CODE_OUTd_9)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_2)) + (portRef C (instanceRef BINARY_CODE_OUTd_1)) + (portRef B (instanceRef BINARY_CODE_OUTd_RNO_0)) (portRef B (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net interval_2reg_0 (joined @@ -327113,7 +327087,7 @@ (net binary_code_r_0 (joined (portRef Q (instanceRef binary_code_r_0)) (portRef B1 (instanceRef un3_binary_code_out_cry_0_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_0)) + (portRef C (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef binary_code_f_0)) )) (net binary_code_r_1 (joined @@ -327804,8 +327778,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIGIT11 (joined - (portRef Z (instanceRef start_reg_RNIGIT11)) + (net start_reg_RNI2RVJ (joined + (portRef Z (instanceRef start_reg_RNI2RVJ)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -327850,8 +327824,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_14_1 (joined - (portRef (member channel_debug_01_i_14 1)) + (net channel_debug_01_i_28_1 (joined + (portRef (member channel_debug_01_i_28 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -327894,7 +327868,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIGIT11)) + (portRef B (instanceRef start_reg_RNI2RVJ)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -327910,21 +327884,11 @@ )) (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) - (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) - (portRef C (instanceRef BINARY_CODE_OUTd_2)) - (portRef C (instanceRef BINARY_CODE_OUTd_1)) - (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_14_2 (joined + (net channel_debug_01_i_28_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_14 0)) + (portRef (member channel_debug_01_i_28 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -328487,7 +328451,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIGIT11)) + (portRef C (instanceRef start_reg_RNI2RVJ)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -328616,15 +328580,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -328638,22 +328603,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) - )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_13_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -330088,9 +330053,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -330529,6 +330493,27 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_22_am_1_1)) (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) + (net g1 (joined + (portRef Z (instanceRef proc_finished_1_RNIAAMR)) + (portRef D (instanceRef BINARY_CODE_OUTd_9)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) + (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) + (portRef D (instanceRef BINARY_CODE_OUTd_3)) + (portRef D (instanceRef BINARY_CODE_OUTd_4)) + (portRef D (instanceRef BINARY_CODE_OUTd_2)) + (portRef D (instanceRef BINARY_CODE_OUTd_1)) + (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) + )) + (net BINARY_CODE_OUTd_RNO_4_0 (joined + (portRef Z (instanceRef BINARY_CODE_OUTd_RNO_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_0)) + )) (net mux_control_6_10_1_3 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_1_3)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) @@ -330537,8 +330522,12 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r23 (joined - (portRef reset_tdc_fast_36_r23) + (net un3_binary_code_out_2 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_2)) + )) + (net reset_tdc_fast_37_r15 (joined + (portRef reset_tdc_fast_37_r15) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -330548,11 +330537,6 @@ (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) - (portRef C (instanceRef BINARY_CODE_OUTd_0)) - )) - (net un3_binary_code_out_2 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) @@ -331109,9 +331093,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef reset_tdc_57 (instanceRef ROM_Encoder_1)) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) + (portRef reset_tdc_15 (instanceRef ROM_Encoder_1)) )) ) ) @@ -331121,10 +331105,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) - (port reset_tdc_56 (direction INPUT)) - (port reset_tdc_55 (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_14 (direction INPUT)) + (port reset_tdc_13 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -333280,8 +333264,78 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) + (portRef CD (instanceRef FF_289)) + (portRef CD (instanceRef FF_290)) + (portRef CD (instanceRef FF_291)) + (portRef CD (instanceRef FF_292)) + (portRef CD (instanceRef FF_293)) (portRef CD (instanceRef FF_294)) (portRef CD (instanceRef FF_295)) (portRef CD (instanceRef FF_296)) @@ -333373,109 +333427,6 @@ (portRef S0 (instanceRef GEN_146_ADD)) (portRef D (instanceRef FF_292)) )) - (net reset_tdc_55 (joined - (portRef reset_tdc_55) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) - (portRef CD (instanceRef FF_289)) - (portRef CD (instanceRef FF_290)) - (portRef CD (instanceRef FF_291)) - (portRef CD (instanceRef FF_292)) - (portRef CD (instanceRef FF_293)) - )) (net result_i_292 (joined (portRef Q (instanceRef FF_292)) (portRef (member result_i 11)) @@ -334036,6 +333987,109 @@ (portRef S0 (instanceRef GEN_111_ADD)) (portRef D (instanceRef FF_222)) )) + (net reset_tdc_13 (joined + (portRef reset_tdc_13) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + )) (net result_i_222 (joined (portRef Q (instanceRef FF_222)) (portRef (member result_i 81)) @@ -334276,109 +334330,6 @@ (portRef S0 (instanceRef GEN_96_ADD)) (portRef D (instanceRef FF_192)) )) - (net reset_tdc_56 (joined - (portRef reset_tdc_56) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - )) (net result_i_192 (joined (portRef Q (instanceRef FF_192)) (portRef (member result_i 111)) @@ -334939,6 +334890,109 @@ (portRef S0 (instanceRef GEN_61_ADD)) (portRef D (instanceRef FF_122)) )) + (net reset_tdc_14 (joined + (portRef reset_tdc_14) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + )) (net result_i_122 (joined (portRef Q (instanceRef FF_122)) (portRef (member result_i 181)) @@ -335179,103 +335233,6 @@ (portRef S0 (instanceRef GEN_46_ADD)) (portRef D (instanceRef FF_92)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - )) (net result_i_92 (joined (portRef Q (instanceRef FF_92)) (portRef (member result_i 211)) @@ -335836,6 +335793,33 @@ (portRef S0 (instanceRef GEN_11_ADD)) (portRef D (instanceRef FF_22)) )) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + )) (net result_i_22 (joined (portRef Q (instanceRef FF_22)) (portRef (member result_i 281)) @@ -336941,7 +336925,7 @@ (cell edge_to_pulse_0_15 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -337013,11 +336997,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_30_0 (joined + (net channel_debug_01_i_26_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_30 0)) + (portRef (member channel_debug_01_i_26 0)) )) (net VCC (joined (portRef VCC) @@ -337031,15 +337015,14 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(30:30)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(2:2)") 1) (direction INPUT)) - (port reset_i_2 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(26:26)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(2:2)") 1) (direction INPUT)) + (port reset_i_5 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) ) (contents @@ -337520,8 +337503,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_30_2 (joined - (portRef (member channel_debug_01_i_30 0)) + (net channel_debug_01_i_26_2 (joined + (portRef (member channel_debug_01_i_26 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -337568,7 +337551,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_30 (joined + (net rd_en_i_26 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -337612,13 +337595,10 @@ (portRef SP (instanceRef FF_71)) (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef A (instanceRef OR2_t18)) - )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef B (instanceRef OR2_t18)) + (portRef A (instanceRef OR2_t18)) )) (net rRst (joined (portRef Z (instanceRef OR2_t18)) @@ -338007,6 +337987,7 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) @@ -338298,7 +338279,6 @@ (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -338573,8 +338553,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_2 (joined - (portRef reset_i_2) + (net reset_i_5 (joined + (portRef reset_i_5) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -339372,10 +339352,10 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_30 "channel_debug_01_i_30(2:1)") 2) (direction INOUT)) - (port reset_tdc_9 (direction INPUT)) - (port reset_tdc_fast_37_r17 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) + (port (array (rename channel_debug_01_i_26 "channel_debug_01_i_26(2:1)") 2) (direction INOUT)) + (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_fast_37_r13 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -339746,7 +339726,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI4ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIQMUI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -339837,16 +339817,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340083,10 +340063,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340364,7 +340341,10 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340511,7 +340491,7 @@ (instance Interval_Selection_interval_reg_41_22_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (!B !A+B A))+D (!C !A+C (B+!A)))")) ) - (instance proc_finished_1_RNIE9O9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1_RNI2Q6N1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) (instance BINARY_CODE_OUTd_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340544,10 +340524,10 @@ (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -340764,7 +340744,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI4ARF)) + (portRef A (instanceRef start_reg_RNIQMUI)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -340976,8 +340956,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -341005,8 +340985,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -341017,8 +340997,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -341104,7 +341084,7 @@ )) (net proc_finished_4 (joined (portRef Q (instanceRef proc_finished_4)) - (portRef D (instanceRef proc_finished_1_RNIE9O9)) + (portRef D (instanceRef proc_finished_1_RNI2Q6N1)) (portRef D (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_3c (joined @@ -341113,7 +341093,7 @@ )) (net proc_finished_3 (joined (portRef Q (instanceRef proc_finished_3)) - (portRef C (instanceRef proc_finished_1_RNIE9O9)) + (portRef C (instanceRef proc_finished_1_RNI2Q6N1)) (portRef C (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) )) (net proc_finished_2c (joined @@ -341122,7 +341102,7 @@ )) (net proc_finished_2 (joined (portRef Q (instanceRef proc_finished_2)) - (portRef B (instanceRef proc_finished_1_RNIE9O9)) + (portRef B (instanceRef proc_finished_1_RNI2Q6N1)) (portRef B (instanceRef conv_finished_i_0)) )) (net proc_finished_1c (joined @@ -341131,7 +341111,7 @@ )) (net proc_finished_1 (joined (portRef Q (instanceRef proc_finished_1)) - (portRef A (instanceRef proc_finished_1_RNIE9O9)) + (portRef A (instanceRef proc_finished_1_RNI2Q6N1)) (portRef A (instanceRef conv_finished_i_0)) )) (net proc_cnt_4s_i (joined @@ -341162,8 +341142,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -341195,8 +341175,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -341228,8 +341208,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -341347,8 +341327,8 @@ (portRef C (instanceRef BINARY_CODE_OUTd_9)) (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) @@ -342086,8 +342066,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI4ARF (joined - (portRef Z (instanceRef start_reg_RNI4ARF)) + (net start_reg_RNIQMUI (joined + (portRef Z (instanceRef start_reg_RNIQMUI)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -342132,8 +342112,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_30_1 (joined - (portRef (member channel_debug_01_i_30 1)) + (net channel_debug_01_i_26_1 (joined + (portRef (member channel_debug_01_i_26 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -342176,7 +342156,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI4ARF)) + (portRef B (instanceRef start_reg_RNIQMUI)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -342194,9 +342174,9 @@ (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_30_2 (joined + (net channel_debug_01_i_26_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_30 0)) + (portRef (member channel_debug_01_i_26 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -342759,7 +342739,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI4ARF)) + (portRef C (instanceRef start_reg_RNIQMUI)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -342890,8 +342870,7 @@ )) (net reset_tdc_rep2_35 (joined (portRef reset_tdc_rep2_35) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) @@ -342910,22 +342889,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net interval_reg_41_14_am_14_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -344362,7 +344341,8 @@ )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -344802,20 +344782,20 @@ (portRef A (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) (net g1 (joined - (portRef Z (instanceRef proc_finished_1_RNIE9O9)) + (portRef Z (instanceRef proc_finished_1_RNI2Q6N1)) (portRef D (instanceRef BINARY_CODE_OUTd_9)) (portRef D (instanceRef BINARY_CODE_OUTd_7)) (portRef D (instanceRef BINARY_CODE_OUTd_8)) - (portRef D (instanceRef BINARY_CODE_OUTd_6)) (portRef D (instanceRef BINARY_CODE_OUTd_5)) + (portRef D (instanceRef BINARY_CODE_OUTd_6)) (portRef D (instanceRef BINARY_CODE_OUTd_3)) (portRef D (instanceRef BINARY_CODE_OUTd_4)) (portRef D (instanceRef BINARY_CODE_OUTd_2)) (portRef D (instanceRef BINARY_CODE_OUTd_1)) (portRef A (instanceRef BINARY_CODE_OUTd_RNO_0)) )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) (portRef C (instanceRef BINARY_CODE_OUTd_RNO_0)) )) (net BINARY_CODE_OUTd_RNO_5_0 (joined @@ -344834,13 +344814,13 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_37_r17 (joined - (portRef reset_tdc_fast_37_r17) + (net reset_tdc_fast_37_r13 (joined + (portRef reset_tdc_fast_37_r13) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) - (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) + (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) @@ -344854,14 +344834,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) - (net un3_binary_code_out_5 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_5)) - )) (net un3_binary_code_out_6 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) )) + (net un3_binary_code_out_5 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_5)) + )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) @@ -345401,9 +345381,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef reset_tdc_9 (instanceRef ROM_Encoder_1)) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) + (portRef reset_tdc_21 (instanceRef ROM_Encoder_1)) )) ) ) @@ -345413,10 +345393,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) - (port reset_tdc_8 (direction INPUT)) - (port reset_tdc_7 (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_20 (direction INPUT)) + (port reset_tdc_19 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -347572,28 +347552,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) (portRef CD (instanceRef FF_234)) (portRef CD (instanceRef FF_235)) (portRef CD (instanceRef FF_236)) @@ -348225,6 +348185,109 @@ (portRef S0 (instanceRef GEN_116_ADD)) (portRef D (instanceRef FF_232)) )) + (net reset_tdc_19 (joined + (portRef reset_tdc_19) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + )) (net result_i_232 (joined (portRef Q (instanceRef FF_232)) (portRef (member result_i 71)) @@ -348385,109 +348448,6 @@ (portRef S0 (instanceRef GEN_106_ADD)) (portRef D (instanceRef FF_212)) )) - (net reset_tdc_7 (joined - (portRef reset_tdc_7) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - )) (net result_i_212 (joined (portRef Q (instanceRef FF_212)) (portRef (member result_i 91)) @@ -349128,6 +349088,109 @@ (portRef S0 (instanceRef GEN_66_ADD)) (portRef D (instanceRef FF_132)) )) + (net reset_tdc_20 (joined + (portRef reset_tdc_20) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + )) (net result_i_132 (joined (portRef Q (instanceRef FF_132)) (portRef (member result_i 171)) @@ -349288,109 +349351,6 @@ (portRef S0 (instanceRef GEN_56_ADD)) (portRef D (instanceRef FF_112)) )) - (net reset_tdc_8 (joined - (portRef reset_tdc_8) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - )) (net result_i_112 (joined (portRef Q (instanceRef FF_112)) (portRef (member result_i 191)) @@ -350031,6 +349991,43 @@ (portRef S0 (instanceRef GEN_16_ADD)) (portRef D (instanceRef FF_32)) )) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + )) (net result_i_32 (joined (portRef Q (instanceRef FF_32)) (portRef (member result_i 271)) @@ -350191,23 +350188,6 @@ (portRef S0 (instanceRef GEN_6_ADD)) (portRef D (instanceRef FF_12)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - )) (net result_i_12 (joined (portRef Q (instanceRef FF_12)) (portRef (member result_i 291)) @@ -351233,7 +351213,7 @@ (cell edge_to_pulse_0_14 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -351305,11 +351285,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_15_0 (joined + (net channel_debug_01_i_11_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_15 0)) + (portRef (member channel_debug_01_i_11 0)) )) (net VCC (joined (portRef VCC) @@ -351323,15 +351303,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(15:15)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(2:2)") 1) (direction INPUT)) - (port reset_i_10 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(11:11)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(2:2)") 1) (direction INPUT)) + (port reset_i_14 (direction INPUT)) + (port reset_i_13 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -351811,8 +351793,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_15_2 (joined - (portRef (member channel_debug_01_i_15 0)) + (net channel_debug_01_i_11_2 (joined + (portRef (member channel_debug_01_i_11 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -351855,11 +351837,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_15 (joined + (net rd_en_i_11 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -352296,6 +352274,7 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -352585,7 +352564,6 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) @@ -352861,12 +352839,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) + (net reset_i_13 (joined + (portRef reset_i_13) (portRef CD (instanceRef FF_15)) (portRef CD (instanceRef FF_16)) (portRef CD (instanceRef FF_17)) @@ -353207,6 +353181,13 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + )) (net empty_d (joined (portRef S0 (instanceRef a0)) (portRef D (instanceRef FF_1)) @@ -353220,6 +353201,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -353660,11 +353646,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_15 "channel_debug_01_i_15(2:1)") 2) (direction INOUT)) - (port reset_tdc_54 (direction INPUT)) - (port reset_tdc_fast_36_r24 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_11 "channel_debug_01_i_11(2:1)") 2) (direction INOUT)) + (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_fast_36_r19 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_37_r11 (direction INPUT)) + (port reset_tdc_fast_36_r1 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -354033,7 +354021,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIK4EQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI4SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -354124,13 +354112,13 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -354370,6 +354358,9 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -354651,9 +354642,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -354825,18 +354813,18 @@ (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) + ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) @@ -355045,7 +355033,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIK4EQ)) + (portRef A (instanceRef start_reg_RNI4SB81)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -355298,8 +355286,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -355505,8 +355493,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -356353,8 +356341,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIK4EQ (joined - (portRef Z (instanceRef start_reg_RNIK4EQ)) + (net start_reg_RNI4SB81 (joined + (portRef Z (instanceRef start_reg_RNI4SB81)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -356399,8 +356387,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_15_1 (joined - (portRef (member channel_debug_01_i_15 1)) + (net channel_debug_01_i_11_1 (joined + (portRef (member channel_debug_01_i_11 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -356443,7 +356431,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIK4EQ)) + (portRef B (instanceRef start_reg_RNI4SB81)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -356460,10 +356448,10 @@ (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_7)) - (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) + (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) @@ -356471,9 +356459,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_15_2 (joined + (net channel_debug_01_i_11_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_15 0)) + (portRef (member channel_debug_01_i_11 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -357036,7 +357024,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIK4EQ)) + (portRef C (instanceRef start_reg_RNI4SB81)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -357165,15 +357153,19 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_finished_4c)) + )) + (net reset_tdc_fast_36_r1 (joined + (portRef reset_tdc_fast_36_r1) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -357187,17 +357179,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) @@ -358637,18 +358629,20 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) + (net reset_tdc_fast_37_r11 (joined + (portRef reset_tdc_fast_37_r11) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -359086,13 +359080,13 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r24 (joined - (portRef reset_tdc_fast_36_r24) + (net reset_tdc_fast_36_r19 (joined + (portRef reset_tdc_fast_36_r19) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) - (portRef B (instanceRef BINARY_CODE_OUTd_6)) + (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) + (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) @@ -359111,22 +359105,22 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) - (net un3_binary_code_out_5 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_5)) - )) (net un3_binary_code_out_6 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) )) - (net un3_binary_code_out_7 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_7)) + (net un3_binary_code_out_5 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) )) + (net un3_binary_code_out_7 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_7)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -359658,9 +359652,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) - (portRef reset_tdc_54 (instanceRef ROM_Encoder_1)) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef reset_tdc_67 (instanceRef ROM_Encoder_1)) )) ) ) @@ -359670,10 +359664,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) - (port reset_tdc_53 (direction INPUT)) - (port reset_tdc_52 (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_66 (direction INPUT)) + (port reset_tdc_65 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -361829,8 +361823,81 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) (portRef CD (instanceRef FF_289)) (portRef CD (instanceRef FF_290)) (portRef CD (instanceRef FF_291)) @@ -361967,109 +362034,6 @@ (portRef S1 (instanceRef GEN_143_ADD)) (portRef D (instanceRef FF_287)) )) - (net reset_tdc_52 (joined - (portRef reset_tdc_52) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) - )) (net result_i_287 (joined (portRef Q (instanceRef FF_287)) (portRef (member result_i 16)) @@ -362654,6 +362618,108 @@ (portRef S0 (instanceRef GEN_107_ADD)) (portRef D (instanceRef FF_214)) )) + (net reset_tdc_65 (joined + (portRef reset_tdc_65) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + )) (net result_i_214 (joined (portRef Q (instanceRef FF_214)) (portRef (member result_i 89)) @@ -362870,109 +362936,6 @@ (portRef S1 (instanceRef GEN_93_ADD)) (portRef D (instanceRef FF_187)) )) - (net reset_tdc_53 (joined - (portRef reset_tdc_53) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - )) (net result_i_187 (joined (portRef Q (instanceRef FF_187)) (portRef (member result_i 116)) @@ -363549,6 +363512,108 @@ (portRef S1 (instanceRef GEN_57_ADD)) (portRef D (instanceRef FF_115)) )) + (net reset_tdc_66 (joined + (portRef reset_tdc_66) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + )) (net result_i_115 (joined (portRef Q (instanceRef FF_115)) (portRef (member result_i 188)) @@ -363773,98 +363838,6 @@ (portRef S1 (instanceRef GEN_43_ADD)) (portRef D (instanceRef FF_87)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - )) (net result_i_87 (joined (portRef Q (instanceRef FF_87)) (portRef (member result_i 216)) @@ -364433,6 +364406,27 @@ (portRef S0 (instanceRef GEN_8_ADD)) (portRef D (instanceRef FF_16)) )) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + )) (net result_i_16 (joined (portRef Q (instanceRef FF_16)) (portRef (member result_i 287)) @@ -365490,7 +365484,7 @@ (cell edge_to_pulse_0_13 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -365562,11 +365556,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_7_0 (joined + (net channel_debug_01_i_21_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_7 0)) + (portRef (member channel_debug_01_i_21 0)) )) (net VCC (joined (portRef VCC) @@ -365580,17 +365574,15 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(7:7)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(2:2)") 1) (direction INPUT)) - (port reset_i_15 (direction INPUT)) - (port reset_i_14 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(21:21)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(2:2)") 1) (direction INPUT)) + (port reset_i_8 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) - (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -366070,8 +366062,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_7_2 (joined - (portRef (member channel_debug_01_i_7 0)) + (net channel_debug_01_i_21_2 (joined + (portRef (member channel_debug_01_i_21 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -366114,7 +366106,11 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_7 (joined + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + )) + (net rd_en_i_21 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -366550,8 +366546,8 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -366840,8 +366836,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -367116,8 +367112,28 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_14 (joined - (portRef reset_i_14) + (net reset_i_8 (joined + (portRef reset_i_8) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) (portRef CD (instanceRef FF_41)) (portRef CD (instanceRef FF_72)) (portRef CD (instanceRef FF_73)) @@ -367370,29 +367386,6 @@ (portRef Q (instanceRef FF_40)) (portRef D (instanceRef FF_20)) )) - (net reset_i_15 (joined - (portRef reset_i_15) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - )) (net w_gcount_r2 (joined (portRef Q (instanceRef FF_39)) (portRef D (instanceRef FF_19)) @@ -367478,11 +367471,6 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - (portRef fifo_full_i) - )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -367923,12 +367911,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(2:1)") 2) (direction INOUT)) - (port reset_tdc_79 (direction INPUT)) - (port reset_tdc_fast_36_r12 (direction INPUT)) - (port reset_tdc_fast_37_r8 (direction INPUT)) - (port reset_tdc_fast_37_r7 (direction INPUT)) - (port reset_tdc_fast_36_r13 (direction INPUT)) + (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(2:1)") 2) (direction INOUT)) + (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_fast_36_r30 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -368297,7 +368284,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIGV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI6SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368342,10 +368329,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368379,16 +368366,16 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368397,7 +368384,7 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368631,7 +368618,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368845,10 +368835,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -368909,10 +368899,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -369309,7 +369296,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIGV5Q)) + (portRef A (instanceRef start_reg_RNI6SB81)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -369550,8 +369537,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -369562,8 +369549,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -369574,8 +369561,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -369736,8 +369723,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -369769,8 +369756,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -369802,8 +369789,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -370617,8 +370604,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIGV5Q (joined - (portRef Z (instanceRef start_reg_RNIGV5Q)) + (net start_reg_RNI6SB81 (joined + (portRef Z (instanceRef start_reg_RNI6SB81)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -370663,8 +370650,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_7_1 (joined - (portRef (member channel_debug_01_i_7 1)) + (net channel_debug_01_i_21_1 (joined + (portRef (member channel_debug_01_i_21 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -370707,7 +370694,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIGV5Q)) + (portRef B (instanceRef start_reg_RNI6SB81)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -370735,9 +370722,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_7_2 (joined + (net channel_debug_01_i_21_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_7 0)) + (portRef (member channel_debug_01_i_21 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -370893,8 +370880,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -371148,8 +371135,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -371300,7 +371287,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIGV5Q)) + (portRef C (instanceRef start_reg_RNI6SB81)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -371327,8 +371314,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -371399,14 +371386,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_16_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -371429,18 +371416,15 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r13 (joined - (portRef reset_tdc_fast_36_r13) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -371449,9 +371433,13 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) @@ -371461,9 +371449,9 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net interval_reg_41_14_am_16_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -372891,30 +372879,26 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r7 (joined - (portRef reset_tdc_fast_37_r7) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_37_r8 (joined - (portRef reset_tdc_fast_37_r8) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -373353,8 +373337,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r12 (joined - (portRef reset_tdc_fast_36_r12) + (net reset_tdc_fast_36_r30 (joined + (portRef reset_tdc_fast_36_r30) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -373925,9 +373909,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_79 (joined - (portRef reset_tdc_79) - (portRef reset_tdc_79 (instanceRef ROM_Encoder_1)) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) + (portRef reset_tdc_36 (instanceRef ROM_Encoder_1)) )) ) ) @@ -373937,10 +373921,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_79 (direction INPUT)) - (port reset_tdc_78 (direction INPUT)) - (port reset_tdc_77 (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_35 (direction INPUT)) + (port reset_tdc_34 (direction INPUT)) + (port reset_tdc_33 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -376096,19 +376080,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) + (net reset_tdc_33 (joined + (portRef reset_tdc_33) (portRef CD (instanceRef FF_259)) (portRef CD (instanceRef FF_260)) (portRef CD (instanceRef FF_261)) @@ -376515,106 +376488,8 @@ (portRef S1 (instanceRef GEN_128_ADD)) (portRef D (instanceRef FF_257)) )) - (net result_i_257 (joined - (portRef Q (instanceRef FF_257)) - (portRef (member result_i 46)) - )) - (net tsum_256 (joined - (portRef S0 (instanceRef GEN_128_ADD)) - (portRef D (instanceRef FF_256)) - )) - (net result_i_256 (joined - (portRef Q (instanceRef FF_256)) - (portRef (member result_i 47)) - )) - (net tsum_255 (joined - (portRef S1 (instanceRef GEN_127_ADD)) - (portRef D (instanceRef FF_255)) - )) - (net result_i_255 (joined - (portRef Q (instanceRef FF_255)) - (portRef (member result_i 48)) - )) - (net tsum_254 (joined - (portRef S0 (instanceRef GEN_127_ADD)) - (portRef D (instanceRef FF_254)) - )) - (net result_i_254 (joined - (portRef Q (instanceRef FF_254)) - (portRef (member result_i 49)) - )) - (net tsum_253 (joined - (portRef S1 (instanceRef GEN_126_ADD)) - (portRef D (instanceRef FF_253)) - )) - (net result_i_253 (joined - (portRef Q (instanceRef FF_253)) - (portRef (member result_i 50)) - )) - (net tsum_252 (joined - (portRef S0 (instanceRef GEN_126_ADD)) - (portRef D (instanceRef FF_252)) - )) - (net result_i_252 (joined - (portRef Q (instanceRef FF_252)) - (portRef (member result_i 51)) - )) - (net tsum_251 (joined - (portRef S1 (instanceRef GEN_125_ADD)) - (portRef D (instanceRef FF_251)) - )) - (net result_i_251 (joined - (portRef Q (instanceRef FF_251)) - (portRef (member result_i 52)) - )) - (net tsum_250 (joined - (portRef S0 (instanceRef GEN_125_ADD)) - (portRef D (instanceRef FF_250)) - )) - (net result_i_250 (joined - (portRef Q (instanceRef FF_250)) - (portRef (member result_i 53)) - )) - (net tsum_249 (joined - (portRef S1 (instanceRef GEN_124_ADD)) - (portRef D (instanceRef FF_249)) - )) - (net result_i_249 (joined - (portRef Q (instanceRef FF_249)) - (portRef (member result_i 54)) - )) - (net tsum_248 (joined - (portRef S0 (instanceRef GEN_124_ADD)) - (portRef D (instanceRef FF_248)) - )) - (net result_i_248 (joined - (portRef Q (instanceRef FF_248)) - (portRef (member result_i 55)) - )) - (net tsum_247 (joined - (portRef S1 (instanceRef GEN_123_ADD)) - (portRef D (instanceRef FF_247)) - )) - (net result_i_247 (joined - (portRef Q (instanceRef FF_247)) - (portRef (member result_i 56)) - )) - (net tsum_246 (joined - (portRef S0 (instanceRef GEN_123_ADD)) - (portRef D (instanceRef FF_246)) - )) - (net reset_tdc_77 (joined - (portRef reset_tdc_77) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) + (net reset_tdc_34 (joined + (portRef reset_tdc_34) (portRef CD (instanceRef FF_159)) (portRef CD (instanceRef FF_160)) (portRef CD (instanceRef FF_161)) @@ -376704,6 +376579,105 @@ (portRef CD (instanceRef FF_245)) (portRef CD (instanceRef FF_246)) (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + )) + (net result_i_257 (joined + (portRef Q (instanceRef FF_257)) + (portRef (member result_i 46)) + )) + (net tsum_256 (joined + (portRef S0 (instanceRef GEN_128_ADD)) + (portRef D (instanceRef FF_256)) + )) + (net result_i_256 (joined + (portRef Q (instanceRef FF_256)) + (portRef (member result_i 47)) + )) + (net tsum_255 (joined + (portRef S1 (instanceRef GEN_127_ADD)) + (portRef D (instanceRef FF_255)) + )) + (net result_i_255 (joined + (portRef Q (instanceRef FF_255)) + (portRef (member result_i 48)) + )) + (net tsum_254 (joined + (portRef S0 (instanceRef GEN_127_ADD)) + (portRef D (instanceRef FF_254)) + )) + (net result_i_254 (joined + (portRef Q (instanceRef FF_254)) + (portRef (member result_i 49)) + )) + (net tsum_253 (joined + (portRef S1 (instanceRef GEN_126_ADD)) + (portRef D (instanceRef FF_253)) + )) + (net result_i_253 (joined + (portRef Q (instanceRef FF_253)) + (portRef (member result_i 50)) + )) + (net tsum_252 (joined + (portRef S0 (instanceRef GEN_126_ADD)) + (portRef D (instanceRef FF_252)) + )) + (net result_i_252 (joined + (portRef Q (instanceRef FF_252)) + (portRef (member result_i 51)) + )) + (net tsum_251 (joined + (portRef S1 (instanceRef GEN_125_ADD)) + (portRef D (instanceRef FF_251)) + )) + (net result_i_251 (joined + (portRef Q (instanceRef FF_251)) + (portRef (member result_i 52)) + )) + (net tsum_250 (joined + (portRef S0 (instanceRef GEN_125_ADD)) + (portRef D (instanceRef FF_250)) + )) + (net result_i_250 (joined + (portRef Q (instanceRef FF_250)) + (portRef (member result_i 53)) + )) + (net tsum_249 (joined + (portRef S1 (instanceRef GEN_124_ADD)) + (portRef D (instanceRef FF_249)) + )) + (net result_i_249 (joined + (portRef Q (instanceRef FF_249)) + (portRef (member result_i 54)) + )) + (net tsum_248 (joined + (portRef S0 (instanceRef GEN_124_ADD)) + (portRef D (instanceRef FF_248)) + )) + (net result_i_248 (joined + (portRef Q (instanceRef FF_248)) + (portRef (member result_i 55)) + )) + (net tsum_247 (joined + (portRef S1 (instanceRef GEN_123_ADD)) + (portRef D (instanceRef FF_247)) + )) + (net result_i_247 (joined + (portRef Q (instanceRef FF_247)) + (portRef (member result_i 56)) + )) + (net tsum_246 (joined + (portRef S0 (instanceRef GEN_123_ADD)) + (portRef D (instanceRef FF_246)) )) (net result_i_246 (joined (portRef Q (instanceRef FF_246)) @@ -377417,97 +377391,8 @@ (portRef S1 (instanceRef GEN_78_ADD)) (portRef D (instanceRef FF_157)) )) - (net result_i_157 (joined - (portRef Q (instanceRef FF_157)) - (portRef (member result_i 146)) - )) - (net tsum_156 (joined - (portRef S0 (instanceRef GEN_78_ADD)) - (portRef D (instanceRef FF_156)) - )) - (net result_i_156 (joined - (portRef Q (instanceRef FF_156)) - (portRef (member result_i 147)) - )) - (net tsum_155 (joined - (portRef S1 (instanceRef GEN_77_ADD)) - (portRef D (instanceRef FF_155)) - )) - (net result_i_155 (joined - (portRef Q (instanceRef FF_155)) - (portRef (member result_i 148)) - )) - (net tsum_154 (joined - (portRef S0 (instanceRef GEN_77_ADD)) - (portRef D (instanceRef FF_154)) - )) - (net result_i_154 (joined - (portRef Q (instanceRef FF_154)) - (portRef (member result_i 149)) - )) - (net tsum_153 (joined - (portRef S1 (instanceRef GEN_76_ADD)) - (portRef D (instanceRef FF_153)) - )) - (net result_i_153 (joined - (portRef Q (instanceRef FF_153)) - (portRef (member result_i 150)) - )) - (net tsum_152 (joined - (portRef S0 (instanceRef GEN_76_ADD)) - (portRef D (instanceRef FF_152)) - )) - (net result_i_152 (joined - (portRef Q (instanceRef FF_152)) - (portRef (member result_i 151)) - )) - (net tsum_151 (joined - (portRef S1 (instanceRef GEN_75_ADD)) - (portRef D (instanceRef FF_151)) - )) - (net result_i_151 (joined - (portRef Q (instanceRef FF_151)) - (portRef (member result_i 152)) - )) - (net tsum_150 (joined - (portRef S0 (instanceRef GEN_75_ADD)) - (portRef D (instanceRef FF_150)) - )) - (net result_i_150 (joined - (portRef Q (instanceRef FF_150)) - (portRef (member result_i 153)) - )) - (net tsum_149 (joined - (portRef S1 (instanceRef GEN_74_ADD)) - (portRef D (instanceRef FF_149)) - )) - (net result_i_149 (joined - (portRef Q (instanceRef FF_149)) - (portRef (member result_i 154)) - )) - (net tsum_148 (joined - (portRef S0 (instanceRef GEN_74_ADD)) - (portRef D (instanceRef FF_148)) - )) - (net result_i_148 (joined - (portRef Q (instanceRef FF_148)) - (portRef (member result_i 155)) - )) - (net tsum_147 (joined - (portRef S1 (instanceRef GEN_73_ADD)) - (portRef D (instanceRef FF_147)) - )) - (net reset_tdc_78 (joined - (portRef reset_tdc_78) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) + (net reset_tdc_35 (joined + (portRef reset_tdc_35) (portRef CD (instanceRef FF_59)) (portRef CD (instanceRef FF_60)) (portRef CD (instanceRef FF_61)) @@ -377598,6 +377483,96 @@ (portRef CD (instanceRef FF_146)) (portRef CD (instanceRef FF_147)) (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + )) + (net result_i_157 (joined + (portRef Q (instanceRef FF_157)) + (portRef (member result_i 146)) + )) + (net tsum_156 (joined + (portRef S0 (instanceRef GEN_78_ADD)) + (portRef D (instanceRef FF_156)) + )) + (net result_i_156 (joined + (portRef Q (instanceRef FF_156)) + (portRef (member result_i 147)) + )) + (net tsum_155 (joined + (portRef S1 (instanceRef GEN_77_ADD)) + (portRef D (instanceRef FF_155)) + )) + (net result_i_155 (joined + (portRef Q (instanceRef FF_155)) + (portRef (member result_i 148)) + )) + (net tsum_154 (joined + (portRef S0 (instanceRef GEN_77_ADD)) + (portRef D (instanceRef FF_154)) + )) + (net result_i_154 (joined + (portRef Q (instanceRef FF_154)) + (portRef (member result_i 149)) + )) + (net tsum_153 (joined + (portRef S1 (instanceRef GEN_76_ADD)) + (portRef D (instanceRef FF_153)) + )) + (net result_i_153 (joined + (portRef Q (instanceRef FF_153)) + (portRef (member result_i 150)) + )) + (net tsum_152 (joined + (portRef S0 (instanceRef GEN_76_ADD)) + (portRef D (instanceRef FF_152)) + )) + (net result_i_152 (joined + (portRef Q (instanceRef FF_152)) + (portRef (member result_i 151)) + )) + (net tsum_151 (joined + (portRef S1 (instanceRef GEN_75_ADD)) + (portRef D (instanceRef FF_151)) + )) + (net result_i_151 (joined + (portRef Q (instanceRef FF_151)) + (portRef (member result_i 152)) + )) + (net tsum_150 (joined + (portRef S0 (instanceRef GEN_75_ADD)) + (portRef D (instanceRef FF_150)) + )) + (net result_i_150 (joined + (portRef Q (instanceRef FF_150)) + (portRef (member result_i 153)) + )) + (net tsum_149 (joined + (portRef S1 (instanceRef GEN_74_ADD)) + (portRef D (instanceRef FF_149)) + )) + (net result_i_149 (joined + (portRef Q (instanceRef FF_149)) + (portRef (member result_i 154)) + )) + (net tsum_148 (joined + (portRef S0 (instanceRef GEN_74_ADD)) + (portRef D (instanceRef FF_148)) + )) + (net result_i_148 (joined + (portRef Q (instanceRef FF_148)) + (portRef (member result_i 155)) + )) + (net tsum_147 (joined + (portRef S1 (instanceRef GEN_73_ADD)) + (portRef D (instanceRef FF_147)) )) (net result_i_147 (joined (portRef Q (instanceRef FF_147)) @@ -378319,80 +378294,8 @@ (portRef S1 (instanceRef GEN_28_ADD)) (portRef D (instanceRef FF_57)) )) - (net result_i_57 (joined - (portRef Q (instanceRef FF_57)) - (portRef (member result_i 246)) - )) - (net tsum_56 (joined - (portRef S0 (instanceRef GEN_28_ADD)) - (portRef D (instanceRef FF_56)) - )) - (net result_i_56 (joined - (portRef Q (instanceRef FF_56)) - (portRef (member result_i 247)) - )) - (net tsum_55 (joined - (portRef S1 (instanceRef GEN_27_ADD)) - (portRef D (instanceRef FF_55)) - )) - (net result_i_55 (joined - (portRef Q (instanceRef FF_55)) - (portRef (member result_i 248)) - )) - (net tsum_54 (joined - (portRef S0 (instanceRef GEN_27_ADD)) - (portRef D (instanceRef FF_54)) - )) - (net result_i_54 (joined - (portRef Q (instanceRef FF_54)) - (portRef (member result_i 249)) - )) - (net tsum_53 (joined - (portRef S1 (instanceRef GEN_26_ADD)) - (portRef D (instanceRef FF_53)) - )) - (net result_i_53 (joined - (portRef Q (instanceRef FF_53)) - (portRef (member result_i 250)) - )) - (net tsum_52 (joined - (portRef S0 (instanceRef GEN_26_ADD)) - (portRef D (instanceRef FF_52)) - )) - (net result_i_52 (joined - (portRef Q (instanceRef FF_52)) - (portRef (member result_i 251)) - )) - (net tsum_51 (joined - (portRef S1 (instanceRef GEN_25_ADD)) - (portRef D (instanceRef FF_51)) - )) - (net result_i_51 (joined - (portRef Q (instanceRef FF_51)) - (portRef (member result_i 252)) - )) - (net tsum_50 (joined - (portRef S0 (instanceRef GEN_25_ADD)) - (portRef D (instanceRef FF_50)) - )) - (net result_i_50 (joined - (portRef Q (instanceRef FF_50)) - (portRef (member result_i 253)) - )) - (net tsum_49 (joined - (portRef S1 (instanceRef GEN_24_ADD)) - (portRef D (instanceRef FF_49)) - )) - (net result_i_49 (joined - (portRef Q (instanceRef FF_49)) - (portRef (member result_i 254)) - )) - (net tsum_48 (joined - (portRef S0 (instanceRef GEN_24_ADD)) - (portRef D (instanceRef FF_48)) - )) - (net reset_tdc_79 (joined - (portRef reset_tdc_79) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -378443,6 +378346,87 @@ (portRef CD (instanceRef FF_47)) (portRef CD (instanceRef FF_48)) (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + )) + (net result_i_57 (joined + (portRef Q (instanceRef FF_57)) + (portRef (member result_i 246)) + )) + (net tsum_56 (joined + (portRef S0 (instanceRef GEN_28_ADD)) + (portRef D (instanceRef FF_56)) + )) + (net result_i_56 (joined + (portRef Q (instanceRef FF_56)) + (portRef (member result_i 247)) + )) + (net tsum_55 (joined + (portRef S1 (instanceRef GEN_27_ADD)) + (portRef D (instanceRef FF_55)) + )) + (net result_i_55 (joined + (portRef Q (instanceRef FF_55)) + (portRef (member result_i 248)) + )) + (net tsum_54 (joined + (portRef S0 (instanceRef GEN_27_ADD)) + (portRef D (instanceRef FF_54)) + )) + (net result_i_54 (joined + (portRef Q (instanceRef FF_54)) + (portRef (member result_i 249)) + )) + (net tsum_53 (joined + (portRef S1 (instanceRef GEN_26_ADD)) + (portRef D (instanceRef FF_53)) + )) + (net result_i_53 (joined + (portRef Q (instanceRef FF_53)) + (portRef (member result_i 250)) + )) + (net tsum_52 (joined + (portRef S0 (instanceRef GEN_26_ADD)) + (portRef D (instanceRef FF_52)) + )) + (net result_i_52 (joined + (portRef Q (instanceRef FF_52)) + (portRef (member result_i 251)) + )) + (net tsum_51 (joined + (portRef S1 (instanceRef GEN_25_ADD)) + (portRef D (instanceRef FF_51)) + )) + (net result_i_51 (joined + (portRef Q (instanceRef FF_51)) + (portRef (member result_i 252)) + )) + (net tsum_50 (joined + (portRef S0 (instanceRef GEN_25_ADD)) + (portRef D (instanceRef FF_50)) + )) + (net result_i_50 (joined + (portRef Q (instanceRef FF_50)) + (portRef (member result_i 253)) + )) + (net tsum_49 (joined + (portRef S1 (instanceRef GEN_24_ADD)) + (portRef D (instanceRef FF_49)) + )) + (net result_i_49 (joined + (portRef Q (instanceRef FF_49)) + (portRef (member result_i 254)) + )) + (net tsum_48 (joined + (portRef S0 (instanceRef GEN_24_ADD)) + (portRef D (instanceRef FF_48)) )) (net result_i_48 (joined (portRef Q (instanceRef FF_48)) @@ -379754,3143 +379738,836 @@ ) ) ) - (cell signal_sync_24_3_38 (cellType GENERIC) + (cell edge_to_pulse_0_12 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename measurement_cntr "measurement_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(631:608)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) + (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) + (port GND (direction INPUT)) + (port G_1690_Q (direction INPUT)) + (port G_1693_Q (direction INPUT)) + (port sync_q_and_11 (direction INPUT)) + (port G_1695_Q (direction INPUT)) ) (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance signal_sync_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C A+C B))")) ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_state_1__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance signal_sync (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance current_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member measurement_cntr 23)) - ) + (instance current_state_1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member measurement_cntr 22)) - ) + (instance current_statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) + (net G_1695_Q (joined + (portRef G_1695_Q) + (portRef A (instanceRef signal_sync_RNO)) + )) + (net sync_q_and_11 (joined + (portRef sync_q_and_11) + (portRef B (instanceRef signal_sync_RNO)) + )) + (net G_1693_Q (joined + (portRef G_1693_Q) + (portRef C (instanceRef signal_sync_RNO)) + )) + (net G_1690_Q (joined + (portRef G_1690_Q) + (portRef D (instanceRef signal_sync_RNO)) + )) + (net sync_q_3 (joined + (portRef Z (instanceRef signal_sync_RNO)) + (portRef D (instanceRef signal_sync)) + )) + (net current_state_1 (joined + (portRef Q (instanceRef current_state_1)) + (portRef A (instanceRef current_statec)) + (portRef A (instanceRef current_state_1__fb)) + )) + (net signal_sync (joined + (portRef Q (instanceRef signal_sync)) + (portRef B (instanceRef current_statec)) + (portRef B (instanceRef current_state_1__fb)) + )) + (net GND (joined + (portRef GND) + )) + (net fb (joined + (portRef Z (instanceRef current_state_1__fb)) + (portRef PD (instanceRef current_state_1)) + )) + (net current_statec (joined + (portRef Z (instanceRef current_statec)) + (portRef D (instanceRef current_state_0)) + )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) + (portRef CK (instanceRef current_state_1)) + (portRef CK (instanceRef current_state_0)) + (portRef CK (instanceRef signal_sync)) )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) + (net channel_debug_01_i_17_0 (joined + (portRef Q (instanceRef current_state_0)) + (portRef D (instanceRef current_state_1)) + (portRef CD (instanceRef current_state_0)) + (portRef (member channel_debug_01_i_17 0)) )) (net VCC (joined (portRef VCC) )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member measurement_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member measurement_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member measurement_cntr 19)) - ) + ) + ) + ) + (cell FIFO_32x512_OutReg_13 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) + (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) + (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(17:17)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(2:2)") 1) (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + (port VCC (direction INPUT)) + (port GND (direction INPUT)) + (port reset_i_rep2_1 (direction INPUT)) + (port fifo_empty_i (direction OUTPUT)) + ) + (contents + (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member measurement_cntr 18)) - ) + (instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member measurement_cntr 17)) - ) + (instance AND2_t19 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member measurement_cntr 16)) - ) + (instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member measurement_cntr 15)) - ) + (instance OR2_t18 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT))) ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member measurement_cntr 14)) - ) + (instance XOR2_t17 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member measurement_cntr 13)) - ) + (instance XOR2_t16 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member measurement_cntr 12)) - ) + (instance XOR2_t15 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member measurement_cntr 11)) - ) + (instance XOR2_t14 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member measurement_cntr 10)) - ) + (instance XOR2_t13 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member measurement_cntr 9)) - ) + (instance XOR2_t12 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member measurement_cntr 8)) - ) + (instance XOR2_t11 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member measurement_cntr 7)) - ) + (instance XOR2_t10 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member measurement_cntr 6)) - ) + (instance XOR2_t9 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member measurement_cntr 5)) - ) + (instance XOR2_t8 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member measurement_cntr 4)) - ) + (instance XOR2_t7 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member measurement_cntr 3)) - ) + (instance XOR2_t6 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member measurement_cntr 2)) - ) + (instance XOR2_t5 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member measurement_cntr 1)) - ) + (instance XOR2_t4 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member measurement_cntr 0)) - ) + (instance XOR2_t3 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) + (instance XOR2_t2 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) + (instance XOR2_t1 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) + (instance XOR2_t0 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) + (instance LUT4_23 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) + (instance LUT4_22 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) + (instance LUT4_21 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) + (instance LUT4_20 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) + (instance LUT4_19 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) + (instance LUT4_18 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) + (instance LUT4_17 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) + (instance LUT4_16 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) + (instance LUT4_15 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) + (instance LUT4_14 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) + (instance LUT4_13 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) + (instance LUT4_12 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) + (instance LUT4_11 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) + (instance LUT4_10 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) + (instance LUT4_9 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) + (instance LUT4_8 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) + (instance LUT4_7 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) + (instance LUT4_6 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) + (instance LUT4_5 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) + (instance LUT4_4 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x6996")) ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) + (instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x0410")) ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) + (instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x1004")) ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) + (instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x0140")) ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) + (instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) + (property INITVAL (string "0x4001")) ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) + (instance pdp_ram_0_0_0 (viewRef PRIM (cellRef PDPW16KC (libraryRef LUCENT))) + (property DATA_WIDTH_W (integer 36)) + (property DATA_WIDTH_R (integer 36)) + (property REGMODE (string "OUTREG")) + (property CSDECODE_W (string "0b001")) + (property CSDECODE_R (string "0b001")) + (property GSR (string "DISABLED")) + (property RESETMODE (string "SYNC")) + (property MEM_INIT_FILE (string "")) + (property MEM_LPC_FILE (string "FIFO_32x512_OutReg.lpc")) ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) + (instance FF_101 (viewRef PRIM (cellRef FD1P3BX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) + (instance FF_100 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) + (instance FF_99 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) + (instance FF_98 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) + (instance FF_97 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) + (instance FF_96 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) + (instance FF_95 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) + (instance FF_94 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) + (instance FF_93 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) + (instance FF_92 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) + (instance FF_91 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) + (instance FF_90 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) + (instance FF_89 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) + (instance FF_88 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) + (instance FF_87 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) + (instance FF_86 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) + (instance FF_85 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) + (instance FF_84 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) + (instance FF_83 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) + (instance FF_82 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - ) - ) - ) - (cell signal_sync_24_3_37 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename encoder_start_cntr "encoder_start_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(663:640)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_81 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_80 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_79 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_78 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_77 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_76 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_75 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_74 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_73 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_72 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_71 (viewRef PRIM (cellRef FD1P3BX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_70 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_69 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_68 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_67 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_66 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_65 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_64 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_63 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_62 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_61 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_60 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_59 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_58 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_57 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_56 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_55 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_54 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_53 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_52 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_51 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_50 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_49 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_48 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_47 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_46 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_45 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_44 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_43 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_42 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_41 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_40 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_39 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_38 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_37 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_36 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_35 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_34 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_33 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_32 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_31 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_30 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_29 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_28 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_27 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_26 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_25 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_24 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_23 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_22 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_21 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_20 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_19 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_18 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_17 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_16 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_15 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_14 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_13 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_12 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_11 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FF_10 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member encoder_start_cntr 23)) - ) + (instance FF_9 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member encoder_start_cntr 22)) - ) + (instance FF_8 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) - )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) - )) - (net VCC (joined - (portRef VCC) - )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member encoder_start_cntr 21)) - ) + (instance FF_7 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member encoder_start_cntr 20)) - ) + (instance FF_6 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member encoder_start_cntr 19)) - ) + (instance FF_5 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member encoder_start_cntr 18)) - ) + (instance FF_4 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member encoder_start_cntr 17)) - ) + (instance FF_3 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member encoder_start_cntr 16)) - ) + (instance FF_2 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member encoder_start_cntr 15)) - ) + (instance FF_1 (viewRef PRIM (cellRef FD1S3BX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member encoder_start_cntr 14)) - ) + (instance FF_0 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) + (property GSR (string "ENABLED")) ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member encoder_start_cntr 13)) - ) + (instance w_gctr_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member encoder_start_cntr 12)) - ) + (instance w_gctr_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member encoder_start_cntr 11)) - ) + (instance w_gctr_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member encoder_start_cntr 10)) - ) + (instance w_gctr_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member encoder_start_cntr 9)) - ) + (instance w_gctr_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member encoder_start_cntr 8)) - ) + (instance w_gctr_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member encoder_start_cntr 7)) - ) + (instance r_gctr_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member encoder_start_cntr 6)) - ) + (instance r_gctr_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member encoder_start_cntr 5)) - ) + (instance r_gctr_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member encoder_start_cntr 4)) - ) + (instance r_gctr_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member encoder_start_cntr 3)) - ) + (instance r_gctr_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member encoder_start_cntr 2)) - ) + (instance r_gctr_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member encoder_start_cntr 1)) - ) + (instance empty_cmp_ci_a (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member encoder_start_cntr 0)) - ) + (instance a0 (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) + (instance full_cmp_ci_a (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) + (instance a1 (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (instance full_cmp_4 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance full_cmp_3 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance full_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance full_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance full_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_4 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_3 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) + (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) + (net channel_debug_01_i_17_2 (joined + (portRef (member channel_debug_01_i_17 0)) + (portRef A (instanceRef AND2_t20)) )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (net invout_1 (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t20)) )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (net wren_i (joined + (portRef Z (instanceRef AND2_t20)) + (portRef B1 (instanceRef full_cmp_ci_a)) + (portRef A1 (instanceRef full_cmp_ci_a)) + (portRef SP (instanceRef FF_72)) + (portRef SP (instanceRef FF_73)) + (portRef SP (instanceRef FF_74)) + (portRef SP (instanceRef FF_75)) + (portRef SP (instanceRef FF_76)) + (portRef SP (instanceRef FF_77)) + (portRef SP (instanceRef FF_78)) + (portRef SP (instanceRef FF_79)) + (portRef SP (instanceRef FF_80)) + (portRef SP (instanceRef FF_81)) + (portRef SP (instanceRef FF_82)) + (portRef SP (instanceRef FF_83)) + (portRef SP (instanceRef FF_84)) + (portRef SP (instanceRef FF_85)) + (portRef SP (instanceRef FF_86)) + (portRef SP (instanceRef FF_87)) + (portRef SP (instanceRef FF_88)) + (portRef SP (instanceRef FF_89)) + (portRef SP (instanceRef FF_90)) + (portRef SP (instanceRef FF_91)) + (portRef SP (instanceRef FF_92)) + (portRef SP (instanceRef FF_93)) + (portRef SP (instanceRef FF_94)) + (portRef SP (instanceRef FF_95)) + (portRef SP (instanceRef FF_96)) + (portRef SP (instanceRef FF_97)) + (portRef SP (instanceRef FF_98)) + (portRef SP (instanceRef FF_99)) + (portRef SP (instanceRef FF_100)) + (portRef SP (instanceRef FF_101)) + (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (net rd_en_i_17 (joined + (portRef (member rd_en_i 0)) + (portRef A (instanceRef AND2_t19)) )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (net invout_0 (joined + (portRef Z (instanceRef INV_0)) + (portRef B (instanceRef AND2_t19)) )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (net rden_i (joined + (portRef Z (instanceRef AND2_t19)) + (portRef B1 (instanceRef empty_cmp_ci_a)) + (portRef A1 (instanceRef empty_cmp_ci_a)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_63)) + (portRef SP (instanceRef FF_64)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_68)) + (portRef SP (instanceRef FF_69)) + (portRef SP (instanceRef FF_70)) + (portRef SP (instanceRef FF_71)) + (portRef CSR0 (instanceRef pdp_ram_0_0_0)) )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (net reset_i_rep2_1 (joined + (portRef reset_i_rep2_1) + (portRef B (instanceRef OR2_t18)) + (portRef A (instanceRef OR2_t18)) )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (net rRst (joined + (portRef Z (instanceRef OR2_t18)) + (portRef PD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef PD (instanceRef FF_71)) )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (net wcount_0 (joined + (portRef Q (instanceRef FF_101)) + (portRef A0 (instanceRef full_cmp_0)) + (portRef PC0 (instanceRef w_gctr_0)) + (portRef D (instanceRef FF_81)) + (portRef A (instanceRef XOR2_t17)) )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (net wcount_1 (joined + (portRef Q (instanceRef FF_100)) + (portRef A1 (instanceRef full_cmp_0)) + (portRef PC1 (instanceRef w_gctr_0)) + (portRef D (instanceRef FF_80)) + (portRef A (instanceRef XOR2_t16)) + (portRef B (instanceRef XOR2_t17)) )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (net w_gdata_0 (joined + (portRef Z (instanceRef XOR2_t17)) + (portRef D (instanceRef FF_91)) )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (net wcount_2 (joined + (portRef Q (instanceRef FF_99)) + (portRef A0 (instanceRef full_cmp_1)) + (portRef PC0 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_79)) + (portRef A (instanceRef XOR2_t15)) + (portRef B (instanceRef XOR2_t16)) )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (net w_gdata_1 (joined + (portRef Z (instanceRef XOR2_t16)) + (portRef D (instanceRef FF_90)) )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (net wcount_3 (joined + (portRef Q (instanceRef FF_98)) + (portRef A1 (instanceRef full_cmp_1)) + (portRef PC1 (instanceRef w_gctr_1)) + (portRef D (instanceRef FF_78)) + (portRef A (instanceRef XOR2_t14)) + (portRef B (instanceRef XOR2_t15)) )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (net w_gdata_2 (joined + (portRef Z (instanceRef XOR2_t15)) + (portRef D (instanceRef FF_89)) )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (net wcount_4 (joined + (portRef Q (instanceRef FF_97)) + (portRef A0 (instanceRef full_cmp_2)) + (portRef PC0 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_77)) + (portRef A (instanceRef XOR2_t13)) + (portRef B (instanceRef XOR2_t14)) )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (net w_gdata_3 (joined + (portRef Z (instanceRef XOR2_t14)) + (portRef D (instanceRef FF_88)) )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (net wcount_5 (joined + (portRef Q (instanceRef FF_96)) + (portRef A1 (instanceRef full_cmp_2)) + (portRef PC1 (instanceRef w_gctr_2)) + (portRef D (instanceRef FF_76)) + (portRef A (instanceRef XOR2_t12)) + (portRef B (instanceRef XOR2_t13)) )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (net w_gdata_4 (joined + (portRef Z (instanceRef XOR2_t13)) + (portRef D (instanceRef FF_87)) )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (net wcount_6 (joined + (portRef Q (instanceRef FF_95)) + (portRef A0 (instanceRef full_cmp_3)) + (portRef PC0 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_75)) + (portRef A (instanceRef XOR2_t11)) + (portRef B (instanceRef XOR2_t12)) )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (net w_gdata_5 (joined + (portRef Z (instanceRef XOR2_t12)) + (portRef D (instanceRef FF_86)) )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (net wcount_7 (joined + (portRef Q (instanceRef FF_94)) + (portRef A1 (instanceRef full_cmp_3)) + (portRef PC1 (instanceRef w_gctr_3)) + (portRef D (instanceRef FF_74)) + (portRef A (instanceRef XOR2_t10)) + (portRef B (instanceRef XOR2_t11)) )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (net w_gdata_6 (joined + (portRef Z (instanceRef XOR2_t11)) + (portRef D (instanceRef FF_85)) )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - ) - ) - ) - (cell signal_sync_24_3_36 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename lost_hit_cntr "lost_hit_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(599:576)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member lost_hit_cntr 23)) - ) - ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member lost_hit_cntr 22)) - ) - ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) - )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) - )) - (net VCC (joined - (portRef VCC) - )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member lost_hit_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member lost_hit_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member lost_hit_cntr 19)) - ) - ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member lost_hit_cntr 18)) - ) - ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member lost_hit_cntr 17)) - ) - ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member lost_hit_cntr 16)) - ) - ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member lost_hit_cntr 15)) - ) - ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member lost_hit_cntr 14)) - ) - ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member lost_hit_cntr 13)) - ) - ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member lost_hit_cntr 12)) - ) - ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member lost_hit_cntr 11)) - ) - ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member lost_hit_cntr 10)) - ) - ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member lost_hit_cntr 9)) - ) - ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member lost_hit_cntr 8)) - ) - ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member lost_hit_cntr 7)) - ) - ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member lost_hit_cntr 6)) - ) - ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member lost_hit_cntr 5)) - ) - ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member lost_hit_cntr 4)) - ) - ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member lost_hit_cntr 3)) - ) - ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member lost_hit_cntr 2)) - ) - ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member lost_hit_cntr 1)) - ) - ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member lost_hit_cntr 0)) - ) - ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) - ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) - ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - ) - ) - ) - (cell edge_to_pulse_0_12 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(0:0)") 1) (direction OUTPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - (port GND (direction INPUT)) - (port G_1690_Q (direction INPUT)) - (port G_1693_Q (direction INPUT)) - (port sync_q_and_11 (direction INPUT)) - (port G_1695_Q (direction INPUT)) - ) - (contents - (instance signal_sync_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C A+C B))")) - ) - (instance current_state_1__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance signal_sync (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) - (instance current_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance current_state_1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) - ) - (instance current_statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (net G_1695_Q (joined - (portRef G_1695_Q) - (portRef A (instanceRef signal_sync_RNO)) - )) - (net sync_q_and_11 (joined - (portRef sync_q_and_11) - (portRef B (instanceRef signal_sync_RNO)) - )) - (net G_1693_Q (joined - (portRef G_1693_Q) - (portRef C (instanceRef signal_sync_RNO)) - )) - (net G_1690_Q (joined - (portRef G_1690_Q) - (portRef D (instanceRef signal_sync_RNO)) - )) - (net sync_q_3 (joined - (portRef Z (instanceRef signal_sync_RNO)) - (portRef D (instanceRef signal_sync)) - )) - (net current_state_1 (joined - (portRef Q (instanceRef current_state_1)) - (portRef A (instanceRef current_statec)) - (portRef A (instanceRef current_state_1__fb)) - )) - (net signal_sync (joined - (portRef Q (instanceRef signal_sync)) - (portRef B (instanceRef current_statec)) - (portRef B (instanceRef current_state_1__fb)) - )) - (net GND (joined - (portRef GND) - )) - (net fb (joined - (portRef Z (instanceRef current_state_1__fb)) - (portRef PD (instanceRef current_state_1)) - )) - (net current_statec (joined - (portRef Z (instanceRef current_statec)) - (portRef D (instanceRef current_state_0)) - )) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef current_state_1)) - (portRef CK (instanceRef current_state_0)) - (portRef CK (instanceRef signal_sync)) - )) - (net channel_debug_01_i_2_0 (joined - (portRef Q (instanceRef current_state_0)) - (portRef D (instanceRef current_state_1)) - (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_2 0)) - )) - (net VCC (joined - (portRef VCC) - )) - ) - ) - ) - (cell FIFO_32x512_OutReg_13 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) - (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) - (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(2:2)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(2:2)") 1) (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - (port VCC (direction INPUT)) - (port GND (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) - (port fifo_empty_i (direction OUTPUT)) - (port fifo_full_i (direction OUTPUT)) - ) - (contents - (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) - ) - (instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) - ) - (instance AND2_t19 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) - ) - (instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) - ) - (instance OR2_t18 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT))) - ) - (instance XOR2_t17 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t16 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t15 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t14 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t13 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t12 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t11 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t10 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t9 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t8 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t7 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t6 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t5 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t4 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t3 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t2 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t1 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance XOR2_t0 (viewRef PRIM (cellRef XOR2 (libraryRef LUCENT))) - ) - (instance LUT4_23 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_22 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_21 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_20 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_19 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_18 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_17 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_16 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_15 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_14 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_13 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_12 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_11 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_10 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_9 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_8 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_7 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_6 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_5 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_4 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x6996")) - ) - (instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x0410")) - ) - (instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x1004")) - ) - (instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x0140")) - ) - (instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT))) - (property INITVAL (string "0x4001")) - ) - (instance pdp_ram_0_0_0 (viewRef PRIM (cellRef PDPW16KC (libraryRef LUCENT))) - (property DATA_WIDTH_W (integer 36)) - (property DATA_WIDTH_R (integer 36)) - (property REGMODE (string "OUTREG")) - (property CSDECODE_W (string "0b001")) - (property CSDECODE_R (string "0b001")) - (property GSR (string "DISABLED")) - (property RESETMODE (string "SYNC")) - (property MEM_INIT_FILE (string "")) - (property MEM_LPC_FILE (string "FIFO_32x512_OutReg.lpc")) - ) - (instance FF_101 (viewRef PRIM (cellRef FD1P3BX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_100 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_99 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_98 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_97 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_96 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_95 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_94 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_93 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_92 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_91 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_90 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_89 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_88 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_87 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_86 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_85 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_84 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_83 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_82 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_81 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_80 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_79 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_78 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_77 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_76 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_75 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_74 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_73 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_72 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_71 (viewRef PRIM (cellRef FD1P3BX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_70 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_69 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_68 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_67 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_66 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_65 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_64 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_63 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_62 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_61 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_60 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_59 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_58 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_57 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_56 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_55 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_54 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_53 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_52 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_51 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_50 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_49 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_48 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_47 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_46 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_45 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_44 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_43 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_42 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_41 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_40 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_39 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_38 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_37 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_36 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_35 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_34 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_33 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_32 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_31 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_30 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_29 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_28 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_27 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_26 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_25 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_24 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_23 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_22 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_21 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_20 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_19 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_18 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_17 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_16 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_15 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_14 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_13 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_12 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_11 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_10 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_9 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_8 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_7 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_6 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_5 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_4 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_3 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_2 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_1 (viewRef PRIM (cellRef FD1S3BX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance FF_0 (viewRef PRIM (cellRef FD1S3DX (libraryRef LUCENT))) - (property GSR (string "ENABLED")) - ) - (instance w_gctr_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance w_gctr_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance w_gctr_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance w_gctr_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance w_gctr_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance w_gctr_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance r_gctr_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance r_gctr_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT))) - ) - (instance empty_cmp_ci_a (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance a0 (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance full_cmp_ci_a (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance a1 (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT))) - ) - (instance full_cmp_4 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance full_cmp_3 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance full_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance full_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance full_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_4 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_3 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) - (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_2_2 (joined - (portRef (member channel_debug_01_i_2 0)) - (portRef A (instanceRef AND2_t20)) - )) - (net invout_1 (joined - (portRef Z (instanceRef INV_1)) - (portRef B (instanceRef AND2_t20)) - )) - (net wren_i (joined - (portRef Z (instanceRef AND2_t20)) - (portRef B1 (instanceRef full_cmp_ci_a)) - (portRef A1 (instanceRef full_cmp_ci_a)) - (portRef SP (instanceRef FF_72)) - (portRef SP (instanceRef FF_73)) - (portRef SP (instanceRef FF_74)) - (portRef SP (instanceRef FF_75)) - (portRef SP (instanceRef FF_76)) - (portRef SP (instanceRef FF_77)) - (portRef SP (instanceRef FF_78)) - (portRef SP (instanceRef FF_79)) - (portRef SP (instanceRef FF_80)) - (portRef SP (instanceRef FF_81)) - (portRef SP (instanceRef FF_82)) - (portRef SP (instanceRef FF_83)) - (portRef SP (instanceRef FF_84)) - (portRef SP (instanceRef FF_85)) - (portRef SP (instanceRef FF_86)) - (portRef SP (instanceRef FF_87)) - (portRef SP (instanceRef FF_88)) - (portRef SP (instanceRef FF_89)) - (portRef SP (instanceRef FF_90)) - (portRef SP (instanceRef FF_91)) - (portRef SP (instanceRef FF_92)) - (portRef SP (instanceRef FF_93)) - (portRef SP (instanceRef FF_94)) - (portRef SP (instanceRef FF_95)) - (portRef SP (instanceRef FF_96)) - (portRef SP (instanceRef FF_97)) - (portRef SP (instanceRef FF_98)) - (portRef SP (instanceRef FF_99)) - (portRef SP (instanceRef FF_100)) - (portRef SP (instanceRef FF_101)) - (portRef CEW (instanceRef pdp_ram_0_0_0)) - )) - (net rd_en_i_2 (joined - (portRef (member rd_en_i 0)) - (portRef A (instanceRef AND2_t19)) - )) - (net invout_0 (joined - (portRef Z (instanceRef INV_0)) - (portRef B (instanceRef AND2_t19)) - )) - (net rden_i (joined - (portRef Z (instanceRef AND2_t19)) - (portRef B1 (instanceRef empty_cmp_ci_a)) - (portRef A1 (instanceRef empty_cmp_ci_a)) - (portRef SP (instanceRef FF_42)) - (portRef SP (instanceRef FF_43)) - (portRef SP (instanceRef FF_44)) - (portRef SP (instanceRef FF_45)) - (portRef SP (instanceRef FF_46)) - (portRef SP (instanceRef FF_47)) - (portRef SP (instanceRef FF_48)) - (portRef SP (instanceRef FF_49)) - (portRef SP (instanceRef FF_50)) - (portRef SP (instanceRef FF_51)) - (portRef SP (instanceRef FF_52)) - (portRef SP (instanceRef FF_53)) - (portRef SP (instanceRef FF_54)) - (portRef SP (instanceRef FF_55)) - (portRef SP (instanceRef FF_56)) - (portRef SP (instanceRef FF_57)) - (portRef SP (instanceRef FF_58)) - (portRef SP (instanceRef FF_59)) - (portRef SP (instanceRef FF_60)) - (portRef SP (instanceRef FF_61)) - (portRef SP (instanceRef FF_62)) - (portRef SP (instanceRef FF_63)) - (portRef SP (instanceRef FF_64)) - (portRef SP (instanceRef FF_65)) - (portRef SP (instanceRef FF_66)) - (portRef SP (instanceRef FF_67)) - (portRef SP (instanceRef FF_68)) - (portRef SP (instanceRef FF_69)) - (portRef SP (instanceRef FF_70)) - (portRef SP (instanceRef FF_71)) - (portRef CSR0 (instanceRef pdp_ram_0_0_0)) - )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef B (instanceRef OR2_t18)) - (portRef A (instanceRef OR2_t18)) - )) - (net rRst (joined - (portRef Z (instanceRef OR2_t18)) - (portRef PD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef PD (instanceRef FF_71)) - )) - (net wcount_0 (joined - (portRef Q (instanceRef FF_101)) - (portRef A0 (instanceRef full_cmp_0)) - (portRef PC0 (instanceRef w_gctr_0)) - (portRef D (instanceRef FF_81)) - (portRef A (instanceRef XOR2_t17)) - )) - (net wcount_1 (joined - (portRef Q (instanceRef FF_100)) - (portRef A1 (instanceRef full_cmp_0)) - (portRef PC1 (instanceRef w_gctr_0)) - (portRef D (instanceRef FF_80)) - (portRef A (instanceRef XOR2_t16)) - (portRef B (instanceRef XOR2_t17)) - )) - (net w_gdata_0 (joined - (portRef Z (instanceRef XOR2_t17)) - (portRef D (instanceRef FF_91)) - )) - (net wcount_2 (joined - (portRef Q (instanceRef FF_99)) - (portRef A0 (instanceRef full_cmp_1)) - (portRef PC0 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_79)) - (portRef A (instanceRef XOR2_t15)) - (portRef B (instanceRef XOR2_t16)) - )) - (net w_gdata_1 (joined - (portRef Z (instanceRef XOR2_t16)) - (portRef D (instanceRef FF_90)) - )) - (net wcount_3 (joined - (portRef Q (instanceRef FF_98)) - (portRef A1 (instanceRef full_cmp_1)) - (portRef PC1 (instanceRef w_gctr_1)) - (portRef D (instanceRef FF_78)) - (portRef A (instanceRef XOR2_t14)) - (portRef B (instanceRef XOR2_t15)) - )) - (net w_gdata_2 (joined - (portRef Z (instanceRef XOR2_t15)) - (portRef D (instanceRef FF_89)) - )) - (net wcount_4 (joined - (portRef Q (instanceRef FF_97)) - (portRef A0 (instanceRef full_cmp_2)) - (portRef PC0 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_77)) - (portRef A (instanceRef XOR2_t13)) - (portRef B (instanceRef XOR2_t14)) - )) - (net w_gdata_3 (joined - (portRef Z (instanceRef XOR2_t14)) - (portRef D (instanceRef FF_88)) - )) - (net wcount_5 (joined - (portRef Q (instanceRef FF_96)) - (portRef A1 (instanceRef full_cmp_2)) - (portRef PC1 (instanceRef w_gctr_2)) - (portRef D (instanceRef FF_76)) - (portRef A (instanceRef XOR2_t12)) - (portRef B (instanceRef XOR2_t13)) - )) - (net w_gdata_4 (joined - (portRef Z (instanceRef XOR2_t13)) - (portRef D (instanceRef FF_87)) - )) - (net wcount_6 (joined - (portRef Q (instanceRef FF_95)) - (portRef A0 (instanceRef full_cmp_3)) - (portRef PC0 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_75)) - (portRef A (instanceRef XOR2_t11)) - (portRef B (instanceRef XOR2_t12)) - )) - (net w_gdata_5 (joined - (portRef Z (instanceRef XOR2_t12)) - (portRef D (instanceRef FF_86)) - )) - (net wcount_7 (joined - (portRef Q (instanceRef FF_94)) - (portRef A1 (instanceRef full_cmp_3)) - (portRef PC1 (instanceRef w_gctr_3)) - (portRef D (instanceRef FF_74)) - (portRef A (instanceRef XOR2_t10)) - (portRef B (instanceRef XOR2_t11)) - )) - (net w_gdata_6 (joined - (portRef Z (instanceRef XOR2_t11)) - (portRef D (instanceRef FF_85)) - )) - (net wcount_8 (joined - (portRef Q (instanceRef FF_93)) - (portRef A0 (instanceRef full_cmp_4)) - (portRef PC0 (instanceRef w_gctr_4)) - (portRef D (instanceRef FF_73)) - (portRef A (instanceRef XOR2_t9)) - (portRef B (instanceRef XOR2_t10)) + (net wcount_8 (joined + (portRef Q (instanceRef FF_93)) + (portRef A0 (instanceRef full_cmp_4)) + (portRef PC0 (instanceRef w_gctr_4)) + (portRef D (instanceRef FF_73)) + (portRef A (instanceRef XOR2_t9)) + (portRef B (instanceRef XOR2_t10)) )) (net w_gdata_7 (joined (portRef Z (instanceRef XOR2_t10)) @@ -383126,10 +380803,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -383418,7 +381094,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -383692,8 +381369,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_17 (joined - (portRef reset_i_17) + (net reset_i_10 (joined + (portRef reset_i_10) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -384051,11 +381728,6 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - (portRef fifo_full_i) - )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -384496,13 +382168,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(2:1)") 2) (direction INOUT)) - (port reset_tdc_94 (direction INPUT)) - (port reset_tdc_fast_36_r3 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_37_r2 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_36_r5 (direction INPUT)) + (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(2:1)") 2) (direction INOUT)) + (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_fast_36_r26 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -384871,7 +382541,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI6V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIS8FR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -384962,16 +382632,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -385883,7 +383553,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI6V5Q)) + (portRef A (instanceRef start_reg_RNIS8FR)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -387191,8 +384861,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI6V5Q (joined - (portRef Z (instanceRef start_reg_RNI6V5Q)) + (net start_reg_RNIS8FR (joined + (portRef Z (instanceRef start_reg_RNIS8FR)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -387237,8 +384907,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_2_1 (joined - (portRef (member channel_debug_01_i_2 1)) + (net channel_debug_01_i_17_1 (joined + (portRef (member channel_debug_01_i_17 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -387281,7 +384951,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI6V5Q)) + (portRef B (instanceRef start_reg_RNIS8FR)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -387309,9 +384979,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_2_2 (joined + (net channel_debug_01_i_17_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_2 0)) + (portRef (member channel_debug_01_i_17 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -387874,7 +385544,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI6V5Q)) + (portRef C (instanceRef start_reg_RNIS8FR)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -388003,17 +385673,14 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef D (instanceRef proc_finished_3c)) - )) - (net reset_tdc_fast_36_r5 (joined - (portRef reset_tdc_fast_36_r5) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_1_2 (joined @@ -388028,21 +385695,21 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net interval_reg_41_14_am_17_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -389478,10 +387145,11 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r2 (joined - (portRef reset_tdc_fast_37_r2) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) @@ -389489,10 +387157,6 @@ (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -389930,8 +387594,8 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r3 (joined - (portRef reset_tdc_fast_36_r3) + (net reset_tdc_fast_36_r26 (joined + (portRef reset_tdc_fast_36_r26) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -390502,9 +388166,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) - (portRef reset_tdc_94 (instanceRef ROM_Encoder_1)) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) + (portRef reset_tdc_48 (instanceRef ROM_Encoder_1)) )) ) ) @@ -390514,10 +388178,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) - (port reset_tdc_93 (direction INPUT)) - (port reset_tdc_92 (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_47 (direction INPUT)) + (port reset_tdc_46 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -392673,8 +390337,17 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) (portRef CD (instanceRef FF_288)) (portRef CD (instanceRef FF_289)) (portRef CD (instanceRef FF_290)) @@ -392820,8 +390493,90 @@ (portRef S0 (instanceRef GEN_143_ADD)) (portRef D (instanceRef FF_286)) )) - (net reset_tdc_92 (joined - (portRef reset_tdc_92) + (net result_i_286 (joined + (portRef Q (instanceRef FF_286)) + (portRef (member result_i 17)) + )) + (net tsum_285 (joined + (portRef S1 (instanceRef GEN_142_ADD)) + (portRef D (instanceRef FF_285)) + )) + (net result_i_285 (joined + (portRef Q (instanceRef FF_285)) + (portRef (member result_i 18)) + )) + (net tsum_284 (joined + (portRef S0 (instanceRef GEN_142_ADD)) + (portRef D (instanceRef FF_284)) + )) + (net result_i_284 (joined + (portRef Q (instanceRef FF_284)) + (portRef (member result_i 19)) + )) + (net tsum_283 (joined + (portRef S1 (instanceRef GEN_141_ADD)) + (portRef D (instanceRef FF_283)) + )) + (net result_i_283 (joined + (portRef Q (instanceRef FF_283)) + (portRef (member result_i 20)) + )) + (net tsum_282 (joined + (portRef S0 (instanceRef GEN_141_ADD)) + (portRef D (instanceRef FF_282)) + )) + (net result_i_282 (joined + (portRef Q (instanceRef FF_282)) + (portRef (member result_i 21)) + )) + (net tsum_281 (joined + (portRef S1 (instanceRef GEN_140_ADD)) + (portRef D (instanceRef FF_281)) + )) + (net result_i_281 (joined + (portRef Q (instanceRef FF_281)) + (portRef (member result_i 22)) + )) + (net tsum_280 (joined + (portRef S0 (instanceRef GEN_140_ADD)) + (portRef D (instanceRef FF_280)) + )) + (net result_i_280 (joined + (portRef Q (instanceRef FF_280)) + (portRef (member result_i 23)) + )) + (net tsum_279 (joined + (portRef S1 (instanceRef GEN_139_ADD)) + (portRef D (instanceRef FF_279)) + )) + (net result_i_279 (joined + (portRef Q (instanceRef FF_279)) + (portRef (member result_i 24)) + )) + (net tsum_278 (joined + (portRef S0 (instanceRef GEN_139_ADD)) + (portRef D (instanceRef FF_278)) + )) + (net result_i_278 (joined + (portRef Q (instanceRef FF_278)) + (portRef (member result_i 25)) + )) + (net tsum_277 (joined + (portRef S1 (instanceRef GEN_138_ADD)) + (portRef D (instanceRef FF_277)) + )) + (net reset_tdc_46 (joined + (portRef reset_tdc_46) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) (portRef CD (instanceRef FF_189)) (portRef CD (instanceRef FF_190)) (portRef CD (instanceRef FF_191)) @@ -392912,87 +390667,6 @@ (portRef CD (instanceRef FF_276)) (portRef CD (instanceRef FF_277)) (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - )) - (net result_i_286 (joined - (portRef Q (instanceRef FF_286)) - (portRef (member result_i 17)) - )) - (net tsum_285 (joined - (portRef S1 (instanceRef GEN_142_ADD)) - (portRef D (instanceRef FF_285)) - )) - (net result_i_285 (joined - (portRef Q (instanceRef FF_285)) - (portRef (member result_i 18)) - )) - (net tsum_284 (joined - (portRef S0 (instanceRef GEN_142_ADD)) - (portRef D (instanceRef FF_284)) - )) - (net result_i_284 (joined - (portRef Q (instanceRef FF_284)) - (portRef (member result_i 19)) - )) - (net tsum_283 (joined - (portRef S1 (instanceRef GEN_141_ADD)) - (portRef D (instanceRef FF_283)) - )) - (net result_i_283 (joined - (portRef Q (instanceRef FF_283)) - (portRef (member result_i 20)) - )) - (net tsum_282 (joined - (portRef S0 (instanceRef GEN_141_ADD)) - (portRef D (instanceRef FF_282)) - )) - (net result_i_282 (joined - (portRef Q (instanceRef FF_282)) - (portRef (member result_i 21)) - )) - (net tsum_281 (joined - (portRef S1 (instanceRef GEN_140_ADD)) - (portRef D (instanceRef FF_281)) - )) - (net result_i_281 (joined - (portRef Q (instanceRef FF_281)) - (portRef (member result_i 22)) - )) - (net tsum_280 (joined - (portRef S0 (instanceRef GEN_140_ADD)) - (portRef D (instanceRef FF_280)) - )) - (net result_i_280 (joined - (portRef Q (instanceRef FF_280)) - (portRef (member result_i 23)) - )) - (net tsum_279 (joined - (portRef S1 (instanceRef GEN_139_ADD)) - (portRef D (instanceRef FF_279)) - )) - (net result_i_279 (joined - (portRef Q (instanceRef FF_279)) - (portRef (member result_i 24)) - )) - (net tsum_278 (joined - (portRef S0 (instanceRef GEN_139_ADD)) - (portRef D (instanceRef FF_278)) - )) - (net result_i_278 (joined - (portRef Q (instanceRef FF_278)) - (portRef (member result_i 25)) - )) - (net tsum_277 (joined - (portRef S1 (instanceRef GEN_138_ADD)) - (portRef D (instanceRef FF_277)) )) (net result_i_277 (joined (portRef Q (instanceRef FF_277)) @@ -393714,8 +391388,99 @@ (portRef S1 (instanceRef GEN_93_ADD)) (portRef D (instanceRef FF_187)) )) - (net reset_tdc_93 (joined - (portRef reset_tdc_93) + (net result_i_187 (joined + (portRef Q (instanceRef FF_187)) + (portRef (member result_i 116)) + )) + (net tsum_186 (joined + (portRef S0 (instanceRef GEN_93_ADD)) + (portRef D (instanceRef FF_186)) + )) + (net result_i_186 (joined + (portRef Q (instanceRef FF_186)) + (portRef (member result_i 117)) + )) + (net tsum_185 (joined + (portRef S1 (instanceRef GEN_92_ADD)) + (portRef D (instanceRef FF_185)) + )) + (net result_i_185 (joined + (portRef Q (instanceRef FF_185)) + (portRef (member result_i 118)) + )) + (net tsum_184 (joined + (portRef S0 (instanceRef GEN_92_ADD)) + (portRef D (instanceRef FF_184)) + )) + (net result_i_184 (joined + (portRef Q (instanceRef FF_184)) + (portRef (member result_i 119)) + )) + (net tsum_183 (joined + (portRef S1 (instanceRef GEN_91_ADD)) + (portRef D (instanceRef FF_183)) + )) + (net result_i_183 (joined + (portRef Q (instanceRef FF_183)) + (portRef (member result_i 120)) + )) + (net tsum_182 (joined + (portRef S0 (instanceRef GEN_91_ADD)) + (portRef D (instanceRef FF_182)) + )) + (net result_i_182 (joined + (portRef Q (instanceRef FF_182)) + (portRef (member result_i 121)) + )) + (net tsum_181 (joined + (portRef S1 (instanceRef GEN_90_ADD)) + (portRef D (instanceRef FF_181)) + )) + (net result_i_181 (joined + (portRef Q (instanceRef FF_181)) + (portRef (member result_i 122)) + )) + (net tsum_180 (joined + (portRef S0 (instanceRef GEN_90_ADD)) + (portRef D (instanceRef FF_180)) + )) + (net result_i_180 (joined + (portRef Q (instanceRef FF_180)) + (portRef (member result_i 123)) + )) + (net tsum_179 (joined + (portRef S1 (instanceRef GEN_89_ADD)) + (portRef D (instanceRef FF_179)) + )) + (net result_i_179 (joined + (portRef Q (instanceRef FF_179)) + (portRef (member result_i 124)) + )) + (net tsum_178 (joined + (portRef S0 (instanceRef GEN_89_ADD)) + (portRef D (instanceRef FF_178)) + )) + (net result_i_178 (joined + (portRef Q (instanceRef FF_178)) + (portRef (member result_i 125)) + )) + (net tsum_177 (joined + (portRef S1 (instanceRef GEN_88_ADD)) + (portRef D (instanceRef FF_177)) + )) + (net reset_tdc_47 (joined + (portRef reset_tdc_47) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) @@ -393805,96 +391570,6 @@ (portRef CD (instanceRef FF_176)) (portRef CD (instanceRef FF_177)) (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - )) - (net result_i_187 (joined - (portRef Q (instanceRef FF_187)) - (portRef (member result_i 116)) - )) - (net tsum_186 (joined - (portRef S0 (instanceRef GEN_93_ADD)) - (portRef D (instanceRef FF_186)) - )) - (net result_i_186 (joined - (portRef Q (instanceRef FF_186)) - (portRef (member result_i 117)) - )) - (net tsum_185 (joined - (portRef S1 (instanceRef GEN_92_ADD)) - (portRef D (instanceRef FF_185)) - )) - (net result_i_185 (joined - (portRef Q (instanceRef FF_185)) - (portRef (member result_i 118)) - )) - (net tsum_184 (joined - (portRef S0 (instanceRef GEN_92_ADD)) - (portRef D (instanceRef FF_184)) - )) - (net result_i_184 (joined - (portRef Q (instanceRef FF_184)) - (portRef (member result_i 119)) - )) - (net tsum_183 (joined - (portRef S1 (instanceRef GEN_91_ADD)) - (portRef D (instanceRef FF_183)) - )) - (net result_i_183 (joined - (portRef Q (instanceRef FF_183)) - (portRef (member result_i 120)) - )) - (net tsum_182 (joined - (portRef S0 (instanceRef GEN_91_ADD)) - (portRef D (instanceRef FF_182)) - )) - (net result_i_182 (joined - (portRef Q (instanceRef FF_182)) - (portRef (member result_i 121)) - )) - (net tsum_181 (joined - (portRef S1 (instanceRef GEN_90_ADD)) - (portRef D (instanceRef FF_181)) - )) - (net result_i_181 (joined - (portRef Q (instanceRef FF_181)) - (portRef (member result_i 122)) - )) - (net tsum_180 (joined - (portRef S0 (instanceRef GEN_90_ADD)) - (portRef D (instanceRef FF_180)) - )) - (net result_i_180 (joined - (portRef Q (instanceRef FF_180)) - (portRef (member result_i 123)) - )) - (net tsum_179 (joined - (portRef S1 (instanceRef GEN_89_ADD)) - (portRef D (instanceRef FF_179)) - )) - (net result_i_179 (joined - (portRef Q (instanceRef FF_179)) - (portRef (member result_i 124)) - )) - (net tsum_178 (joined - (portRef S0 (instanceRef GEN_89_ADD)) - (portRef D (instanceRef FF_178)) - )) - (net result_i_178 (joined - (portRef Q (instanceRef FF_178)) - (portRef (member result_i 125)) - )) - (net tsum_177 (joined - (portRef S1 (instanceRef GEN_88_ADD)) - (portRef D (instanceRef FF_177)) )) (net result_i_177 (joined (portRef Q (instanceRef FF_177)) @@ -394608,99 +392283,6 @@ (portRef S0 (instanceRef GEN_44_ADD)) (portRef D (instanceRef FF_88)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - )) (net result_i_88 (joined (portRef Q (instanceRef FF_88)) (portRef (member result_i 215)) @@ -394789,6 +392371,88 @@ (portRef S1 (instanceRef GEN_38_ADD)) (portRef D (instanceRef FF_77)) )) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + )) (net result_i_77 (joined (portRef Q (instanceRef FF_77)) (portRef (member result_i 226)) @@ -396331,10 +393995,2320 @@ ) ) ) + (cell signal_sync_24_3_35 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename measurement_cntr "measurement_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(631:608)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member measurement_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member measurement_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) + )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member measurement_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member measurement_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member measurement_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member measurement_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member measurement_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member measurement_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member measurement_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member measurement_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member measurement_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member measurement_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member measurement_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member measurement_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member measurement_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member measurement_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member measurement_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member measurement_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member measurement_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member measurement_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member measurement_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member measurement_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member measurement_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member measurement_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + )) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + )) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + )) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + )) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + )) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + )) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + )) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + )) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + )) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + )) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + )) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + )) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + )) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + )) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + )) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + )) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + )) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + )) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + )) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + )) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + )) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + )) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + )) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + ) + ) + ) + (cell signal_sync_24_3_34 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename encoder_start_cntr "encoder_start_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(663:640)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member encoder_start_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member encoder_start_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) + )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member encoder_start_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member encoder_start_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member encoder_start_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member encoder_start_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member encoder_start_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member encoder_start_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member encoder_start_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member encoder_start_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member encoder_start_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member encoder_start_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member encoder_start_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member encoder_start_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member encoder_start_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member encoder_start_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member encoder_start_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member encoder_start_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member encoder_start_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member encoder_start_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member encoder_start_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member encoder_start_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member encoder_start_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member encoder_start_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + )) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + )) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + )) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + )) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + )) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + )) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + )) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + )) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + )) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + )) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + )) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + )) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + )) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + )) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + )) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + )) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + )) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + )) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + )) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + )) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + )) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + )) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + )) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + ) + ) + ) + (cell signal_sync_24_3_33 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename lost_hit_cntr "lost_hit_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(599:576)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member lost_hit_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member lost_hit_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) + )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member lost_hit_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member lost_hit_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member lost_hit_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member lost_hit_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member lost_hit_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member lost_hit_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member lost_hit_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member lost_hit_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member lost_hit_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member lost_hit_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member lost_hit_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member lost_hit_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member lost_hit_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member lost_hit_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member lost_hit_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member lost_hit_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member lost_hit_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member lost_hit_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member lost_hit_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member lost_hit_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member lost_hit_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member lost_hit_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + )) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + )) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + )) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + )) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + )) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + )) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + )) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + )) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + )) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + )) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + )) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + )) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + )) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + )) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + )) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + )) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + )) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + )) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + )) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + )) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + )) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + )) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + )) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + ) + ) + ) (cell edge_to_pulse_0_11 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -396406,11 +396380,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_17_0 (joined + (net channel_debug_01_i_2_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_17 0)) + (portRef (member channel_debug_01_i_2 0)) )) (net VCC (joined (portRef VCC) @@ -396424,15 +396398,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(17:17)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(2:2)") 1) (direction INPUT)) - (port reset_i_9 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(2:2)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(2:2)") 1) (direction INPUT)) + (port reset_i_18 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -396912,8 +396887,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_17_2 (joined - (portRef (member channel_debug_01_i_17 0)) + (net channel_debug_01_i_2_2 (joined + (portRef (member channel_debug_01_i_2 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -396956,11 +396931,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_17 (joined + (net rd_en_i_2 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -397396,9 +397367,10 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -397687,8 +397659,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -397962,8 +397933,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_9 (joined - (portRef reset_i_9) + (net reset_i_18 (joined + (portRef reset_i_18) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -398321,6 +398292,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -398761,11 +398737,14 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_17 "channel_debug_01_i_17(2:1)") 2) (direction INOUT)) - (port reset_tdc_48 (direction INPUT)) - (port reset_tdc_fast_36_r26 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_2 "channel_debug_01_i_2(2:1)") 2) (direction INOUT)) + (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_fast_36_r2 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_37_r3 (direction INPUT)) + (port reset_tdc_fast_37_r2 (direction INPUT)) + (port reset_tdc_fast_36_r3 (direction INPUT)) + (port reset_tdc_fast_36_r5 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -399134,7 +399113,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIS8FR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI6V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399179,10 +399158,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399204,16 +399183,16 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399225,16 +399204,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399468,13 +399447,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399688,10 +399664,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -399752,7 +399728,10 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -400146,7 +400125,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIS8FR)) + (portRef A (instanceRef start_reg_RNI6V5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -400358,8 +400337,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -400387,8 +400366,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -400411,8 +400390,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -400540,8 +400519,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -400573,8 +400552,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -400639,8 +400618,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -401454,8 +401433,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIS8FR (joined - (portRef Z (instanceRef start_reg_RNIS8FR)) + (net start_reg_RNI6V5Q (joined + (portRef Z (instanceRef start_reg_RNI6V5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -401500,8 +401479,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_17_1 (joined - (portRef (member channel_debug_01_i_17 1)) + (net channel_debug_01_i_2_1 (joined + (portRef (member channel_debug_01_i_2 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -401544,7 +401523,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIS8FR)) + (portRef B (instanceRef start_reg_RNI6V5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -401572,9 +401551,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_17_2 (joined + (net channel_debug_01_i_2_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_17 0)) + (portRef (member channel_debug_01_i_2 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -401730,8 +401709,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -401985,8 +401964,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -402137,7 +402116,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIS8FR)) + (portRef C (instanceRef start_reg_RNI6V5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -402164,8 +402143,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -402236,14 +402215,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_18_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -402266,15 +402245,12 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) + (net reset_tdc_fast_36_r5 (joined + (portRef reset_tdc_fast_36_r5) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -402288,22 +402264,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net interval_reg_41_14_am_18_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -403035,6 +403011,11 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net reset_tdc_fast_36_r3 (joined + (portRef reset_tdc_fast_36_r3) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_finished_2c)) + )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -403730,26 +403711,33 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) + (net reset_tdc_fast_37_r2 (joined + (portRef reset_tdc_fast_37_r2) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) + (net reset_tdc_fast_37_r3 (joined + (portRef reset_tdc_fast_37_r3) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + )) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -404187,8 +404175,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r26 (joined - (portRef reset_tdc_fast_36_r26) + (net reset_tdc_fast_36_r2 (joined + (portRef reset_tdc_fast_36_r2) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -404759,9 +404747,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) - (portRef reset_tdc_48 (instanceRef ROM_Encoder_1)) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef reset_tdc_94 (instanceRef ROM_Encoder_1)) )) ) ) @@ -404771,10 +404759,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) - (port reset_tdc_47 (direction INPUT)) - (port reset_tdc_46 (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_93 (direction INPUT)) + (port reset_tdc_92 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -406930,17 +406918,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) (portRef CD (instanceRef FF_288)) (portRef CD (instanceRef FF_289)) (portRef CD (instanceRef FF_290)) @@ -407086,90 +407065,8 @@ (portRef S0 (instanceRef GEN_143_ADD)) (portRef D (instanceRef FF_286)) )) - (net result_i_286 (joined - (portRef Q (instanceRef FF_286)) - (portRef (member result_i 17)) - )) - (net tsum_285 (joined - (portRef S1 (instanceRef GEN_142_ADD)) - (portRef D (instanceRef FF_285)) - )) - (net result_i_285 (joined - (portRef Q (instanceRef FF_285)) - (portRef (member result_i 18)) - )) - (net tsum_284 (joined - (portRef S0 (instanceRef GEN_142_ADD)) - (portRef D (instanceRef FF_284)) - )) - (net result_i_284 (joined - (portRef Q (instanceRef FF_284)) - (portRef (member result_i 19)) - )) - (net tsum_283 (joined - (portRef S1 (instanceRef GEN_141_ADD)) - (portRef D (instanceRef FF_283)) - )) - (net result_i_283 (joined - (portRef Q (instanceRef FF_283)) - (portRef (member result_i 20)) - )) - (net tsum_282 (joined - (portRef S0 (instanceRef GEN_141_ADD)) - (portRef D (instanceRef FF_282)) - )) - (net result_i_282 (joined - (portRef Q (instanceRef FF_282)) - (portRef (member result_i 21)) - )) - (net tsum_281 (joined - (portRef S1 (instanceRef GEN_140_ADD)) - (portRef D (instanceRef FF_281)) - )) - (net result_i_281 (joined - (portRef Q (instanceRef FF_281)) - (portRef (member result_i 22)) - )) - (net tsum_280 (joined - (portRef S0 (instanceRef GEN_140_ADD)) - (portRef D (instanceRef FF_280)) - )) - (net result_i_280 (joined - (portRef Q (instanceRef FF_280)) - (portRef (member result_i 23)) - )) - (net tsum_279 (joined - (portRef S1 (instanceRef GEN_139_ADD)) - (portRef D (instanceRef FF_279)) - )) - (net result_i_279 (joined - (portRef Q (instanceRef FF_279)) - (portRef (member result_i 24)) - )) - (net tsum_278 (joined - (portRef S0 (instanceRef GEN_139_ADD)) - (portRef D (instanceRef FF_278)) - )) - (net result_i_278 (joined - (portRef Q (instanceRef FF_278)) - (portRef (member result_i 25)) - )) - (net tsum_277 (joined - (portRef S1 (instanceRef GEN_138_ADD)) - (portRef D (instanceRef FF_277)) - )) - (net reset_tdc_46 (joined - (portRef reset_tdc_46) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) + (net reset_tdc_92 (joined + (portRef reset_tdc_92) (portRef CD (instanceRef FF_189)) (portRef CD (instanceRef FF_190)) (portRef CD (instanceRef FF_191)) @@ -407260,6 +407157,87 @@ (portRef CD (instanceRef FF_276)) (portRef CD (instanceRef FF_277)) (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + )) + (net result_i_286 (joined + (portRef Q (instanceRef FF_286)) + (portRef (member result_i 17)) + )) + (net tsum_285 (joined + (portRef S1 (instanceRef GEN_142_ADD)) + (portRef D (instanceRef FF_285)) + )) + (net result_i_285 (joined + (portRef Q (instanceRef FF_285)) + (portRef (member result_i 18)) + )) + (net tsum_284 (joined + (portRef S0 (instanceRef GEN_142_ADD)) + (portRef D (instanceRef FF_284)) + )) + (net result_i_284 (joined + (portRef Q (instanceRef FF_284)) + (portRef (member result_i 19)) + )) + (net tsum_283 (joined + (portRef S1 (instanceRef GEN_141_ADD)) + (portRef D (instanceRef FF_283)) + )) + (net result_i_283 (joined + (portRef Q (instanceRef FF_283)) + (portRef (member result_i 20)) + )) + (net tsum_282 (joined + (portRef S0 (instanceRef GEN_141_ADD)) + (portRef D (instanceRef FF_282)) + )) + (net result_i_282 (joined + (portRef Q (instanceRef FF_282)) + (portRef (member result_i 21)) + )) + (net tsum_281 (joined + (portRef S1 (instanceRef GEN_140_ADD)) + (portRef D (instanceRef FF_281)) + )) + (net result_i_281 (joined + (portRef Q (instanceRef FF_281)) + (portRef (member result_i 22)) + )) + (net tsum_280 (joined + (portRef S0 (instanceRef GEN_140_ADD)) + (portRef D (instanceRef FF_280)) + )) + (net result_i_280 (joined + (portRef Q (instanceRef FF_280)) + (portRef (member result_i 23)) + )) + (net tsum_279 (joined + (portRef S1 (instanceRef GEN_139_ADD)) + (portRef D (instanceRef FF_279)) + )) + (net result_i_279 (joined + (portRef Q (instanceRef FF_279)) + (portRef (member result_i 24)) + )) + (net tsum_278 (joined + (portRef S0 (instanceRef GEN_139_ADD)) + (portRef D (instanceRef FF_278)) + )) + (net result_i_278 (joined + (portRef Q (instanceRef FF_278)) + (portRef (member result_i 25)) + )) + (net tsum_277 (joined + (portRef S1 (instanceRef GEN_138_ADD)) + (portRef D (instanceRef FF_277)) )) (net result_i_277 (joined (portRef Q (instanceRef FF_277)) @@ -407981,99 +407959,8 @@ (portRef S1 (instanceRef GEN_93_ADD)) (portRef D (instanceRef FF_187)) )) - (net result_i_187 (joined - (portRef Q (instanceRef FF_187)) - (portRef (member result_i 116)) - )) - (net tsum_186 (joined - (portRef S0 (instanceRef GEN_93_ADD)) - (portRef D (instanceRef FF_186)) - )) - (net result_i_186 (joined - (portRef Q (instanceRef FF_186)) - (portRef (member result_i 117)) - )) - (net tsum_185 (joined - (portRef S1 (instanceRef GEN_92_ADD)) - (portRef D (instanceRef FF_185)) - )) - (net result_i_185 (joined - (portRef Q (instanceRef FF_185)) - (portRef (member result_i 118)) - )) - (net tsum_184 (joined - (portRef S0 (instanceRef GEN_92_ADD)) - (portRef D (instanceRef FF_184)) - )) - (net result_i_184 (joined - (portRef Q (instanceRef FF_184)) - (portRef (member result_i 119)) - )) - (net tsum_183 (joined - (portRef S1 (instanceRef GEN_91_ADD)) - (portRef D (instanceRef FF_183)) - )) - (net result_i_183 (joined - (portRef Q (instanceRef FF_183)) - (portRef (member result_i 120)) - )) - (net tsum_182 (joined - (portRef S0 (instanceRef GEN_91_ADD)) - (portRef D (instanceRef FF_182)) - )) - (net result_i_182 (joined - (portRef Q (instanceRef FF_182)) - (portRef (member result_i 121)) - )) - (net tsum_181 (joined - (portRef S1 (instanceRef GEN_90_ADD)) - (portRef D (instanceRef FF_181)) - )) - (net result_i_181 (joined - (portRef Q (instanceRef FF_181)) - (portRef (member result_i 122)) - )) - (net tsum_180 (joined - (portRef S0 (instanceRef GEN_90_ADD)) - (portRef D (instanceRef FF_180)) - )) - (net result_i_180 (joined - (portRef Q (instanceRef FF_180)) - (portRef (member result_i 123)) - )) - (net tsum_179 (joined - (portRef S1 (instanceRef GEN_89_ADD)) - (portRef D (instanceRef FF_179)) - )) - (net result_i_179 (joined - (portRef Q (instanceRef FF_179)) - (portRef (member result_i 124)) - )) - (net tsum_178 (joined - (portRef S0 (instanceRef GEN_89_ADD)) - (portRef D (instanceRef FF_178)) - )) - (net result_i_178 (joined - (portRef Q (instanceRef FF_178)) - (portRef (member result_i 125)) - )) - (net tsum_177 (joined - (portRef S1 (instanceRef GEN_88_ADD)) - (portRef D (instanceRef FF_177)) - )) - (net reset_tdc_47 (joined - (portRef reset_tdc_47) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) + (net reset_tdc_93 (joined + (portRef reset_tdc_93) (portRef CD (instanceRef FF_90)) (portRef CD (instanceRef FF_91)) (portRef CD (instanceRef FF_92)) @@ -408163,6 +408050,96 @@ (portRef CD (instanceRef FF_176)) (portRef CD (instanceRef FF_177)) (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + )) + (net result_i_187 (joined + (portRef Q (instanceRef FF_187)) + (portRef (member result_i 116)) + )) + (net tsum_186 (joined + (portRef S0 (instanceRef GEN_93_ADD)) + (portRef D (instanceRef FF_186)) + )) + (net result_i_186 (joined + (portRef Q (instanceRef FF_186)) + (portRef (member result_i 117)) + )) + (net tsum_185 (joined + (portRef S1 (instanceRef GEN_92_ADD)) + (portRef D (instanceRef FF_185)) + )) + (net result_i_185 (joined + (portRef Q (instanceRef FF_185)) + (portRef (member result_i 118)) + )) + (net tsum_184 (joined + (portRef S0 (instanceRef GEN_92_ADD)) + (portRef D (instanceRef FF_184)) + )) + (net result_i_184 (joined + (portRef Q (instanceRef FF_184)) + (portRef (member result_i 119)) + )) + (net tsum_183 (joined + (portRef S1 (instanceRef GEN_91_ADD)) + (portRef D (instanceRef FF_183)) + )) + (net result_i_183 (joined + (portRef Q (instanceRef FF_183)) + (portRef (member result_i 120)) + )) + (net tsum_182 (joined + (portRef S0 (instanceRef GEN_91_ADD)) + (portRef D (instanceRef FF_182)) + )) + (net result_i_182 (joined + (portRef Q (instanceRef FF_182)) + (portRef (member result_i 121)) + )) + (net tsum_181 (joined + (portRef S1 (instanceRef GEN_90_ADD)) + (portRef D (instanceRef FF_181)) + )) + (net result_i_181 (joined + (portRef Q (instanceRef FF_181)) + (portRef (member result_i 122)) + )) + (net tsum_180 (joined + (portRef S0 (instanceRef GEN_90_ADD)) + (portRef D (instanceRef FF_180)) + )) + (net result_i_180 (joined + (portRef Q (instanceRef FF_180)) + (portRef (member result_i 123)) + )) + (net tsum_179 (joined + (portRef S1 (instanceRef GEN_89_ADD)) + (portRef D (instanceRef FF_179)) + )) + (net result_i_179 (joined + (portRef Q (instanceRef FF_179)) + (portRef (member result_i 124)) + )) + (net tsum_178 (joined + (portRef S0 (instanceRef GEN_89_ADD)) + (portRef D (instanceRef FF_178)) + )) + (net result_i_178 (joined + (portRef Q (instanceRef FF_178)) + (portRef (member result_i 125)) + )) + (net tsum_177 (joined + (portRef S1 (instanceRef GEN_88_ADD)) + (portRef D (instanceRef FF_177)) )) (net result_i_177 (joined (portRef Q (instanceRef FF_177)) @@ -408876,6 +408853,99 @@ (portRef S0 (instanceRef GEN_44_ADD)) (portRef D (instanceRef FF_88)) )) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + )) (net result_i_88 (joined (portRef Q (instanceRef FF_88)) (portRef (member result_i 215)) @@ -408964,88 +409034,6 @@ (portRef S1 (instanceRef GEN_38_ADD)) (portRef D (instanceRef FF_77)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - )) (net result_i_77 (joined (portRef Q (instanceRef FF_77)) (portRef (member result_i 226)) @@ -410591,7 +410579,7 @@ (cell edge_to_pulse_0_10 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -410663,11 +410651,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_5_0 (joined + (net channel_debug_01_i_7_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_5 0)) + (portRef (member channel_debug_01_i_7 0)) )) (net VCC (joined (portRef VCC) @@ -410681,8 +410669,8 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(5:5)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(2:2)") 1) (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(7:7)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(2:2)") 1) (direction INPUT)) (port reset_i_16 (direction INPUT)) (port reset_i_15 (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -411171,8 +411159,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_5_2 (joined - (portRef (member channel_debug_01_i_5 0)) + (net channel_debug_01_i_7_2 (joined + (portRef (member channel_debug_01_i_7 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -411215,7 +411203,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_5 (joined + (net rd_en_i_7 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -411653,7 +411641,6 @@ (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -411943,6 +411930,7 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -412219,6 +412207,14 @@ )) (net reset_i_15 (joined (portRef reset_i_15) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) (portRef CD (instanceRef FF_79)) (portRef CD (instanceRef FF_80)) (portRef CD (instanceRef FF_81)) @@ -412320,37 +412316,6 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) - (net reset_i_16 (joined - (portRef reset_i_16) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -412494,6 +412459,29 @@ (portRef Q (instanceRef FF_40)) (portRef D (instanceRef FF_20)) )) + (net reset_i_16 (joined + (portRef reset_i_16) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + )) (net w_gcount_r2 (joined (portRef Q (instanceRef FF_39)) (portRef D (instanceRef FF_19)) @@ -413024,13 +413012,12 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(2:1)") 2) (direction INOUT)) - (port reset_tdc_85 (direction INPUT)) - (port reset_tdc_fast_36_r8 (direction INPUT)) - (port reset_tdc_fast_37_r5 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_36_r11 (direction INPUT)) + (port (array (rename channel_debug_01_i_7 "channel_debug_01_i_7(2:1)") 2) (direction INOUT)) + (port reset_tdc_79 (direction INPUT)) + (port reset_tdc_fast_36_r12 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_36_r13 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -413399,7 +413386,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNICV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIGV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -413493,10 +413480,10 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -413733,12 +413720,18 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -414014,12 +414007,6 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -414411,7 +414398,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNICV5Q)) + (portRef A (instanceRef start_reg_RNIGV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -414623,8 +414610,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -414676,8 +414663,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -414805,8 +414792,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -414904,8 +414891,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -415719,8 +415706,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNICV5Q (joined - (portRef Z (instanceRef start_reg_RNICV5Q)) + (net start_reg_RNIGV5Q (joined + (portRef Z (instanceRef start_reg_RNIGV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -415765,8 +415752,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_5_1 (joined - (portRef (member channel_debug_01_i_5 1)) + (net channel_debug_01_i_7_1 (joined + (portRef (member channel_debug_01_i_7 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -415809,7 +415796,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNICV5Q)) + (portRef B (instanceRef start_reg_RNIGV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -415837,9 +415824,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_5_2 (joined + (net channel_debug_01_i_7_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_5 0)) + (portRef (member channel_debug_01_i_7 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -416402,7 +416389,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNICV5Q)) + (portRef C (instanceRef start_reg_RNIGV5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -416531,10 +416518,14 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r11 (joined - (portRef reset_tdc_fast_36_r11) + (net reset_tdc_fast_36_r13 (joined + (portRef reset_tdc_fast_36_r13) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) + (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) )) @@ -416554,14 +416545,14 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) - )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) @@ -417297,11 +417288,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -418005,16 +417991,19 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r5 (joined - (portRef reset_tdc_fast_37_r5) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) + (net reset_tdc_fast_37_r7 (joined + (portRef reset_tdc_fast_37_r7) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + )) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -418128,11 +418117,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_61_7)) (portRef D1 (instanceRef Interval_Selection_interval_reg_41_62_7)) )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - )) (net mux_control_6_0_10_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -418458,8 +418442,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r8 (joined - (portRef reset_tdc_fast_36_r8) + (net reset_tdc_fast_36_r12 (joined + (portRef reset_tdc_fast_36_r12) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -419030,9 +419014,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) - (portRef reset_tdc_85 (instanceRef ROM_Encoder_1)) + (net reset_tdc_79 (joined + (portRef reset_tdc_79) + (portRef reset_tdc_79 (instanceRef ROM_Encoder_1)) )) ) ) @@ -419042,10 +419026,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) - (port reset_tdc_84 (direction INPUT)) - (port reset_tdc_83 (direction INPUT)) - (port reset_tdc_82 (direction INPUT)) + (port reset_tdc_79 (direction INPUT)) + (port reset_tdc_78 (direction INPUT)) + (port reset_tdc_77 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -421201,8 +421185,24 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_82 (joined - (portRef reset_tdc_82) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) (portRef CD (instanceRef FF_264)) (portRef CD (instanceRef FF_265)) (portRef CD (instanceRef FF_266)) @@ -421564,8 +421564,152 @@ (portRef S0 (instanceRef GEN_131_ADD)) (portRef D (instanceRef FF_262)) )) - (net reset_tdc_83 (joined - (portRef reset_tdc_83) + (net result_i_262 (joined + (portRef Q (instanceRef FF_262)) + (portRef (member result_i 41)) + )) + (net tsum_261 (joined + (portRef S1 (instanceRef GEN_130_ADD)) + (portRef D (instanceRef FF_261)) + )) + (net result_i_261 (joined + (portRef Q (instanceRef FF_261)) + (portRef (member result_i 42)) + )) + (net tsum_260 (joined + (portRef S0 (instanceRef GEN_130_ADD)) + (portRef D (instanceRef FF_260)) + )) + (net result_i_260 (joined + (portRef Q (instanceRef FF_260)) + (portRef (member result_i 43)) + )) + (net tsum_259 (joined + (portRef S1 (instanceRef GEN_129_ADD)) + (portRef D (instanceRef FF_259)) + )) + (net result_i_259 (joined + (portRef Q (instanceRef FF_259)) + (portRef (member result_i 44)) + )) + (net tsum_258 (joined + (portRef S0 (instanceRef GEN_129_ADD)) + (portRef D (instanceRef FF_258)) + )) + (net result_i_258 (joined + (portRef Q (instanceRef FF_258)) + (portRef (member result_i 45)) + )) + (net tsum_257 (joined + (portRef S1 (instanceRef GEN_128_ADD)) + (portRef D (instanceRef FF_257)) + )) + (net result_i_257 (joined + (portRef Q (instanceRef FF_257)) + (portRef (member result_i 46)) + )) + (net tsum_256 (joined + (portRef S0 (instanceRef GEN_128_ADD)) + (portRef D (instanceRef FF_256)) + )) + (net result_i_256 (joined + (portRef Q (instanceRef FF_256)) + (portRef (member result_i 47)) + )) + (net tsum_255 (joined + (portRef S1 (instanceRef GEN_127_ADD)) + (portRef D (instanceRef FF_255)) + )) + (net result_i_255 (joined + (portRef Q (instanceRef FF_255)) + (portRef (member result_i 48)) + )) + (net tsum_254 (joined + (portRef S0 (instanceRef GEN_127_ADD)) + (portRef D (instanceRef FF_254)) + )) + (net result_i_254 (joined + (portRef Q (instanceRef FF_254)) + (portRef (member result_i 49)) + )) + (net tsum_253 (joined + (portRef S1 (instanceRef GEN_126_ADD)) + (portRef D (instanceRef FF_253)) + )) + (net result_i_253 (joined + (portRef Q (instanceRef FF_253)) + (portRef (member result_i 50)) + )) + (net tsum_252 (joined + (portRef S0 (instanceRef GEN_126_ADD)) + (portRef D (instanceRef FF_252)) + )) + (net result_i_252 (joined + (portRef Q (instanceRef FF_252)) + (portRef (member result_i 51)) + )) + (net tsum_251 (joined + (portRef S1 (instanceRef GEN_125_ADD)) + (portRef D (instanceRef FF_251)) + )) + (net result_i_251 (joined + (portRef Q (instanceRef FF_251)) + (portRef (member result_i 52)) + )) + (net tsum_250 (joined + (portRef S0 (instanceRef GEN_125_ADD)) + (portRef D (instanceRef FF_250)) + )) + (net result_i_250 (joined + (portRef Q (instanceRef FF_250)) + (portRef (member result_i 53)) + )) + (net tsum_249 (joined + (portRef S1 (instanceRef GEN_124_ADD)) + (portRef D (instanceRef FF_249)) + )) + (net result_i_249 (joined + (portRef Q (instanceRef FF_249)) + (portRef (member result_i 54)) + )) + (net tsum_248 (joined + (portRef S0 (instanceRef GEN_124_ADD)) + (portRef D (instanceRef FF_248)) + )) + (net result_i_248 (joined + (portRef Q (instanceRef FF_248)) + (portRef (member result_i 55)) + )) + (net tsum_247 (joined + (portRef S1 (instanceRef GEN_123_ADD)) + (portRef D (instanceRef FF_247)) + )) + (net result_i_247 (joined + (portRef Q (instanceRef FF_247)) + (portRef (member result_i 56)) + )) + (net tsum_246 (joined + (portRef S0 (instanceRef GEN_123_ADD)) + (portRef D (instanceRef FF_246)) + )) + (net reset_tdc_77 (joined + (portRef reset_tdc_77) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) (portRef CD (instanceRef FF_165)) (portRef CD (instanceRef FF_166)) (portRef CD (instanceRef FF_167)) @@ -421649,150 +421793,6 @@ (portRef CD (instanceRef FF_245)) (portRef CD (instanceRef FF_246)) (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - )) - (net result_i_262 (joined - (portRef Q (instanceRef FF_262)) - (portRef (member result_i 41)) - )) - (net tsum_261 (joined - (portRef S1 (instanceRef GEN_130_ADD)) - (portRef D (instanceRef FF_261)) - )) - (net result_i_261 (joined - (portRef Q (instanceRef FF_261)) - (portRef (member result_i 42)) - )) - (net tsum_260 (joined - (portRef S0 (instanceRef GEN_130_ADD)) - (portRef D (instanceRef FF_260)) - )) - (net result_i_260 (joined - (portRef Q (instanceRef FF_260)) - (portRef (member result_i 43)) - )) - (net tsum_259 (joined - (portRef S1 (instanceRef GEN_129_ADD)) - (portRef D (instanceRef FF_259)) - )) - (net result_i_259 (joined - (portRef Q (instanceRef FF_259)) - (portRef (member result_i 44)) - )) - (net tsum_258 (joined - (portRef S0 (instanceRef GEN_129_ADD)) - (portRef D (instanceRef FF_258)) - )) - (net result_i_258 (joined - (portRef Q (instanceRef FF_258)) - (portRef (member result_i 45)) - )) - (net tsum_257 (joined - (portRef S1 (instanceRef GEN_128_ADD)) - (portRef D (instanceRef FF_257)) - )) - (net result_i_257 (joined - (portRef Q (instanceRef FF_257)) - (portRef (member result_i 46)) - )) - (net tsum_256 (joined - (portRef S0 (instanceRef GEN_128_ADD)) - (portRef D (instanceRef FF_256)) - )) - (net result_i_256 (joined - (portRef Q (instanceRef FF_256)) - (portRef (member result_i 47)) - )) - (net tsum_255 (joined - (portRef S1 (instanceRef GEN_127_ADD)) - (portRef D (instanceRef FF_255)) - )) - (net result_i_255 (joined - (portRef Q (instanceRef FF_255)) - (portRef (member result_i 48)) - )) - (net tsum_254 (joined - (portRef S0 (instanceRef GEN_127_ADD)) - (portRef D (instanceRef FF_254)) - )) - (net result_i_254 (joined - (portRef Q (instanceRef FF_254)) - (portRef (member result_i 49)) - )) - (net tsum_253 (joined - (portRef S1 (instanceRef GEN_126_ADD)) - (portRef D (instanceRef FF_253)) - )) - (net result_i_253 (joined - (portRef Q (instanceRef FF_253)) - (portRef (member result_i 50)) - )) - (net tsum_252 (joined - (portRef S0 (instanceRef GEN_126_ADD)) - (portRef D (instanceRef FF_252)) - )) - (net result_i_252 (joined - (portRef Q (instanceRef FF_252)) - (portRef (member result_i 51)) - )) - (net tsum_251 (joined - (portRef S1 (instanceRef GEN_125_ADD)) - (portRef D (instanceRef FF_251)) - )) - (net result_i_251 (joined - (portRef Q (instanceRef FF_251)) - (portRef (member result_i 52)) - )) - (net tsum_250 (joined - (portRef S0 (instanceRef GEN_125_ADD)) - (portRef D (instanceRef FF_250)) - )) - (net result_i_250 (joined - (portRef Q (instanceRef FF_250)) - (portRef (member result_i 53)) - )) - (net tsum_249 (joined - (portRef S1 (instanceRef GEN_124_ADD)) - (portRef D (instanceRef FF_249)) - )) - (net result_i_249 (joined - (portRef Q (instanceRef FF_249)) - (portRef (member result_i 54)) - )) - (net tsum_248 (joined - (portRef S0 (instanceRef GEN_124_ADD)) - (portRef D (instanceRef FF_248)) - )) - (net result_i_248 (joined - (portRef Q (instanceRef FF_248)) - (portRef (member result_i 55)) - )) - (net tsum_247 (joined - (portRef S1 (instanceRef GEN_123_ADD)) - (portRef D (instanceRef FF_247)) - )) - (net result_i_247 (joined - (portRef Q (instanceRef FF_247)) - (portRef (member result_i 56)) - )) - (net tsum_246 (joined - (portRef S0 (instanceRef GEN_123_ADD)) - (portRef D (instanceRef FF_246)) )) (net result_i_246 (joined (portRef Q (instanceRef FF_246)) @@ -422458,8 +422458,152 @@ (portRef S1 (instanceRef GEN_81_ADD)) (portRef D (instanceRef FF_163)) )) - (net reset_tdc_84 (joined - (portRef reset_tdc_84) + (net result_i_163 (joined + (portRef Q (instanceRef FF_163)) + (portRef (member result_i 140)) + )) + (net tsum_162 (joined + (portRef S0 (instanceRef GEN_81_ADD)) + (portRef D (instanceRef FF_162)) + )) + (net result_i_162 (joined + (portRef Q (instanceRef FF_162)) + (portRef (member result_i 141)) + )) + (net tsum_161 (joined + (portRef S1 (instanceRef GEN_80_ADD)) + (portRef D (instanceRef FF_161)) + )) + (net result_i_161 (joined + (portRef Q (instanceRef FF_161)) + (portRef (member result_i 142)) + )) + (net tsum_160 (joined + (portRef S0 (instanceRef GEN_80_ADD)) + (portRef D (instanceRef FF_160)) + )) + (net result_i_160 (joined + (portRef Q (instanceRef FF_160)) + (portRef (member result_i 143)) + )) + (net tsum_159 (joined + (portRef S1 (instanceRef GEN_79_ADD)) + (portRef D (instanceRef FF_159)) + )) + (net result_i_159 (joined + (portRef Q (instanceRef FF_159)) + (portRef (member result_i 144)) + )) + (net tsum_158 (joined + (portRef S0 (instanceRef GEN_79_ADD)) + (portRef D (instanceRef FF_158)) + )) + (net result_i_158 (joined + (portRef Q (instanceRef FF_158)) + (portRef (member result_i 145)) + )) + (net tsum_157 (joined + (portRef S1 (instanceRef GEN_78_ADD)) + (portRef D (instanceRef FF_157)) + )) + (net result_i_157 (joined + (portRef Q (instanceRef FF_157)) + (portRef (member result_i 146)) + )) + (net tsum_156 (joined + (portRef S0 (instanceRef GEN_78_ADD)) + (portRef D (instanceRef FF_156)) + )) + (net result_i_156 (joined + (portRef Q (instanceRef FF_156)) + (portRef (member result_i 147)) + )) + (net tsum_155 (joined + (portRef S1 (instanceRef GEN_77_ADD)) + (portRef D (instanceRef FF_155)) + )) + (net result_i_155 (joined + (portRef Q (instanceRef FF_155)) + (portRef (member result_i 148)) + )) + (net tsum_154 (joined + (portRef S0 (instanceRef GEN_77_ADD)) + (portRef D (instanceRef FF_154)) + )) + (net result_i_154 (joined + (portRef Q (instanceRef FF_154)) + (portRef (member result_i 149)) + )) + (net tsum_153 (joined + (portRef S1 (instanceRef GEN_76_ADD)) + (portRef D (instanceRef FF_153)) + )) + (net result_i_153 (joined + (portRef Q (instanceRef FF_153)) + (portRef (member result_i 150)) + )) + (net tsum_152 (joined + (portRef S0 (instanceRef GEN_76_ADD)) + (portRef D (instanceRef FF_152)) + )) + (net result_i_152 (joined + (portRef Q (instanceRef FF_152)) + (portRef (member result_i 151)) + )) + (net tsum_151 (joined + (portRef S1 (instanceRef GEN_75_ADD)) + (portRef D (instanceRef FF_151)) + )) + (net result_i_151 (joined + (portRef Q (instanceRef FF_151)) + (portRef (member result_i 152)) + )) + (net tsum_150 (joined + (portRef S0 (instanceRef GEN_75_ADD)) + (portRef D (instanceRef FF_150)) + )) + (net result_i_150 (joined + (portRef Q (instanceRef FF_150)) + (portRef (member result_i 153)) + )) + (net tsum_149 (joined + (portRef S1 (instanceRef GEN_74_ADD)) + (portRef D (instanceRef FF_149)) + )) + (net result_i_149 (joined + (portRef Q (instanceRef FF_149)) + (portRef (member result_i 154)) + )) + (net tsum_148 (joined + (portRef S0 (instanceRef GEN_74_ADD)) + (portRef D (instanceRef FF_148)) + )) + (net result_i_148 (joined + (portRef Q (instanceRef FF_148)) + (portRef (member result_i 155)) + )) + (net tsum_147 (joined + (portRef S1 (instanceRef GEN_73_ADD)) + (portRef D (instanceRef FF_147)) + )) + (net reset_tdc_78 (joined + (portRef reset_tdc_78) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) (portRef CD (instanceRef FF_66)) (portRef CD (instanceRef FF_67)) (portRef CD (instanceRef FF_68)) @@ -422543,150 +422687,6 @@ (portRef CD (instanceRef FF_146)) (portRef CD (instanceRef FF_147)) (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - )) - (net result_i_163 (joined - (portRef Q (instanceRef FF_163)) - (portRef (member result_i 140)) - )) - (net tsum_162 (joined - (portRef S0 (instanceRef GEN_81_ADD)) - (portRef D (instanceRef FF_162)) - )) - (net result_i_162 (joined - (portRef Q (instanceRef FF_162)) - (portRef (member result_i 141)) - )) - (net tsum_161 (joined - (portRef S1 (instanceRef GEN_80_ADD)) - (portRef D (instanceRef FF_161)) - )) - (net result_i_161 (joined - (portRef Q (instanceRef FF_161)) - (portRef (member result_i 142)) - )) - (net tsum_160 (joined - (portRef S0 (instanceRef GEN_80_ADD)) - (portRef D (instanceRef FF_160)) - )) - (net result_i_160 (joined - (portRef Q (instanceRef FF_160)) - (portRef (member result_i 143)) - )) - (net tsum_159 (joined - (portRef S1 (instanceRef GEN_79_ADD)) - (portRef D (instanceRef FF_159)) - )) - (net result_i_159 (joined - (portRef Q (instanceRef FF_159)) - (portRef (member result_i 144)) - )) - (net tsum_158 (joined - (portRef S0 (instanceRef GEN_79_ADD)) - (portRef D (instanceRef FF_158)) - )) - (net result_i_158 (joined - (portRef Q (instanceRef FF_158)) - (portRef (member result_i 145)) - )) - (net tsum_157 (joined - (portRef S1 (instanceRef GEN_78_ADD)) - (portRef D (instanceRef FF_157)) - )) - (net result_i_157 (joined - (portRef Q (instanceRef FF_157)) - (portRef (member result_i 146)) - )) - (net tsum_156 (joined - (portRef S0 (instanceRef GEN_78_ADD)) - (portRef D (instanceRef FF_156)) - )) - (net result_i_156 (joined - (portRef Q (instanceRef FF_156)) - (portRef (member result_i 147)) - )) - (net tsum_155 (joined - (portRef S1 (instanceRef GEN_77_ADD)) - (portRef D (instanceRef FF_155)) - )) - (net result_i_155 (joined - (portRef Q (instanceRef FF_155)) - (portRef (member result_i 148)) - )) - (net tsum_154 (joined - (portRef S0 (instanceRef GEN_77_ADD)) - (portRef D (instanceRef FF_154)) - )) - (net result_i_154 (joined - (portRef Q (instanceRef FF_154)) - (portRef (member result_i 149)) - )) - (net tsum_153 (joined - (portRef S1 (instanceRef GEN_76_ADD)) - (portRef D (instanceRef FF_153)) - )) - (net result_i_153 (joined - (portRef Q (instanceRef FF_153)) - (portRef (member result_i 150)) - )) - (net tsum_152 (joined - (portRef S0 (instanceRef GEN_76_ADD)) - (portRef D (instanceRef FF_152)) - )) - (net result_i_152 (joined - (portRef Q (instanceRef FF_152)) - (portRef (member result_i 151)) - )) - (net tsum_151 (joined - (portRef S1 (instanceRef GEN_75_ADD)) - (portRef D (instanceRef FF_151)) - )) - (net result_i_151 (joined - (portRef Q (instanceRef FF_151)) - (portRef (member result_i 152)) - )) - (net tsum_150 (joined - (portRef S0 (instanceRef GEN_75_ADD)) - (portRef D (instanceRef FF_150)) - )) - (net result_i_150 (joined - (portRef Q (instanceRef FF_150)) - (portRef (member result_i 153)) - )) - (net tsum_149 (joined - (portRef S1 (instanceRef GEN_74_ADD)) - (portRef D (instanceRef FF_149)) - )) - (net result_i_149 (joined - (portRef Q (instanceRef FF_149)) - (portRef (member result_i 154)) - )) - (net tsum_148 (joined - (portRef S0 (instanceRef GEN_74_ADD)) - (portRef D (instanceRef FF_148)) - )) - (net result_i_148 (joined - (portRef Q (instanceRef FF_148)) - (portRef (member result_i 155)) - )) - (net tsum_147 (joined - (portRef S1 (instanceRef GEN_73_ADD)) - (portRef D (instanceRef FF_147)) )) (net result_i_147 (joined (portRef Q (instanceRef FF_147)) @@ -423352,75 +423352,6 @@ (portRef S0 (instanceRef GEN_32_ADD)) (portRef D (instanceRef FF_64)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - )) (net result_i_64 (joined (portRef Q (instanceRef FF_64)) (portRef (member result_i 239)) @@ -423549,6 +423480,59 @@ (portRef S0 (instanceRef GEN_24_ADD)) (portRef D (instanceRef FF_48)) )) + (net reset_tdc_79 (joined + (portRef reset_tdc_79) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + )) (net result_i_48 (joined (portRef Q (instanceRef FF_48)) (portRef (member result_i 255)) @@ -424862,7 +424846,7 @@ (cell edge_to_pulse_0_9 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -424934,11 +424918,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_20_0 (joined + (net channel_debug_01_i_5_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_20 0)) + (portRef (member channel_debug_01_i_5 0)) )) (net VCC (joined (portRef VCC) @@ -424952,16 +424936,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(20:20)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(2:2)") 1) (direction INPUT)) - (port reset_i_8 (direction INPUT)) - (port reset_i_7 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(5:5)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(2:2)") 1) (direction INPUT)) + (port reset_i_17 (direction INPUT)) + (port reset_i_16 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -425441,8 +425426,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_20_2 (joined - (portRef (member channel_debug_01_i_20 0)) + (net channel_debug_01_i_5_2 (joined + (portRef (member channel_debug_01_i_5 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -425485,11 +425470,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_20 (joined + (net rd_en_i_5 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -425925,9 +425906,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -426216,8 +426197,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -426491,20 +426472,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) + (net reset_i_16 (joined + (portRef reset_i_16) (portRef CD (instanceRef FF_79)) (portRef CD (instanceRef FF_80)) (portRef CD (instanceRef FF_81)) @@ -426606,6 +426575,37 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -426765,25 +426765,6 @@ (portRef Q (instanceRef FF_36)) (portRef D (instanceRef FF_16)) )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - )) (net w_gcount_r6 (joined (portRef Q (instanceRef FF_35)) (portRef D (instanceRef FF_15)) @@ -426853,6 +426834,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -427293,11 +427279,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(2:1)") 2) (direction INOUT)) - (port reset_tdc_39 (direction INPUT)) - (port reset_tdc_fast_36_r29 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_5 "channel_debug_01_i_5(2:1)") 2) (direction INOUT)) + (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_fast_36_r8 (direction INPUT)) + (port reset_tdc_fast_37_r6 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_36_r11 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -427666,7 +427654,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI2ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNICV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -427711,10 +427699,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -427748,27 +427736,27 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -428000,13 +427988,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -428220,10 +428205,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -428284,7 +428269,10 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -428452,10 +428440,10 @@ (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -428678,7 +428666,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI2ARF)) + (portRef A (instanceRef start_reg_RNICV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -428890,8 +428878,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -428919,8 +428907,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -428943,8 +428931,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef B (instanceRef proc_cnt_1_4_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -429072,8 +429060,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -429105,8 +429093,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -429171,8 +429159,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_RNO_0)) + (portRef B (instanceRef proc_cnt_1_4_iv_2)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -429986,8 +429974,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI2ARF (joined - (portRef Z (instanceRef start_reg_RNI2ARF)) + (net start_reg_RNICV5Q (joined + (portRef Z (instanceRef start_reg_RNICV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -430032,8 +430020,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_20_1 (joined - (portRef (member channel_debug_01_i_20 1)) + (net channel_debug_01_i_5_1 (joined + (portRef (member channel_debug_01_i_5 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -430076,7 +430064,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI2ARF)) + (portRef B (instanceRef start_reg_RNICV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -430097,16 +430085,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) + (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_20_2 (joined + (net channel_debug_01_i_5_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_20 0)) + (portRef (member channel_debug_01_i_5 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -430262,8 +430250,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -430517,8 +430505,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -430669,7 +430657,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI2ARF)) + (portRef C (instanceRef start_reg_RNICV5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -430696,8 +430684,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -430768,14 +430756,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_20_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -430798,19 +430786,17 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (net reset_tdc_fast_36_r11 (joined + (portRef reset_tdc_fast_36_r11) + (portRef D (instanceRef proc_finished_4c)) + )) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -430820,22 +430806,26 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_20_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -432262,25 +432252,29 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_fast_37_r6 (joined + (portRef reset_tdc_fast_37_r6) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -432719,15 +432713,15 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r29 (joined - (portRef reset_tdc_fast_36_r29) + (net reset_tdc_fast_36_r8 (joined + (portRef reset_tdc_fast_36_r8) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) - (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) + (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) @@ -432736,14 +432730,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_3 (joined - (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_3)) - )) (net un3_binary_code_out_4 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_4)) )) + (net un3_binary_code_out_3 (joined + (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_3)) + )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) @@ -433291,9 +433285,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) - (portRef reset_tdc_39 (instanceRef ROM_Encoder_1)) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) + (portRef reset_tdc_85 (instanceRef ROM_Encoder_1)) )) ) ) @@ -433303,10 +433297,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_39 (direction INPUT)) - (port reset_tdc_38 (direction INPUT)) - (port reset_tdc_37 (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_84 (direction INPUT)) + (port reset_tdc_83 (direction INPUT)) + (port reset_tdc_82 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -435462,8 +435456,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) + (net reset_tdc_82 (joined + (portRef reset_tdc_82) (portRef CD (instanceRef FF_264)) (portRef CD (instanceRef FF_265)) (portRef CD (instanceRef FF_266)) @@ -435825,9 +435819,8 @@ (portRef S0 (instanceRef GEN_131_ADD)) (portRef D (instanceRef FF_262)) )) - (net reset_tdc_37 (joined - (portRef reset_tdc_37) - (portRef CD (instanceRef FF_164)) + (net reset_tdc_83 (joined + (portRef reset_tdc_83) (portRef CD (instanceRef FF_165)) (portRef CD (instanceRef FF_166)) (portRef CD (instanceRef FF_167)) @@ -436720,18 +436713,8 @@ (portRef S1 (instanceRef GEN_81_ADD)) (portRef D (instanceRef FF_163)) )) - (net result_i_163 (joined - (portRef Q (instanceRef FF_163)) - (portRef (member result_i 140)) - )) - (net tsum_162 (joined - (portRef S0 (instanceRef GEN_81_ADD)) - (portRef D (instanceRef FF_162)) - )) - (net reset_tdc_38 (joined - (portRef reset_tdc_38) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) + (net reset_tdc_84 (joined + (portRef reset_tdc_84) (portRef CD (instanceRef FF_66)) (portRef CD (instanceRef FF_67)) (portRef CD (instanceRef FF_68)) @@ -436830,6 +436813,15 @@ (portRef CD (instanceRef FF_161)) (portRef CD (instanceRef FF_162)) (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + )) + (net result_i_163 (joined + (portRef Q (instanceRef FF_163)) + (portRef (member result_i 140)) + )) + (net tsum_162 (joined + (portRef S0 (instanceRef GEN_81_ADD)) + (portRef D (instanceRef FF_162)) )) (net result_i_162 (joined (portRef Q (instanceRef FF_162)) @@ -437615,24 +437607,8 @@ (portRef S0 (instanceRef GEN_32_ADD)) (portRef D (instanceRef FF_64)) )) - (net result_i_64 (joined - (portRef Q (instanceRef FF_64)) - (portRef (member result_i 239)) - )) - (net tsum_63 (joined - (portRef S1 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_63)) - )) - (net result_i_63 (joined - (portRef Q (instanceRef FF_63)) - (portRef (member result_i 240)) - )) - (net tsum_62 (joined - (portRef S0 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_62)) - )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -437697,6 +437673,24 @@ (portRef CD (instanceRef FF_61)) (portRef CD (instanceRef FF_62)) (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + )) + (net result_i_64 (joined + (portRef Q (instanceRef FF_64)) + (portRef (member result_i 239)) + )) + (net tsum_63 (joined + (portRef S1 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_63)) + )) + (net result_i_63 (joined + (portRef Q (instanceRef FF_63)) + (portRef (member result_i 240)) + )) + (net tsum_62 (joined + (portRef S0 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_62)) )) (net result_i_62 (joined (portRef Q (instanceRef FF_62)) @@ -439123,7 +439117,7 @@ (cell edge_to_pulse_0_8 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -439195,11 +439189,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_8_0 (joined + (net channel_debug_01_i_4_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_8 0)) + (portRef (member channel_debug_01_i_4 0)) )) (net VCC (joined (portRef VCC) @@ -439213,15 +439207,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(8:8)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(2:2)") 1) (direction INPUT)) - (port reset_i_14 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(4:4)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(2:2)") 1) (direction INPUT)) + (port reset_i_17 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -439701,8 +439696,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_8_2 (joined - (portRef (member channel_debug_01_i_8 0)) + (net channel_debug_01_i_4_2 (joined + (portRef (member channel_debug_01_i_4 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -439745,11 +439740,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_8 (joined + (net rd_en_i_4 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -440186,7 +440177,7 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) @@ -440477,7 +440468,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -440751,8 +440742,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_14 (joined - (portRef reset_i_14) + (net reset_i_17 (joined + (portRef reset_i_17) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -441110,6 +441101,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -441550,13 +441546,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(2:1)") 2) (direction INOUT)) - (port reset_tdc_76 (direction INPUT)) - (port reset_tdc_fast_36_r14 (direction INPUT)) - (port reset_tdc_fast_37_r9 (direction INPUT)) - (port reset_tdc_fast_37_r8 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_36_r16 (direction INPUT)) + (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(2:1)") 2) (direction INOUT)) + (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_fast_36_r6 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_36_r7 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -441925,7 +441921,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIIV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIAV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442016,16 +442012,16 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442262,7 +442258,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442543,7 +442539,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442711,10 +442707,10 @@ (instance BINARY_CODE_OUTd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -442937,7 +442933,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIIV5Q)) + (portRef A (instanceRef start_reg_RNIAV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -443178,8 +443174,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -443190,8 +443186,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -443364,8 +443360,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -443397,8 +443393,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -444245,8 +444241,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIIV5Q (joined - (portRef Z (instanceRef start_reg_RNIIV5Q)) + (net start_reg_RNIAV5Q (joined + (portRef Z (instanceRef start_reg_RNIAV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -444291,8 +444287,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_8_1 (joined - (portRef (member channel_debug_01_i_8 1)) + (net channel_debug_01_i_4_1 (joined + (portRef (member channel_debug_01_i_4 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -444335,7 +444331,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIIV5Q)) + (portRef B (instanceRef start_reg_RNIAV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -444356,16 +444352,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_8)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_8_2 (joined + (net channel_debug_01_i_4_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_8 0)) + (portRef (member channel_debug_01_i_4 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -444928,7 +444924,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIIV5Q)) + (portRef C (instanceRef start_reg_RNIAV5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -445057,18 +445053,18 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r16 (joined - (portRef reset_tdc_fast_36_r16) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) + (portRef D (instanceRef proc_finished_3c)) + )) + (net reset_tdc_fast_36_r7 (joined + (portRef reset_tdc_fast_36_r7) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) - (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) @@ -445082,14 +445078,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) @@ -445098,6 +445086,14 @@ (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) + )) (net interval_reg_41_14_am_21_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -446532,20 +446528,20 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r8 (joined - (portRef reset_tdc_fast_37_r8) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) - (net reset_tdc_fast_37_r9 (joined - (portRef reset_tdc_fast_37_r9) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -446984,15 +446980,15 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r14 (joined - (portRef reset_tdc_fast_36_r14) + (net reset_tdc_fast_36_r6 (joined + (portRef reset_tdc_fast_36_r6) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) @@ -447001,14 +446997,14 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) - )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_6 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) @@ -447556,9 +447552,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef reset_tdc_76 (instanceRef ROM_Encoder_1)) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) + (portRef reset_tdc_88 (instanceRef ROM_Encoder_1)) )) ) ) @@ -447568,10 +447564,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) - (port reset_tdc_75 (direction INPUT)) - (port reset_tdc_74 (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_87 (direction INPUT)) + (port reset_tdc_86 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -449727,40 +449723,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) (portRef CD (instanceRef FF_272)) (portRef CD (instanceRef FF_273)) (portRef CD (instanceRef FF_274)) @@ -450050,6 +450014,108 @@ (portRef S0 (instanceRef GEN_135_ADD)) (portRef D (instanceRef FF_270)) )) + (net reset_tdc_86 (joined + (portRef reset_tdc_86) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + )) (net result_i_270 (joined (portRef Q (instanceRef FF_270)) (portRef (member result_i 33)) @@ -450306,108 +450372,6 @@ (portRef S0 (instanceRef GEN_119_ADD)) (portRef D (instanceRef FF_238)) )) - (net reset_tdc_74 (joined - (portRef reset_tdc_74) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - )) (net result_i_238 (joined (portRef Q (instanceRef FF_238)) (portRef (member result_i 65)) @@ -450944,6 +450908,108 @@ (portRef S1 (instanceRef GEN_85_ADD)) (portRef D (instanceRef FF_171)) )) + (net reset_tdc_87 (joined + (portRef reset_tdc_87) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + )) (net result_i_171 (joined (portRef Q (instanceRef FF_171)) (portRef (member result_i 132)) @@ -451200,108 +451266,6 @@ (portRef S1 (instanceRef GEN_69_ADD)) (portRef D (instanceRef FF_139)) )) - (net reset_tdc_75 (joined - (portRef reset_tdc_75) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - )) (net result_i_139 (joined (portRef Q (instanceRef FF_139)) (portRef (member result_i 164)) @@ -451838,6 +451802,83 @@ (portRef S0 (instanceRef GEN_36_ADD)) (portRef D (instanceRef FF_72)) )) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + )) (net result_i_72 (joined (portRef Q (instanceRef FF_72)) (portRef (member result_i 231)) @@ -452094,51 +452135,6 @@ (portRef S0 (instanceRef GEN_20_ADD)) (portRef D (instanceRef FF_40)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - )) (net result_i_40 (joined (portRef Q (instanceRef FF_40)) (portRef (member result_i 263)) @@ -453025,2672 +453021,362 @@ )) (net co_74 (joined (portRef COUT (instanceRef GEN_74_ADD)) - (portRef CI (instanceRef GEN_75_ADD)) - )) - (net co_44 (joined - (portRef COUT (instanceRef GEN_44_ADD)) - (portRef CI (instanceRef GEN_45_ADD)) - )) - (net co_14 (joined - (portRef COUT (instanceRef GEN_14_ADD)) - (portRef CI (instanceRef GEN_15_ADD)) - )) - (net co_105 (joined - (portRef COUT (instanceRef GEN_105_ADD)) - (portRef CI (instanceRef GEN_106_ADD)) - )) - (net co_75 (joined - (portRef COUT (instanceRef GEN_75_ADD)) - (portRef CI (instanceRef GEN_76_ADD)) - )) - (net co_45 (joined - (portRef COUT (instanceRef GEN_45_ADD)) - (portRef CI (instanceRef GEN_46_ADD)) - )) - (net co_15 (joined - (portRef COUT (instanceRef GEN_15_ADD)) - (portRef CI (instanceRef GEN_16_ADD)) - )) - (net co_106 (joined - (portRef COUT (instanceRef GEN_106_ADD)) - (portRef CI (instanceRef GEN_107_ADD)) - )) - (net co_76 (joined - (portRef COUT (instanceRef GEN_76_ADD)) - (portRef CI (instanceRef GEN_77_ADD)) - )) - (net co_46 (joined - (portRef COUT (instanceRef GEN_46_ADD)) - (portRef CI (instanceRef GEN_47_ADD)) - )) - (net co_16 (joined - (portRef COUT (instanceRef GEN_16_ADD)) - (portRef CI (instanceRef GEN_17_ADD)) - )) - (net co_107 (joined - (portRef COUT (instanceRef GEN_107_ADD)) - (portRef CI (instanceRef GEN_108_ADD)) - )) - (net co_77 (joined - (portRef COUT (instanceRef GEN_77_ADD)) - (portRef CI (instanceRef GEN_78_ADD)) - )) - (net co_47 (joined - (portRef COUT (instanceRef GEN_47_ADD)) - (portRef CI (instanceRef GEN_48_ADD)) - )) - (net co_17 (joined - (portRef COUT (instanceRef GEN_17_ADD)) - (portRef CI (instanceRef GEN_18_ADD)) - )) - (net co_108 (joined - (portRef COUT (instanceRef GEN_108_ADD)) - (portRef CI (instanceRef GEN_109_ADD)) - )) - (net co_78 (joined - (portRef COUT (instanceRef GEN_78_ADD)) - (portRef CI (instanceRef GEN_79_ADD)) - )) - (net co_48 (joined - (portRef COUT (instanceRef GEN_48_ADD)) - (portRef CI (instanceRef GEN_49_ADD)) - )) - (net co_18 (joined - (portRef COUT (instanceRef GEN_18_ADD)) - (portRef CI (instanceRef GEN_19_ADD)) - )) - (net co_109 (joined - (portRef COUT (instanceRef GEN_109_ADD)) - (portRef CI (instanceRef GEN_110_ADD)) - )) - (net co_49 (joined - (portRef COUT (instanceRef GEN_49_ADD)) - (portRef CI (instanceRef GEN_50_ADD)) - )) - (net co_19 (joined - (portRef COUT (instanceRef GEN_19_ADD)) - (portRef CI (instanceRef GEN_20_ADD)) - )) - (net co_110 (joined - (portRef COUT (instanceRef GEN_110_ADD)) - (portRef CI (instanceRef GEN_111_ADD)) - )) - (net co_79 (joined - (portRef COUT (instanceRef GEN_79_ADD)) - (portRef CI (instanceRef GEN_80_ADD)) - )) - (net co_80 (joined - (portRef COUT (instanceRef GEN_80_ADD)) - (portRef CI (instanceRef GEN_81_ADD)) - )) - (net co_20 (joined - (portRef COUT (instanceRef GEN_20_ADD)) - (portRef CI (instanceRef GEN_21_ADD)) - )) - (net co_111 (joined - (portRef COUT (instanceRef GEN_111_ADD)) - (portRef CI (instanceRef GEN_112_ADD)) - )) - (net co_81 (joined - (portRef COUT (instanceRef GEN_81_ADD)) - (portRef CI (instanceRef GEN_82_ADD)) - )) - (net co_21 (joined - (portRef COUT (instanceRef GEN_21_ADD)) - (portRef CI (instanceRef GEN_22_ADD)) - )) - (net co_112 (joined - (portRef COUT (instanceRef GEN_112_ADD)) - (portRef CI (instanceRef GEN_113_ADD)) - )) - (net co_82 (joined - (portRef COUT (instanceRef GEN_82_ADD)) - (portRef CI (instanceRef GEN_83_ADD)) - )) - (net co_52 (joined - (portRef COUT (instanceRef GEN_52_ADD)) - (portRef CI (instanceRef GEN_53_ADD)) - )) - (net co_22 (joined - (portRef COUT (instanceRef GEN_22_ADD)) - (portRef CI (instanceRef GEN_23_ADD)) - )) - (net co_113 (joined - (portRef COUT (instanceRef GEN_113_ADD)) - (portRef CI (instanceRef GEN_114_ADD)) - )) - (net co_83 (joined - (portRef COUT (instanceRef GEN_83_ADD)) - (portRef CI (instanceRef GEN_84_ADD)) - )) - (net co_53 (joined - (portRef COUT (instanceRef GEN_53_ADD)) - (portRef CI (instanceRef GEN_54_ADD)) - )) - (net co_114 (joined - (portRef COUT (instanceRef GEN_114_ADD)) - (portRef CI (instanceRef GEN_115_ADD)) - )) - (net co_84 (joined - (portRef COUT (instanceRef GEN_84_ADD)) - (portRef CI (instanceRef GEN_85_ADD)) - )) - (net co_54 (joined - (portRef COUT (instanceRef GEN_54_ADD)) - (portRef CI (instanceRef GEN_55_ADD)) - )) - (net co_115 (joined - (portRef COUT (instanceRef GEN_115_ADD)) - (portRef CI (instanceRef GEN_116_ADD)) - )) - (net co_85 (joined - (portRef COUT (instanceRef GEN_85_ADD)) - (portRef CI (instanceRef GEN_86_ADD)) - )) - (net co_55 (joined - (portRef COUT (instanceRef GEN_55_ADD)) - (portRef CI (instanceRef GEN_56_ADD)) - )) - (net co_25 (joined - (portRef COUT (instanceRef GEN_25_ADD)) - (portRef CI (instanceRef GEN_26_ADD)) - )) - (net co_116 (joined - (portRef COUT (instanceRef GEN_116_ADD)) - (portRef CI (instanceRef GEN_117_ADD)) - )) - (net co_86 (joined - (portRef COUT (instanceRef GEN_86_ADD)) - (portRef CI (instanceRef GEN_87_ADD)) - )) - (net co_56 (joined - (portRef COUT (instanceRef GEN_56_ADD)) - (portRef CI (instanceRef GEN_57_ADD)) - )) - (net co_26 (joined - (portRef COUT (instanceRef GEN_26_ADD)) - (portRef CI (instanceRef GEN_27_ADD)) - )) - (net co_87 (joined - (portRef COUT (instanceRef GEN_87_ADD)) - (portRef CI (instanceRef GEN_88_ADD)) - )) - (net co_57 (joined - (portRef COUT (instanceRef GEN_57_ADD)) - (portRef CI (instanceRef GEN_58_ADD)) - )) - (net co_27 (joined - (portRef COUT (instanceRef GEN_27_ADD)) - (portRef CI (instanceRef GEN_28_ADD)) - )) - (net co_58 (joined - (portRef COUT (instanceRef GEN_58_ADD)) - (portRef CI (instanceRef GEN_59_ADD)) - )) - (net co_28 (joined - (portRef COUT (instanceRef GEN_28_ADD)) - (portRef CI (instanceRef GEN_29_ADD)) - )) - (net co_1 (joined - (portRef COUT (instanceRef GEN_1_ADD)) - (portRef CI (instanceRef GEN_2_ADD)) - )) - (net co_59 (joined - (portRef COUT (instanceRef GEN_59_ADD)) - (portRef CI (instanceRef GEN_60_ADD)) - )) - (net co_29 (joined - (portRef COUT (instanceRef GEN_29_ADD)) - (portRef CI (instanceRef GEN_30_ADD)) - )) - (net co_120 (joined - (portRef COUT (instanceRef GEN_120_ADD)) - (portRef CI (instanceRef GEN_121_ADD)) - )) - (net co_90 (joined - (portRef COUT (instanceRef GEN_90_ADD)) - (portRef CI (instanceRef GEN_91_ADD)) - )) - (net co_60 (joined - (portRef COUT (instanceRef GEN_60_ADD)) - (portRef CI (instanceRef GEN_61_ADD)) - )) - (net co_30 (joined - (portRef COUT (instanceRef GEN_30_ADD)) - (portRef CI (instanceRef GEN_31_ADD)) - )) - (net co_121 (joined - (portRef COUT (instanceRef GEN_121_ADD)) - (portRef CI (instanceRef GEN_122_ADD)) - )) - (net co_134 (joined - (portRef COUT (instanceRef GEN_134_ADD)) - (portRef CI (instanceRef GEN_135_ADD)) - )) - (net co_135 (joined - (portRef COUT (instanceRef GEN_135_ADD)) - (portRef CI (instanceRef GEN_136_ADD)) - )) - (net co_131 (joined - (portRef COUT (instanceRef GEN_131_ADD)) - (portRef CI (instanceRef GEN_132_ADD)) - )) - (net co_132 (joined - (portRef COUT (instanceRef GEN_132_ADD)) - (portRef CI (instanceRef GEN_133_ADD)) - )) - (net co_128 (joined - (portRef COUT (instanceRef GEN_128_ADD)) - (portRef CI (instanceRef GEN_129_ADD)) - )) - (net co_129 (joined - (portRef COUT (instanceRef GEN_129_ADD)) - (portRef CI (instanceRef GEN_130_ADD)) - )) - (net co_125 (joined - (portRef COUT (instanceRef GEN_125_ADD)) - (portRef CI (instanceRef GEN_126_ADD)) - )) - (net co_126 (joined - (portRef COUT (instanceRef GEN_126_ADD)) - (portRef CI (instanceRef GEN_127_ADD)) - )) - (net co_122 (joined - (portRef COUT (instanceRef GEN_122_ADD)) - (portRef CI (instanceRef GEN_123_ADD)) - )) - (net co_133 (joined - (portRef COUT (instanceRef GEN_133_ADD)) - (portRef CI (instanceRef GEN_134_ADD)) - )) - (net co_130 (joined - (portRef COUT (instanceRef GEN_130_ADD)) - (portRef CI (instanceRef GEN_131_ADD)) - )) - (net co_127 (joined - (portRef COUT (instanceRef GEN_127_ADD)) - (portRef CI (instanceRef GEN_128_ADD)) - )) - (net co_123 (joined - (portRef COUT (instanceRef GEN_123_ADD)) - (portRef CI (instanceRef GEN_124_ADD)) - )) - (net co_124 (joined - (portRef COUT (instanceRef GEN_124_ADD)) - (portRef CI (instanceRef GEN_125_ADD)) - )) - (net co_147 (joined - (portRef COUT (instanceRef GEN_147_ADD)) - (portRef CI (instanceRef GEN_148_ADD)) - )) - (net co_148 (joined - (portRef COUT (instanceRef GEN_148_ADD)) - (portRef CI (instanceRef GEN_149_ADD)) - )) - (net co_144 (joined - (portRef COUT (instanceRef GEN_144_ADD)) - (portRef CI (instanceRef GEN_145_ADD)) - )) - (net co_145 (joined - (portRef COUT (instanceRef GEN_145_ADD)) - (portRef CI (instanceRef GEN_146_ADD)) - )) - (net co_141 (joined - (portRef COUT (instanceRef GEN_141_ADD)) - (portRef CI (instanceRef GEN_142_ADD)) - )) - (net co_142 (joined - (portRef COUT (instanceRef GEN_142_ADD)) - (portRef CI (instanceRef GEN_143_ADD)) - )) - (net co_138 (joined - (portRef COUT (instanceRef GEN_138_ADD)) - (portRef CI (instanceRef GEN_139_ADD)) - )) - (net co_139 (joined - (portRef COUT (instanceRef GEN_139_ADD)) - (portRef CI (instanceRef GEN_140_ADD)) - )) - (net co_146 (joined - (portRef COUT (instanceRef GEN_146_ADD)) - (portRef CI (instanceRef GEN_147_ADD)) - )) - (net co_143 (joined - (portRef COUT (instanceRef GEN_143_ADD)) - (portRef CI (instanceRef GEN_144_ADD)) - )) - (net co_140 (joined - (portRef COUT (instanceRef GEN_140_ADD)) - (portRef CI (instanceRef GEN_141_ADD)) - )) - (net co_136 (joined - (portRef COUT (instanceRef GEN_136_ADD)) - (portRef CI (instanceRef GEN_137_ADD)) - )) - (net co_137 (joined - (portRef COUT (instanceRef GEN_137_ADD)) - (portRef CI (instanceRef GEN_138_ADD)) - )) - (net co_149 (joined - (portRef COUT (instanceRef GEN_149_ADD)) - (portRef CI (instanceRef GEN_150_ADD)) - )) - (net co_150 (joined - (portRef COUT (instanceRef GEN_150_ADD)) - (portRef CI (instanceRef GEN_151_ADD)) - )) - (net co_151 (joined - (portRef COUT (instanceRef GEN_151_ADD)) - )) - ) - ) - ) - (cell signal_sync_24_3_23 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename measurement_cntr "measurement_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(535:512)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member measurement_cntr 23)) - ) - ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member measurement_cntr 22)) - ) - ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) - )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) - )) - (net VCC (joined - (portRef VCC) - )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member measurement_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member measurement_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member measurement_cntr 19)) - ) - ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member measurement_cntr 18)) - ) - ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member measurement_cntr 17)) - ) - ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member measurement_cntr 16)) - ) - ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member measurement_cntr 15)) - ) - ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member measurement_cntr 14)) - ) - ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member measurement_cntr 13)) - ) - ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member measurement_cntr 12)) - ) - ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member measurement_cntr 11)) - ) - ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member measurement_cntr 10)) - ) - ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member measurement_cntr 9)) - ) - ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member measurement_cntr 8)) - ) - ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member measurement_cntr 7)) - ) - ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member measurement_cntr 6)) - ) - ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member measurement_cntr 5)) - ) - ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member measurement_cntr 4)) - ) - ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member measurement_cntr 3)) - ) - ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member measurement_cntr 2)) - ) - ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member measurement_cntr 1)) - ) - ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member measurement_cntr 0)) - ) - ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) - ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) - ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CI (instanceRef GEN_75_ADD)) )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (net co_44 (joined + (portRef COUT (instanceRef GEN_44_ADD)) + (portRef CI (instanceRef GEN_45_ADD)) )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (net co_14 (joined + (portRef COUT (instanceRef GEN_14_ADD)) + (portRef CI (instanceRef GEN_15_ADD)) )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (net co_105 (joined + (portRef COUT (instanceRef GEN_105_ADD)) + (portRef CI (instanceRef GEN_106_ADD)) )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (net co_75 (joined + (portRef COUT (instanceRef GEN_75_ADD)) + (portRef CI (instanceRef GEN_76_ADD)) )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (net co_45 (joined + (portRef COUT (instanceRef GEN_45_ADD)) + (portRef CI (instanceRef GEN_46_ADD)) )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (net co_15 (joined + (portRef COUT (instanceRef GEN_15_ADD)) + (portRef CI (instanceRef GEN_16_ADD)) )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (net co_106 (joined + (portRef COUT (instanceRef GEN_106_ADD)) + (portRef CI (instanceRef GEN_107_ADD)) )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (net co_76 (joined + (portRef COUT (instanceRef GEN_76_ADD)) + (portRef CI (instanceRef GEN_77_ADD)) )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (net co_46 (joined + (portRef COUT (instanceRef GEN_46_ADD)) + (portRef CI (instanceRef GEN_47_ADD)) )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (net co_16 (joined + (portRef COUT (instanceRef GEN_16_ADD)) + (portRef CI (instanceRef GEN_17_ADD)) )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (net co_107 (joined + (portRef COUT (instanceRef GEN_107_ADD)) + (portRef CI (instanceRef GEN_108_ADD)) )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (net co_77 (joined + (portRef COUT (instanceRef GEN_77_ADD)) + (portRef CI (instanceRef GEN_78_ADD)) )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (net co_47 (joined + (portRef COUT (instanceRef GEN_47_ADD)) + (portRef CI (instanceRef GEN_48_ADD)) )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (net co_17 (joined + (portRef COUT (instanceRef GEN_17_ADD)) + (portRef CI (instanceRef GEN_18_ADD)) )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (net co_108 (joined + (portRef COUT (instanceRef GEN_108_ADD)) + (portRef CI (instanceRef GEN_109_ADD)) )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (net co_78 (joined + (portRef COUT (instanceRef GEN_78_ADD)) + (portRef CI (instanceRef GEN_79_ADD)) )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (net co_48 (joined + (portRef COUT (instanceRef GEN_48_ADD)) + (portRef CI (instanceRef GEN_49_ADD)) )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (net co_18 (joined + (portRef COUT (instanceRef GEN_18_ADD)) + (portRef CI (instanceRef GEN_19_ADD)) )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (net co_109 (joined + (portRef COUT (instanceRef GEN_109_ADD)) + (portRef CI (instanceRef GEN_110_ADD)) )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (net co_49 (joined + (portRef COUT (instanceRef GEN_49_ADD)) + (portRef CI (instanceRef GEN_50_ADD)) )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (net co_19 (joined + (portRef COUT (instanceRef GEN_19_ADD)) + (portRef CI (instanceRef GEN_20_ADD)) )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (net co_110 (joined + (portRef COUT (instanceRef GEN_110_ADD)) + (portRef CI (instanceRef GEN_111_ADD)) )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_79 (joined + (portRef COUT (instanceRef GEN_79_ADD)) + (portRef CI (instanceRef GEN_80_ADD)) )) - ) - ) - ) - (cell signal_sync_24_3_22 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename encoder_start_cntr "encoder_start_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(567:544)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member encoder_start_cntr 23)) - ) - ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member encoder_start_cntr 22)) - ) - ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) + (net co_80 (joined + (portRef COUT (instanceRef GEN_80_ADD)) + (portRef CI (instanceRef GEN_81_ADD)) )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) + (net co_20 (joined + (portRef COUT (instanceRef GEN_20_ADD)) + (portRef CI (instanceRef GEN_21_ADD)) )) - (net VCC (joined - (portRef VCC) + (net co_111 (joined + (portRef COUT (instanceRef GEN_111_ADD)) + (portRef CI (instanceRef GEN_112_ADD)) )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member encoder_start_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member encoder_start_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member encoder_start_cntr 19)) - ) - ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member encoder_start_cntr 18)) - ) - ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member encoder_start_cntr 17)) - ) - ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member encoder_start_cntr 16)) - ) - ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member encoder_start_cntr 15)) - ) - ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member encoder_start_cntr 14)) - ) - ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member encoder_start_cntr 13)) - ) - ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member encoder_start_cntr 12)) - ) - ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member encoder_start_cntr 11)) - ) - ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member encoder_start_cntr 10)) - ) - ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member encoder_start_cntr 9)) - ) - ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member encoder_start_cntr 8)) - ) - ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member encoder_start_cntr 7)) - ) - ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member encoder_start_cntr 6)) - ) - ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member encoder_start_cntr 5)) - ) - ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member encoder_start_cntr 4)) - ) - ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member encoder_start_cntr 3)) - ) - ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member encoder_start_cntr 2)) - ) - ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member encoder_start_cntr 1)) - ) - ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member encoder_start_cntr 0)) - ) - ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) - ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) - ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_81 (joined + (portRef COUT (instanceRef GEN_81_ADD)) + (portRef CI (instanceRef GEN_82_ADD)) )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (net co_21 (joined + (portRef COUT (instanceRef GEN_21_ADD)) + (portRef CI (instanceRef GEN_22_ADD)) )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (net co_112 (joined + (portRef COUT (instanceRef GEN_112_ADD)) + (portRef CI (instanceRef GEN_113_ADD)) )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (net co_82 (joined + (portRef COUT (instanceRef GEN_82_ADD)) + (portRef CI (instanceRef GEN_83_ADD)) )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (net co_52 (joined + (portRef COUT (instanceRef GEN_52_ADD)) + (portRef CI (instanceRef GEN_53_ADD)) )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (net co_22 (joined + (portRef COUT (instanceRef GEN_22_ADD)) + (portRef CI (instanceRef GEN_23_ADD)) )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (net co_113 (joined + (portRef COUT (instanceRef GEN_113_ADD)) + (portRef CI (instanceRef GEN_114_ADD)) )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (net co_83 (joined + (portRef COUT (instanceRef GEN_83_ADD)) + (portRef CI (instanceRef GEN_84_ADD)) )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (net co_53 (joined + (portRef COUT (instanceRef GEN_53_ADD)) + (portRef CI (instanceRef GEN_54_ADD)) )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (net co_114 (joined + (portRef COUT (instanceRef GEN_114_ADD)) + (portRef CI (instanceRef GEN_115_ADD)) )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (net co_84 (joined + (portRef COUT (instanceRef GEN_84_ADD)) + (portRef CI (instanceRef GEN_85_ADD)) )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (net co_54 (joined + (portRef COUT (instanceRef GEN_54_ADD)) + (portRef CI (instanceRef GEN_55_ADD)) )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (net co_115 (joined + (portRef COUT (instanceRef GEN_115_ADD)) + (portRef CI (instanceRef GEN_116_ADD)) )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (net co_85 (joined + (portRef COUT (instanceRef GEN_85_ADD)) + (portRef CI (instanceRef GEN_86_ADD)) )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (net co_55 (joined + (portRef COUT (instanceRef GEN_55_ADD)) + (portRef CI (instanceRef GEN_56_ADD)) )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (net co_25 (joined + (portRef COUT (instanceRef GEN_25_ADD)) + (portRef CI (instanceRef GEN_26_ADD)) )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (net co_116 (joined + (portRef COUT (instanceRef GEN_116_ADD)) + (portRef CI (instanceRef GEN_117_ADD)) )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (net co_86 (joined + (portRef COUT (instanceRef GEN_86_ADD)) + (portRef CI (instanceRef GEN_87_ADD)) )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (net co_56 (joined + (portRef COUT (instanceRef GEN_56_ADD)) + (portRef CI (instanceRef GEN_57_ADD)) )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (net co_26 (joined + (portRef COUT (instanceRef GEN_26_ADD)) + (portRef CI (instanceRef GEN_27_ADD)) )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (net co_87 (joined + (portRef COUT (instanceRef GEN_87_ADD)) + (portRef CI (instanceRef GEN_88_ADD)) )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (net co_57 (joined + (portRef COUT (instanceRef GEN_57_ADD)) + (portRef CI (instanceRef GEN_58_ADD)) )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (net co_27 (joined + (portRef COUT (instanceRef GEN_27_ADD)) + (portRef CI (instanceRef GEN_28_ADD)) )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (net co_58 (joined + (portRef COUT (instanceRef GEN_58_ADD)) + (portRef CI (instanceRef GEN_59_ADD)) )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_28 (joined + (portRef COUT (instanceRef GEN_28_ADD)) + (portRef CI (instanceRef GEN_29_ADD)) )) - ) - ) - ) - (cell signal_sync_24_3_21 (cellType GENERIC) - (view netlist (viewType NETLIST) - (interface - (port (array (rename lost_hit_cntr "lost_hit_cntr(23:0)") 24) (direction INPUT)) - (port (array (rename stat_reg "stat_reg(503:480)") 24) (direction OUTPUT)) - (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port clk_100_i_c (direction INPUT)) - (port VCC (direction INPUT)) - (port CLK_PCLK_LEFT_c (direction INPUT)) - ) - (contents - (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (net sync_q_1_0 (joined - (portRef D (instanceRef sync_q_24)) - (portRef (member lost_hit_cntr 23)) - ) - ) - (net sync_q_1_1 (joined - (portRef D (instanceRef sync_q_25)) - (portRef (member lost_hit_cntr 22)) - ) - ) - (net CLK_PCLK_LEFT_c (joined - (portRef CLK_PCLK_LEFT_c) - (portRef CK (instanceRef sync_q_47)) - (portRef CK (instanceRef sync_q_46)) - (portRef CK (instanceRef sync_q_45)) - (portRef CK (instanceRef sync_q_44)) - (portRef CK (instanceRef sync_q_43)) - (portRef CK (instanceRef sync_q_42)) - (portRef CK (instanceRef sync_q_41)) - (portRef CK (instanceRef sync_q_40)) - (portRef CK (instanceRef sync_q_39)) - (portRef CK (instanceRef sync_q_38)) - (portRef CK (instanceRef sync_q_37)) - (portRef CK (instanceRef sync_q_36)) - (portRef CK (instanceRef sync_q_35)) - (portRef CK (instanceRef sync_q_34)) - (portRef CK (instanceRef sync_q_33)) - (portRef CK (instanceRef sync_q_32)) - (portRef CK (instanceRef sync_q_31)) - (portRef CK (instanceRef sync_q_30)) - (portRef CK (instanceRef sync_q_29)) - (portRef CK (instanceRef sync_q_28)) - (portRef CK (instanceRef sync_q_27)) - (portRef CK (instanceRef sync_q_26)) - (portRef CK (instanceRef sync_q_25)) - (portRef CK (instanceRef sync_q_24)) + (net co_1 (joined + (portRef COUT (instanceRef GEN_1_ADD)) + (portRef CI (instanceRef GEN_2_ADD)) )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef CD (instanceRef sync_q_47)) - (portRef CD (instanceRef sync_q_46)) - (portRef CD (instanceRef sync_q_45)) - (portRef CD (instanceRef sync_q_44)) - (portRef CD (instanceRef sync_q_43)) - (portRef CD (instanceRef sync_q_42)) - (portRef CD (instanceRef sync_q_41)) - (portRef CD (instanceRef sync_q_40)) - (portRef CD (instanceRef sync_q_39)) - (portRef CD (instanceRef sync_q_38)) - (portRef CD (instanceRef sync_q_37)) - (portRef CD (instanceRef sync_q_36)) - (portRef CD (instanceRef sync_q_35)) - (portRef CD (instanceRef sync_q_34)) - (portRef CD (instanceRef sync_q_33)) - (portRef CD (instanceRef sync_q_32)) - (portRef CD (instanceRef sync_q_31)) - (portRef CD (instanceRef sync_q_30)) - (portRef CD (instanceRef sync_q_29)) - (portRef CD (instanceRef sync_q_28)) - (portRef CD (instanceRef sync_q_27)) - (portRef CD (instanceRef sync_q_26)) - (portRef CD (instanceRef sync_q_25)) - (portRef CD (instanceRef sync_q_24)) + (net co_59 (joined + (portRef COUT (instanceRef GEN_59_ADD)) + (portRef CI (instanceRef GEN_60_ADD)) )) - (net VCC (joined - (portRef VCC) + (net co_29 (joined + (portRef COUT (instanceRef GEN_29_ADD)) + (portRef CI (instanceRef GEN_30_ADD)) )) - (net sync_q_1_2 (joined - (portRef D (instanceRef sync_q_26)) - (portRef (member lost_hit_cntr 21)) - ) - ) - (net sync_q_1_3 (joined - (portRef D (instanceRef sync_q_27)) - (portRef (member lost_hit_cntr 20)) - ) - ) - (net sync_q_1_4 (joined - (portRef D (instanceRef sync_q_28)) - (portRef (member lost_hit_cntr 19)) - ) - ) - (net sync_q_1_5 (joined - (portRef D (instanceRef sync_q_29)) - (portRef (member lost_hit_cntr 18)) - ) - ) - (net sync_q_1_6 (joined - (portRef D (instanceRef sync_q_30)) - (portRef (member lost_hit_cntr 17)) - ) - ) - (net sync_q_1_7 (joined - (portRef D (instanceRef sync_q_31)) - (portRef (member lost_hit_cntr 16)) - ) - ) - (net sync_q_1_8 (joined - (portRef D (instanceRef sync_q_32)) - (portRef (member lost_hit_cntr 15)) - ) - ) - (net sync_q_1_9 (joined - (portRef D (instanceRef sync_q_33)) - (portRef (member lost_hit_cntr 14)) - ) - ) - (net sync_q_1_10 (joined - (portRef D (instanceRef sync_q_34)) - (portRef (member lost_hit_cntr 13)) - ) - ) - (net sync_q_1_11 (joined - (portRef D (instanceRef sync_q_35)) - (portRef (member lost_hit_cntr 12)) - ) - ) - (net sync_q_1_12 (joined - (portRef D (instanceRef sync_q_36)) - (portRef (member lost_hit_cntr 11)) - ) - ) - (net sync_q_1_13 (joined - (portRef D (instanceRef sync_q_37)) - (portRef (member lost_hit_cntr 10)) - ) - ) - (net sync_q_1_14 (joined - (portRef D (instanceRef sync_q_38)) - (portRef (member lost_hit_cntr 9)) - ) - ) - (net sync_q_1_15 (joined - (portRef D (instanceRef sync_q_39)) - (portRef (member lost_hit_cntr 8)) - ) - ) - (net sync_q_1_16 (joined - (portRef D (instanceRef sync_q_40)) - (portRef (member lost_hit_cntr 7)) - ) - ) - (net sync_q_1_17 (joined - (portRef D (instanceRef sync_q_41)) - (portRef (member lost_hit_cntr 6)) - ) - ) - (net sync_q_1_18 (joined - (portRef D (instanceRef sync_q_42)) - (portRef (member lost_hit_cntr 5)) - ) - ) - (net sync_q_1_19 (joined - (portRef D (instanceRef sync_q_43)) - (portRef (member lost_hit_cntr 4)) - ) - ) - (net sync_q_1_20 (joined - (portRef D (instanceRef sync_q_44)) - (portRef (member lost_hit_cntr 3)) - ) - ) - (net sync_q_1_21 (joined - (portRef D (instanceRef sync_q_45)) - (portRef (member lost_hit_cntr 2)) - ) - ) - (net sync_q_1_22 (joined - (portRef D (instanceRef sync_q_46)) - (portRef (member lost_hit_cntr 1)) - ) - ) - (net sync_q_1_23 (joined - (portRef D (instanceRef sync_q_47)) - (portRef (member lost_hit_cntr 0)) - ) - ) - (net sync_q_1_48 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - ) - ) - (net sync_q_1_49 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - ) - ) - (net clk_100_i_c (joined - (portRef clk_100_i_c) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) - (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_120 (joined + (portRef COUT (instanceRef GEN_120_ADD)) + (portRef CI (instanceRef GEN_121_ADD)) )) - (net sync_q_1_50 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - ) - ) - (net sync_q_1_51 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - ) - ) - (net sync_q_1_52 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - ) - ) - (net sync_q_1_53 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - ) - ) - (net sync_q_1_54 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - ) - ) - (net sync_q_1_55 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - ) - ) - (net sync_q_1_56 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - ) - ) - (net sync_q_1_57 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - ) - ) - (net sync_q_1_58 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - ) - ) - (net sync_q_1_59 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - ) - ) - (net sync_q_1_60 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - ) - ) - (net sync_q_1_61 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - ) - ) - (net sync_q_1_62 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - ) - ) - (net sync_q_1_63 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - ) - ) - (net sync_q_1_64 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - ) - ) - (net sync_q_1_65 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - ) - ) - (net sync_q_1_66 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - ) - ) - (net sync_q_1_67 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - ) - ) - (net sync_q_1_68 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - ) - ) - (net sync_q_1_69 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - ) - ) - (net sync_q_1_70 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - ) - ) - (net sync_q_1_71 (joined - (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) - (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - ) - ) - (net sync_q_1_24 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) - (portRef Q (instanceRef sync_q_24)) - ) - ) - (net sync_q_1_25 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) - (portRef Q (instanceRef sync_q_25)) - ) - ) - (net sync_q_1_26 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) - (portRef Q (instanceRef sync_q_26)) - ) - ) - (net sync_q_1_27 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) - (portRef Q (instanceRef sync_q_27)) - ) - ) - (net sync_q_1_28 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) - (portRef Q (instanceRef sync_q_28)) - ) - ) - (net sync_q_1_29 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) - (portRef Q (instanceRef sync_q_29)) - ) - ) - (net sync_q_1_30 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) - (portRef Q (instanceRef sync_q_30)) - ) - ) - (net sync_q_1_31 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) - (portRef Q (instanceRef sync_q_31)) - ) - ) - (net sync_q_1_32 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) - (portRef Q (instanceRef sync_q_32)) - ) - ) - (net sync_q_1_33 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) - (portRef Q (instanceRef sync_q_33)) - ) - ) - (net sync_q_1_34 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) - (portRef Q (instanceRef sync_q_34)) - ) - ) - (net sync_q_1_35 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) - (portRef Q (instanceRef sync_q_35)) - ) - ) - (net sync_q_1_36 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) - (portRef Q (instanceRef sync_q_36)) - ) - ) - (net sync_q_1_37 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) - (portRef Q (instanceRef sync_q_37)) - ) - ) - (net sync_q_1_38 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) - (portRef Q (instanceRef sync_q_38)) - ) - ) - (net sync_q_1_39 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) - (portRef Q (instanceRef sync_q_39)) - ) - ) - (net sync_q_1_40 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) - (portRef Q (instanceRef sync_q_40)) - ) - ) - (net sync_q_1_41 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) - (portRef Q (instanceRef sync_q_41)) - ) - ) - (net sync_q_1_42 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) - (portRef Q (instanceRef sync_q_42)) - ) - ) - (net sync_q_1_43 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) - (portRef Q (instanceRef sync_q_43)) - ) - ) - (net sync_q_1_44 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) - (portRef Q (instanceRef sync_q_44)) - ) - ) - (net sync_q_1_45 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) - (portRef Q (instanceRef sync_q_45)) - ) - ) - (net sync_q_1_46 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) - (portRef Q (instanceRef sync_q_46)) - ) - ) - (net sync_q_1_47 (joined - (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) - (portRef Q (instanceRef sync_q_47)) - ) - ) - (net sync_q_1_95 (joined - (portRef (member stat_reg 0)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (net co_90 (joined + (portRef COUT (instanceRef GEN_90_ADD)) + (portRef CI (instanceRef GEN_91_ADD)) )) - (net sync_q_1_94 (joined - (portRef (member stat_reg 1)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (net co_60 (joined + (portRef COUT (instanceRef GEN_60_ADD)) + (portRef CI (instanceRef GEN_61_ADD)) )) - (net sync_q_1_93 (joined - (portRef (member stat_reg 2)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (net co_30 (joined + (portRef COUT (instanceRef GEN_30_ADD)) + (portRef CI (instanceRef GEN_31_ADD)) )) - (net sync_q_1_92 (joined - (portRef (member stat_reg 3)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (net co_121 (joined + (portRef COUT (instanceRef GEN_121_ADD)) + (portRef CI (instanceRef GEN_122_ADD)) )) - (net sync_q_1_91 (joined - (portRef (member stat_reg 4)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (net co_134 (joined + (portRef COUT (instanceRef GEN_134_ADD)) + (portRef CI (instanceRef GEN_135_ADD)) )) - (net sync_q_1_90 (joined - (portRef (member stat_reg 5)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (net co_135 (joined + (portRef COUT (instanceRef GEN_135_ADD)) + (portRef CI (instanceRef GEN_136_ADD)) )) - (net sync_q_1_89 (joined - (portRef (member stat_reg 6)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (net co_131 (joined + (portRef COUT (instanceRef GEN_131_ADD)) + (portRef CI (instanceRef GEN_132_ADD)) )) - (net sync_q_1_88 (joined - (portRef (member stat_reg 7)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (net co_132 (joined + (portRef COUT (instanceRef GEN_132_ADD)) + (portRef CI (instanceRef GEN_133_ADD)) )) - (net sync_q_1_87 (joined - (portRef (member stat_reg 8)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (net co_128 (joined + (portRef COUT (instanceRef GEN_128_ADD)) + (portRef CI (instanceRef GEN_129_ADD)) )) - (net sync_q_1_86 (joined - (portRef (member stat_reg 9)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (net co_129 (joined + (portRef COUT (instanceRef GEN_129_ADD)) + (portRef CI (instanceRef GEN_130_ADD)) )) - (net sync_q_1_85 (joined - (portRef (member stat_reg 10)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (net co_125 (joined + (portRef COUT (instanceRef GEN_125_ADD)) + (portRef CI (instanceRef GEN_126_ADD)) )) - (net sync_q_1_84 (joined - (portRef (member stat_reg 11)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (net co_126 (joined + (portRef COUT (instanceRef GEN_126_ADD)) + (portRef CI (instanceRef GEN_127_ADD)) )) - (net sync_q_1_83 (joined - (portRef (member stat_reg 12)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (net co_122 (joined + (portRef COUT (instanceRef GEN_122_ADD)) + (portRef CI (instanceRef GEN_123_ADD)) )) - (net sync_q_1_82 (joined - (portRef (member stat_reg 13)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (net co_133 (joined + (portRef COUT (instanceRef GEN_133_ADD)) + (portRef CI (instanceRef GEN_134_ADD)) )) - (net sync_q_1_81 (joined - (portRef (member stat_reg 14)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (net co_130 (joined + (portRef COUT (instanceRef GEN_130_ADD)) + (portRef CI (instanceRef GEN_131_ADD)) )) - (net sync_q_1_80 (joined - (portRef (member stat_reg 15)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (net co_127 (joined + (portRef COUT (instanceRef GEN_127_ADD)) + (portRef CI (instanceRef GEN_128_ADD)) )) - (net sync_q_1_79 (joined - (portRef (member stat_reg 16)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (net co_123 (joined + (portRef COUT (instanceRef GEN_123_ADD)) + (portRef CI (instanceRef GEN_124_ADD)) )) - (net sync_q_1_78 (joined - (portRef (member stat_reg 17)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (net co_124 (joined + (portRef COUT (instanceRef GEN_124_ADD)) + (portRef CI (instanceRef GEN_125_ADD)) )) - (net sync_q_1_77 (joined - (portRef (member stat_reg 18)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (net co_147 (joined + (portRef COUT (instanceRef GEN_147_ADD)) + (portRef CI (instanceRef GEN_148_ADD)) )) - (net sync_q_1_76 (joined - (portRef (member stat_reg 19)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (net co_148 (joined + (portRef COUT (instanceRef GEN_148_ADD)) + (portRef CI (instanceRef GEN_149_ADD)) )) - (net sync_q_1_75 (joined - (portRef (member stat_reg 20)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (net co_144 (joined + (portRef COUT (instanceRef GEN_144_ADD)) + (portRef CI (instanceRef GEN_145_ADD)) )) - (net sync_q_1_74 (joined - (portRef (member stat_reg 21)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (net co_145 (joined + (portRef COUT (instanceRef GEN_145_ADD)) + (portRef CI (instanceRef GEN_146_ADD)) )) - (net sync_q_1_73 (joined - (portRef (member stat_reg 22)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (net co_141 (joined + (portRef COUT (instanceRef GEN_141_ADD)) + (portRef CI (instanceRef GEN_142_ADD)) )) - (net sync_q_1_72 (joined - (portRef (member stat_reg 23)) - (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (net co_142 (joined + (portRef COUT (instanceRef GEN_142_ADD)) + (portRef CI (instanceRef GEN_143_ADD)) + )) + (net co_138 (joined + (portRef COUT (instanceRef GEN_138_ADD)) + (portRef CI (instanceRef GEN_139_ADD)) + )) + (net co_139 (joined + (portRef COUT (instanceRef GEN_139_ADD)) + (portRef CI (instanceRef GEN_140_ADD)) + )) + (net co_146 (joined + (portRef COUT (instanceRef GEN_146_ADD)) + (portRef CI (instanceRef GEN_147_ADD)) + )) + (net co_143 (joined + (portRef COUT (instanceRef GEN_143_ADD)) + (portRef CI (instanceRef GEN_144_ADD)) + )) + (net co_140 (joined + (portRef COUT (instanceRef GEN_140_ADD)) + (portRef CI (instanceRef GEN_141_ADD)) + )) + (net co_136 (joined + (portRef COUT (instanceRef GEN_136_ADD)) + (portRef CI (instanceRef GEN_137_ADD)) + )) + (net co_137 (joined + (portRef COUT (instanceRef GEN_137_ADD)) + (portRef CI (instanceRef GEN_138_ADD)) + )) + (net co_149 (joined + (portRef COUT (instanceRef GEN_149_ADD)) + (portRef CI (instanceRef GEN_150_ADD)) + )) + (net co_150 (joined + (portRef COUT (instanceRef GEN_150_ADD)) + (portRef CI (instanceRef GEN_151_ADD)) + )) + (net co_151 (joined + (portRef COUT (instanceRef GEN_151_ADD)) )) ) ) @@ -455698,7 +453384,7 @@ (cell edge_to_pulse_0_7 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -455770,11 +453456,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_3_0 (joined + (net channel_debug_01_i_9_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_3 0)) + (portRef (member channel_debug_01_i_9 0)) )) (net VCC (joined (portRef VCC) @@ -455788,10 +453474,10 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(3:3)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(2:2)") 1) (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port reset_i_16 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(9:9)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(2:2)") 1) (direction INPUT)) + (port reset_i_15 (direction INPUT)) + (port reset_i_14 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -456278,8 +453964,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_3_2 (joined - (portRef (member channel_debug_01_i_3 0)) + (net channel_debug_01_i_9_2 (joined + (portRef (member channel_debug_01_i_9 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -456322,7 +454008,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_3 (joined + (net rd_en_i_9 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -456759,8 +454445,8 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -457049,7 +454735,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -457324,8 +455010,32 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_16 (joined - (portRef reset_i_16) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) (portRef CD (instanceRef FF_87)) (portRef CD (instanceRef FF_88)) (portRef CD (instanceRef FF_89)) @@ -457403,45 +455113,6 @@ (portRef Q (instanceRef FF_86)) (portRef D (instanceRef FF_36)) )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - )) (net w_gcount_6 (joined (portRef Q (instanceRef FF_85)) (portRef D (instanceRef FF_35)) @@ -457673,6 +455344,21 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) + (net reset_i_15 (joined + (portRef reset_i_15) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + )) (net empty_d (joined (portRef S0 (instanceRef a0)) (portRef D (instanceRef FF_1)) @@ -458131,12 +455817,13 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(2:1)") 2) (direction INOUT)) - (port reset_tdc_91 (direction INPUT)) - (port reset_tdc_fast_36_r4 (direction INPUT)) - (port reset_tdc_fast_37_r3 (direction INPUT)) - (port reset_tdc_fast_36_r5 (direction INPUT)) - (port reset_tdc_fast_36_r7 (direction INPUT)) + (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(2:1)") 2) (direction INOUT)) + (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_fast_36_r16 (direction INPUT)) + (port reset_tdc_fast_37_r10 (direction INPUT)) + (port reset_tdc_fast_37_r9 (direction INPUT)) + (port reset_tdc_fast_36_r18 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -458505,7 +456192,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI8V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIKV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -458587,17 +456274,14 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) @@ -458605,6 +456289,9 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -458848,9 +456535,6 @@ (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -459126,6 +456810,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -459291,10 +456978,10 @@ (instance BINARY_CODE_OUTd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -459517,7 +457204,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI8V5Q)) + (portRef A (instanceRef start_reg_RNIKV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -459729,8 +457416,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_4_2_iv_2)) + (portRef B (instanceRef proc_cnt_4_2_iv_1)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -459911,8 +457598,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef B (instanceRef proc_cnt_4_2_iv_2)) + (portRef C (instanceRef proc_cnt_4_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -460825,8 +458512,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI8V5Q (joined - (portRef Z (instanceRef start_reg_RNI8V5Q)) + (net start_reg_RNIKV5Q (joined + (portRef Z (instanceRef start_reg_RNIKV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -460871,8 +458558,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_3_1 (joined - (portRef (member channel_debug_01_i_3 1)) + (net channel_debug_01_i_9_1 (joined + (portRef (member channel_debug_01_i_9 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -460915,7 +458602,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI8V5Q)) + (portRef B (instanceRef start_reg_RNIKV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -460936,16 +458623,16 @@ (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) - (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) + (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_2)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_3_2 (joined + (net channel_debug_01_i_9_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_3 0)) + (portRef (member channel_debug_01_i_9 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -461508,7 +459195,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI8V5Q)) + (portRef C (instanceRef start_reg_RNIKV5Q)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -461637,18 +459324,18 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r7 (joined - (portRef reset_tdc_fast_36_r7) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) + (portRef D (instanceRef proc_finished_4c)) + )) + (net reset_tdc_fast_36_r18 (joined + (portRef reset_tdc_fast_36_r18) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -461658,9 +459345,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) @@ -461670,6 +459357,10 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) @@ -462405,11 +460096,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r5 (joined - (portRef reset_tdc_fast_36_r5) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_finished_1c)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -463113,9 +460799,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r3 (joined - (portRef reset_tdc_fast_37_r3) - (portRef D (instanceRef proc_cnt_4_RNO_0)) + (net reset_tdc_fast_37_r9 (joined + (portRef reset_tdc_fast_37_r9) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) @@ -463124,6 +460810,10 @@ (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) + (net reset_tdc_fast_37_r10 (joined + (portRef reset_tdc_fast_37_r10) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -463561,15 +461251,15 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r4 (joined - (portRef reset_tdc_fast_36_r4) + (net reset_tdc_fast_36_r16 (joined + (portRef reset_tdc_fast_36_r16) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) - (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) + (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_2)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) (portRef C (instanceRef BINARY_CODE_OUTd_0)) @@ -463578,14 +461268,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net un3_binary_code_out_4 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_4)) - )) (net un3_binary_code_out_3 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_3)) )) + (net un3_binary_code_out_4 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_4)) + )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) @@ -464133,9 +461823,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) - (portRef reset_tdc_91 (instanceRef ROM_Encoder_1)) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef reset_tdc_73 (instanceRef ROM_Encoder_1)) )) ) ) @@ -464145,10 +461835,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) - (port reset_tdc_90 (direction INPUT)) - (port reset_tdc_89 (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_72 (direction INPUT)) + (port reset_tdc_71 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -466304,8 +463994,56 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) (portRef CD (instanceRef FF_280)) (portRef CD (instanceRef FF_281)) (portRef CD (instanceRef FF_282)) @@ -466523,108 +464261,6 @@ (portRef S0 (instanceRef GEN_139_ADD)) (portRef D (instanceRef FF_278)) )) - (net reset_tdc_89 (joined - (portRef reset_tdc_89) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - )) (net result_i_278 (joined (portRef Q (instanceRef FF_278)) (portRef (member result_i 25)) @@ -467009,6 +464645,108 @@ (portRef S0 (instanceRef GEN_115_ADD)) (portRef D (instanceRef FF_230)) )) + (net reset_tdc_71 (joined + (portRef reset_tdc_71) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + )) (net result_i_230 (joined (portRef Q (instanceRef FF_230)) (portRef (member result_i 73)) @@ -467417,108 +465155,6 @@ (portRef S1 (instanceRef GEN_89_ADD)) (portRef D (instanceRef FF_179)) )) - (net reset_tdc_90 (joined - (portRef reset_tdc_90) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - )) (net result_i_179 (joined (portRef Q (instanceRef FF_179)) (portRef (member result_i 124)) @@ -467903,6 +465539,108 @@ (portRef S1 (instanceRef GEN_65_ADD)) (portRef D (instanceRef FF_131)) )) + (net reset_tdc_72 (joined + (portRef reset_tdc_72) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + )) (net result_i_131 (joined (portRef Q (instanceRef FF_131)) (portRef (member result_i 172)) @@ -468311,91 +466049,6 @@ (portRef S0 (instanceRef GEN_40_ADD)) (portRef D (instanceRef FF_80)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - )) (net result_i_80 (joined (portRef Q (instanceRef FF_80)) (portRef (member result_i 223)) @@ -468780,6 +466433,43 @@ (portRef S0 (instanceRef GEN_16_ADD)) (portRef D (instanceRef FF_32)) )) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + )) (net result_i_32 (joined (portRef Q (instanceRef FF_32)) (portRef (member result_i 271)) @@ -469965,7 +467655,7 @@ (cell edge_to_pulse_0_6 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -470037,11 +467727,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_18_0 (joined + (net channel_debug_01_i_1_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_18 0)) + (portRef (member channel_debug_01_i_1 0)) )) (net VCC (joined (portRef VCC) @@ -470055,16 +467745,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(18:18)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(2:2)") 1) (direction INPUT)) - (port reset_i_9 (direction INPUT)) - (port reset_i_8 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(1:1)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(2:2)") 1) (direction INPUT)) + (port reset_i_19 (direction INPUT)) + (port reset_i_18 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -470544,8 +468235,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_18_2 (joined - (portRef (member channel_debug_01_i_18 0)) + (net channel_debug_01_i_1_2 (joined + (portRef (member channel_debug_01_i_1 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -470588,11 +468279,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_18 (joined + (net rd_en_i_1 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -471028,9 +468715,10 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -471319,8 +469007,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -471594,28 +469281,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) + (net reset_i_18 (joined + (portRef reset_i_18) (portRef CD (instanceRef FF_95)) (portRef CD (instanceRef FF_96)) (portRef CD (instanceRef FF_97)) @@ -471657,6 +469324,53 @@ (portRef NC0 (instanceRef w_gctr_4)) (portRef D (instanceRef FF_93)) )) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + )) (net iwcount_9 (joined (portRef NC1 (instanceRef w_gctr_4)) (portRef D (instanceRef FF_92)) @@ -471701,33 +469415,6 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -471956,6 +469643,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -472396,11 +470088,14 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(2:1)") 2) (direction INOUT)) - (port reset_tdc_45 (direction INPUT)) - (port reset_tdc_fast_36_r27 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(2:1)") 2) (direction INOUT)) + (port reset_tdc_97 (direction INPUT)) + (port reset_tdc_fast_36_r0 (direction INPUT)) + (port reset_tdc_fast_37_r2 (direction INPUT)) + (port reset_tdc_fast_37_r1 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_36_r1 (direction INPUT)) + (port reset_tdc_fast_36_r3 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -472769,7 +470464,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI0RVJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI4V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -472814,10 +470509,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -472839,30 +470534,27 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -472872,6 +470564,9 @@ (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -473106,7 +470801,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -473323,10 +471018,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -473387,7 +471082,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -473561,10 +471256,10 @@ (instance BINARY_CODE_OUTd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -473781,7 +471476,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI0RVJ)) + (portRef A (instanceRef start_reg_RNI4V5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -474022,8 +471717,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -474034,8 +471729,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -474208,8 +471903,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -474241,8 +471936,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -475089,8 +472784,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI0RVJ (joined - (portRef Z (instanceRef start_reg_RNI0RVJ)) + (net start_reg_RNI4V5Q (joined + (portRef Z (instanceRef start_reg_RNI4V5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -475135,8 +472830,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_18_1 (joined - (portRef (member channel_debug_01_i_18 1)) + (net channel_debug_01_i_1_1 (joined + (portRef (member channel_debug_01_i_1 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -475179,7 +472874,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI0RVJ)) + (portRef B (instanceRef start_reg_RNI4V5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -475198,8 +472893,8 @@ (portRef C (instanceRef BINARY_CODE_OUTd_9)) (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_8)) - (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) + (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) (portRef C (instanceRef BINARY_CODE_OUTd_1)) @@ -475207,9 +472902,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_18_2 (joined + (net channel_debug_01_i_1_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_18 0)) + (portRef (member channel_debug_01_i_1 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -475365,8 +473060,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -475620,8 +473315,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -475770,10 +473465,6 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI0RVJ)) - )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -475799,8 +473490,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -475871,14 +473562,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - )) (net mux_control_6_0_0_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net mux_control_6_0_0_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + )) (net interval_reg_41_18_am_23_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -475901,15 +473592,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) - (portRef D (instanceRef proc_finished_4c)) - (portRef D (instanceRef proc_finished_1c)) + (portRef C (instanceRef start_reg_RNI4V5Q)) + )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -475919,14 +473611,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) @@ -475939,6 +473623,10 @@ (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net interval_reg_41_14_am_23_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -476670,6 +474358,16 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) + (net reset_tdc_fast_36_r1 (joined + (portRef reset_tdc_fast_36_r1) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + )) + (net reset_tdc_fast_36_r3 (joined + (portRef reset_tdc_fast_36_r3) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_finished_4c)) + )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -477365,26 +475063,32 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_1 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) - )) (net mux_control_6_5_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) + (net mux_control_6_5_1 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) + )) + (net reset_tdc_fast_37_r1 (joined + (portRef reset_tdc_fast_37_r1) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) + (net reset_tdc_fast_37_r2 (joined + (portRef reset_tdc_fast_37_r2) + (portRef D (instanceRef proc_cnt_4_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) (portRef D0 (instanceRef Interval_Selection_interval_reg_41_31_1)) @@ -477822,13 +475526,13 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r27 (joined - (portRef reset_tdc_fast_36_r27) + (net reset_tdc_fast_36_r0 (joined + (portRef reset_tdc_fast_36_r0) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) - (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) + (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) (portRef B (instanceRef BINARY_CODE_OUTd_1)) @@ -477847,14 +475551,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_3_0)) (portRef A (instanceRef BINARY_CODE_OUTd_4)) )) - (net un3_binary_code_out_6 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_6)) - )) (net un3_binary_code_out_5 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_5)) )) + (net un3_binary_code_out_6 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_6)) + )) (net un3_binary_code_out_8 (joined (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_8)) @@ -478394,9 +476098,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef reset_tdc_45 (instanceRef ROM_Encoder_1)) + (net reset_tdc_97 (joined + (portRef reset_tdc_97) + (portRef reset_tdc_97 (instanceRef ROM_Encoder_1)) )) ) ) @@ -478406,10 +476110,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) - (port reset_tdc_44 (direction INPUT)) - (port reset_tdc_43 (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_97 (direction INPUT)) + (port reset_tdc_96 (direction INPUT)) + (port reset_tdc_95 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -480565,8 +478269,160 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef CD (instanceRef FF_296)) + (portRef CD (instanceRef FF_297)) + (portRef CD (instanceRef FF_298)) + (portRef CD (instanceRef FF_299)) + (portRef CD (instanceRef FF_300)) + (portRef CD (instanceRef FF_301)) + (portRef CD (instanceRef FF_302)) + (portRef CD (instanceRef FF_303)) + )) + (net result_i_302 (joined + (portRef Q (instanceRef FF_302)) + (portRef (member result_i 1)) + )) + (net tsum_301 (joined + (portRef S1 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_301)) + )) + (net result_i_301 (joined + (portRef Q (instanceRef FF_301)) + (portRef (member result_i 2)) + )) + (net tsum_300 (joined + (portRef S0 (instanceRef GEN_150_ADD)) + (portRef D (instanceRef FF_300)) + )) + (net result_i_300 (joined + (portRef Q (instanceRef FF_300)) + (portRef (member result_i 3)) + )) + (net tsum_299 (joined + (portRef S1 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_299)) + )) + (net result_i_299 (joined + (portRef Q (instanceRef FF_299)) + (portRef (member result_i 4)) + )) + (net tsum_298 (joined + (portRef S0 (instanceRef GEN_149_ADD)) + (portRef D (instanceRef FF_298)) + )) + (net result_i_298 (joined + (portRef Q (instanceRef FF_298)) + (portRef (member result_i 5)) + )) + (net tsum_297 (joined + (portRef S1 (instanceRef GEN_148_ADD)) + (portRef D (instanceRef FF_297)) + )) + (net result_i_297 (joined + (portRef Q (instanceRef FF_297)) + (portRef (member result_i 6)) + )) + (net tsum_296 (joined + (portRef S0 (instanceRef GEN_148_ADD)) + (portRef D (instanceRef FF_296)) + )) + (net result_i_296 (joined + (portRef Q (instanceRef FF_296)) + (portRef (member result_i 7)) + )) + (net tsum_295 (joined + (portRef S1 (instanceRef GEN_147_ADD)) + (portRef D (instanceRef FF_295)) + )) + (net result_i_295 (joined + (portRef Q (instanceRef FF_295)) + (portRef (member result_i 8)) + )) + (net tsum_294 (joined + (portRef S0 (instanceRef GEN_147_ADD)) + (portRef D (instanceRef FF_294)) + )) + (net reset_tdc_95 (joined + (portRef reset_tdc_95) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) (portRef CD (instanceRef FF_274)) (portRef CD (instanceRef FF_275)) (portRef CD (instanceRef FF_276)) @@ -480589,78 +478445,6 @@ (portRef CD (instanceRef FF_293)) (portRef CD (instanceRef FF_294)) (portRef CD (instanceRef FF_295)) - (portRef CD (instanceRef FF_296)) - (portRef CD (instanceRef FF_297)) - (portRef CD (instanceRef FF_298)) - (portRef CD (instanceRef FF_299)) - (portRef CD (instanceRef FF_300)) - (portRef CD (instanceRef FF_301)) - (portRef CD (instanceRef FF_302)) - (portRef CD (instanceRef FF_303)) - )) - (net result_i_302 (joined - (portRef Q (instanceRef FF_302)) - (portRef (member result_i 1)) - )) - (net tsum_301 (joined - (portRef S1 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_301)) - )) - (net result_i_301 (joined - (portRef Q (instanceRef FF_301)) - (portRef (member result_i 2)) - )) - (net tsum_300 (joined - (portRef S0 (instanceRef GEN_150_ADD)) - (portRef D (instanceRef FF_300)) - )) - (net result_i_300 (joined - (portRef Q (instanceRef FF_300)) - (portRef (member result_i 3)) - )) - (net tsum_299 (joined - (portRef S1 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_299)) - )) - (net result_i_299 (joined - (portRef Q (instanceRef FF_299)) - (portRef (member result_i 4)) - )) - (net tsum_298 (joined - (portRef S0 (instanceRef GEN_149_ADD)) - (portRef D (instanceRef FF_298)) - )) - (net result_i_298 (joined - (portRef Q (instanceRef FF_298)) - (portRef (member result_i 5)) - )) - (net tsum_297 (joined - (portRef S1 (instanceRef GEN_148_ADD)) - (portRef D (instanceRef FF_297)) - )) - (net result_i_297 (joined - (portRef Q (instanceRef FF_297)) - (portRef (member result_i 6)) - )) - (net tsum_296 (joined - (portRef S0 (instanceRef GEN_148_ADD)) - (portRef D (instanceRef FF_296)) - )) - (net result_i_296 (joined - (portRef Q (instanceRef FF_296)) - (portRef (member result_i 7)) - )) - (net tsum_295 (joined - (portRef S1 (instanceRef GEN_147_ADD)) - (portRef D (instanceRef FF_295)) - )) - (net result_i_295 (joined - (portRef Q (instanceRef FF_295)) - (portRef (member result_i 8)) - )) - (net tsum_294 (joined - (portRef S0 (instanceRef GEN_147_ADD)) - (portRef D (instanceRef FF_294)) )) (net result_i_294 (joined (portRef Q (instanceRef FF_294)) @@ -480838,109 +478622,6 @@ (portRef S0 (instanceRef GEN_136_ADD)) (portRef D (instanceRef FF_272)) )) - (net reset_tdc_43 (joined - (portRef reset_tdc_43) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - )) (net result_i_272 (joined (portRef Q (instanceRef FF_272)) (portRef (member result_i 31)) @@ -481557,6 +479238,108 @@ (portRef S1 (instanceRef GEN_97_ADD)) (portRef D (instanceRef FF_195)) )) + (net reset_tdc_96 (joined + (portRef reset_tdc_96) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + )) (net result_i_195 (joined (portRef Q (instanceRef FF_195)) (portRef (member result_i 108)) @@ -481741,109 +479524,6 @@ (portRef S0 (instanceRef GEN_86_ADD)) (portRef D (instanceRef FF_172)) )) - (net reset_tdc_44 (joined - (portRef reset_tdc_44) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - )) (net result_i_172 (joined (portRef Q (instanceRef FF_172)) (portRef (member result_i 131)) @@ -482452,6 +480132,107 @@ (portRef S0 (instanceRef GEN_48_ADD)) (portRef D (instanceRef FF_96)) )) + (net reset_tdc_97 (joined + (portRef reset_tdc_97) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + )) (net result_i_96 (joined (portRef Q (instanceRef FF_96)) (portRef (member result_i 207)) @@ -482644,83 +480425,6 @@ (portRef S0 (instanceRef GEN_36_ADD)) (portRef D (instanceRef FF_72)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - )) (net result_i_72 (joined (portRef Q (instanceRef FF_72)) (portRef (member result_i 231)) @@ -484318,7 +482022,7 @@ (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) (port (array (rename rd_en_i "rd_en_i(6:6)") 1) (direction INPUT)) (port (array (rename channel_debug_01_i_6 "channel_debug_01_i_6(2:2)") 1) (direction INPUT)) - (port reset_i_15 (direction INPUT)) + (port reset_i_16 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -485851,8 +483555,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_15 (joined - (portRef reset_i_15) + (net reset_i_16 (joined + (portRef reset_i_16) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -486657,11 +484361,13 @@ (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) (port (array (rename channel_debug_01_i_6 "channel_debug_01_i_6(2:1)") 2) (direction INOUT)) (port reset_tdc_82 (direction INPUT)) - (port reset_tdc_fast_36_r10 (direction INPUT)) - (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_36_r9 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) (port reset_tdc_fast_37_r6 (direction INPUT)) + (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) (port reset_tdc_fast_36_r11 (direction INPUT)) - (port reset_tdc_fast_36_r13 (direction INPUT)) + (port reset_tdc_fast_36_r15 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -487112,14 +484818,17 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) @@ -487130,9 +484839,6 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -490031,10 +487737,6 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNIEV5Q)) - )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -490162,18 +487864,28 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_36_r13 (joined - (portRef reset_tdc_fast_36_r13) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_finished_2c)) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) (portRef D (instanceRef proc_finished_4c)) + (portRef C (instanceRef start_reg_RNIEV5Q)) + )) + (net reset_tdc_fast_36_r15 (joined + (portRef reset_tdc_fast_36_r15) + (portRef D (instanceRef proc_finished_3c)) )) (net reset_tdc_fast_36_r11 (joined (portRef reset_tdc_fast_36_r11) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) - (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_finished_2c)) + )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -490183,9 +487895,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) @@ -490199,10 +487911,6 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net interval_reg_41_14_am_24_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -491637,20 +489345,19 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_37_r6 (joined - (portRef reset_tdc_fast_37_r6) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) )) (net reset_tdc_fast_37_r7 (joined (portRef reset_tdc_fast_37_r7) - (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + )) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef D (instanceRef proc_cnt_4_RNO_0)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -491764,6 +489471,11 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_61_7)) (portRef D1 (instanceRef Interval_Selection_interval_reg_41_62_7)) )) + (net reset_tdc_fast_37_r6 (joined + (portRef reset_tdc_fast_37_r6) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + )) (net mux_control_6_0_10_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -492089,8 +489801,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r10 (joined - (portRef reset_tdc_fast_36_r10) + (net reset_tdc_fast_36_r9 (joined + (portRef reset_tdc_fast_36_r9) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -498493,7 +496205,7 @@ (cell edge_to_pulse_0_4 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -498565,11 +496277,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_1_0 (joined + (net channel_debug_01_i_18_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_1 0)) + (portRef (member channel_debug_01_i_18 0)) )) (net VCC (joined (portRef VCC) @@ -498583,17 +496295,16 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(1:1)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(2:2)") 1) (direction INPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_i_17 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(18:18)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(2:2)") 1) (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port reset_i_9 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) - (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -499073,8 +496784,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_1_2 (joined - (portRef (member channel_debug_01_i_1 0)) + (net channel_debug_01_i_18_2 (joined + (portRef (member channel_debug_01_i_18 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -499117,7 +496828,11 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_1 (joined + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + )) + (net rd_en_i_18 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -499553,10 +497268,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -499845,7 +497559,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -500119,8 +497834,28 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_17 (joined - (portRef reset_i_17) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) (portRef CD (instanceRef FF_95)) (portRef CD (instanceRef FF_96)) (portRef CD (instanceRef FF_97)) @@ -500162,53 +497897,6 @@ (portRef NC0 (instanceRef w_gctr_4)) (portRef D (instanceRef FF_93)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - )) (net iwcount_9 (joined (portRef NC1 (instanceRef w_gctr_4)) (portRef D (instanceRef FF_92)) @@ -500253,6 +497941,33 @@ (portRef Q (instanceRef FF_82)) (portRef D (instanceRef FF_32)) )) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + )) (net ircount_0 (joined (portRef NC0 (instanceRef r_gctr_0)) (portRef D (instanceRef FF_71)) @@ -500481,11 +498196,6 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - (portRef fifo_full_i) - )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -500926,13 +498636,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_1 "channel_debug_01_i_1(2:1)") 2) (direction INOUT)) - (port reset_tdc_97 (direction INPUT)) - (port reset_tdc_fast_36_r0 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r1 (direction INPUT)) - (port reset_tdc_fast_36_r2 (direction INPUT)) - (port reset_tdc_fast_36_r1 (direction INPUT)) + (port (array (rename channel_debug_01_i_18 "channel_debug_01_i_18(2:1)") 2) (direction INOUT)) + (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_fast_36_r27 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -501301,7 +499009,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI4V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI0RVJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -501392,16 +499100,16 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -501635,10 +499343,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -501919,7 +499627,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -502313,7 +500021,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI4V5Q)) + (portRef A (instanceRef start_reg_RNI0RVJ)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -502554,8 +500262,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef B (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -502578,8 +500286,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -502740,8 +500448,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_RNO_0)) + (portRef B (instanceRef proc_cnt_3_2_iv_2)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -502806,8 +500514,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -503621,8 +501329,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI4V5Q (joined - (portRef Z (instanceRef start_reg_RNI4V5Q)) + (net start_reg_RNI0RVJ (joined + (portRef Z (instanceRef start_reg_RNI0RVJ)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -503667,8 +501375,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_1_1 (joined - (portRef (member channel_debug_01_i_1 1)) + (net channel_debug_01_i_18_1 (joined + (portRef (member channel_debug_01_i_18 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -503711,7 +501419,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI4V5Q)) + (portRef B (instanceRef start_reg_RNI0RVJ)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -503739,9 +501447,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_1_2 (joined + (net channel_debug_01_i_18_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_1 0)) + (portRef (member channel_debug_01_i_18 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -504302,6 +502010,10 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef C (instanceRef start_reg_RNI0RVJ)) + )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -504429,13 +502141,15 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) - (portRef C (instanceRef start_reg_RNI4V5Q)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -504449,14 +502163,6 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) - )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) @@ -504465,6 +502171,14 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) + )) (net interval_reg_41_14_am_25_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -505196,13 +502910,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r2 (joined - (portRef reset_tdc_fast_36_r2) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -505906,22 +503613,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_36_r1 (joined - (portRef reset_tdc_fast_36_r1) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) (portRef D (instanceRef proc_cnt_1_4_iv_2)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - )) - (net reset_tdc_fast_37_r1 (joined - (portRef reset_tdc_fast_37_r1) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -506360,8 +504062,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r0 (joined - (portRef reset_tdc_fast_36_r0) + (net reset_tdc_fast_36_r27 (joined + (portRef reset_tdc_fast_36_r27) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -506932,9 +504634,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_97 (joined - (portRef reset_tdc_97) - (portRef reset_tdc_97 (instanceRef ROM_Encoder_1)) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef reset_tdc_45 (instanceRef ROM_Encoder_1)) )) ) ) @@ -506944,10 +504646,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_97 (direction INPUT)) - (port reset_tdc_96 (direction INPUT)) - (port reset_tdc_95 (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_44 (direction INPUT)) + (port reset_tdc_43 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -509103,8 +506805,30 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + (portRef CD (instanceRef FF_280)) + (portRef CD (instanceRef FF_281)) + (portRef CD (instanceRef FF_282)) + (portRef CD (instanceRef FF_283)) + (portRef CD (instanceRef FF_284)) + (portRef CD (instanceRef FF_285)) + (portRef CD (instanceRef FF_286)) + (portRef CD (instanceRef FF_287)) + (portRef CD (instanceRef FF_288)) + (portRef CD (instanceRef FF_289)) + (portRef CD (instanceRef FF_290)) + (portRef CD (instanceRef FF_291)) + (portRef CD (instanceRef FF_292)) + (portRef CD (instanceRef FF_293)) + (portRef CD (instanceRef FF_294)) + (portRef CD (instanceRef FF_295)) (portRef CD (instanceRef FF_296)) (portRef CD (instanceRef FF_297)) (portRef CD (instanceRef FF_298)) @@ -509178,108 +506902,6 @@ (portRef S0 (instanceRef GEN_147_ADD)) (portRef D (instanceRef FF_294)) )) - (net reset_tdc_95 (joined - (portRef reset_tdc_95) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) - (portRef CD (instanceRef FF_280)) - (portRef CD (instanceRef FF_281)) - (portRef CD (instanceRef FF_282)) - (portRef CD (instanceRef FF_283)) - (portRef CD (instanceRef FF_284)) - (portRef CD (instanceRef FF_285)) - (portRef CD (instanceRef FF_286)) - (portRef CD (instanceRef FF_287)) - (portRef CD (instanceRef FF_288)) - (portRef CD (instanceRef FF_289)) - (portRef CD (instanceRef FF_290)) - (portRef CD (instanceRef FF_291)) - (portRef CD (instanceRef FF_292)) - (portRef CD (instanceRef FF_293)) - (portRef CD (instanceRef FF_294)) - (portRef CD (instanceRef FF_295)) - )) (net result_i_294 (joined (portRef Q (instanceRef FF_294)) (portRef (member result_i 9)) @@ -509456,6 +507078,109 @@ (portRef S0 (instanceRef GEN_136_ADD)) (portRef D (instanceRef FF_272)) )) + (net reset_tdc_43 (joined + (portRef reset_tdc_43) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + )) (net result_i_272 (joined (portRef Q (instanceRef FF_272)) (portRef (member result_i 31)) @@ -510072,108 +507797,6 @@ (portRef S1 (instanceRef GEN_97_ADD)) (portRef D (instanceRef FF_195)) )) - (net reset_tdc_96 (joined - (portRef reset_tdc_96) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - )) (net result_i_195 (joined (portRef Q (instanceRef FF_195)) (portRef (member result_i 108)) @@ -510358,6 +507981,109 @@ (portRef S0 (instanceRef GEN_86_ADD)) (portRef D (instanceRef FF_172)) )) + (net reset_tdc_44 (joined + (portRef reset_tdc_44) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + )) (net result_i_172 (joined (portRef Q (instanceRef FF_172)) (portRef (member result_i 131)) @@ -510966,107 +508692,6 @@ (portRef S0 (instanceRef GEN_48_ADD)) (portRef D (instanceRef FF_96)) )) - (net reset_tdc_97 (joined - (portRef reset_tdc_97) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - )) (net result_i_96 (joined (portRef Q (instanceRef FF_96)) (portRef (member result_i 207)) @@ -511259,6 +508884,83 @@ (portRef S0 (instanceRef GEN_36_ADD)) (portRef D (instanceRef FF_72)) )) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + )) (net result_i_72 (joined (portRef Q (instanceRef FF_72)) (portRef (member result_i 231)) @@ -512443,320 +510145,2630 @@ (portRef COUT (instanceRef GEN_16_ADD)) (portRef CI (instanceRef GEN_17_ADD)) )) - (net co_107 (joined - (portRef COUT (instanceRef GEN_107_ADD)) - (portRef CI (instanceRef GEN_108_ADD)) + (net co_107 (joined + (portRef COUT (instanceRef GEN_107_ADD)) + (portRef CI (instanceRef GEN_108_ADD)) + )) + (net co_77 (joined + (portRef COUT (instanceRef GEN_77_ADD)) + (portRef CI (instanceRef GEN_78_ADD)) + )) + (net co_47 (joined + (portRef COUT (instanceRef GEN_47_ADD)) + (portRef CI (instanceRef GEN_48_ADD)) + )) + (net co_17 (joined + (portRef COUT (instanceRef GEN_17_ADD)) + (portRef CI (instanceRef GEN_18_ADD)) + )) + (net co_108 (joined + (portRef COUT (instanceRef GEN_108_ADD)) + (portRef CI (instanceRef GEN_109_ADD)) + )) + (net co_78 (joined + (portRef COUT (instanceRef GEN_78_ADD)) + (portRef CI (instanceRef GEN_79_ADD)) + )) + (net co_48 (joined + (portRef COUT (instanceRef GEN_48_ADD)) + (portRef CI (instanceRef GEN_49_ADD)) + )) + (net co_18 (joined + (portRef COUT (instanceRef GEN_18_ADD)) + (portRef CI (instanceRef GEN_19_ADD)) + )) + (net co_109 (joined + (portRef COUT (instanceRef GEN_109_ADD)) + (portRef CI (instanceRef GEN_110_ADD)) + )) + (net co_49 (joined + (portRef COUT (instanceRef GEN_49_ADD)) + (portRef CI (instanceRef GEN_50_ADD)) + )) + (net co_19 (joined + (portRef COUT (instanceRef GEN_19_ADD)) + (portRef CI (instanceRef GEN_20_ADD)) + )) + (net co_110 (joined + (portRef COUT (instanceRef GEN_110_ADD)) + (portRef CI (instanceRef GEN_111_ADD)) + )) + (net co_79 (joined + (portRef COUT (instanceRef GEN_79_ADD)) + (portRef CI (instanceRef GEN_80_ADD)) + )) + (net co_80 (joined + (portRef COUT (instanceRef GEN_80_ADD)) + (portRef CI (instanceRef GEN_81_ADD)) + )) + (net co_20 (joined + (portRef COUT (instanceRef GEN_20_ADD)) + (portRef CI (instanceRef GEN_21_ADD)) + )) + (net co_111 (joined + (portRef COUT (instanceRef GEN_111_ADD)) + (portRef CI (instanceRef GEN_112_ADD)) + )) + (net co_81 (joined + (portRef COUT (instanceRef GEN_81_ADD)) + (portRef CI (instanceRef GEN_82_ADD)) + )) + (net co_21 (joined + (portRef COUT (instanceRef GEN_21_ADD)) + (portRef CI (instanceRef GEN_22_ADD)) + )) + (net co_112 (joined + (portRef COUT (instanceRef GEN_112_ADD)) + (portRef CI (instanceRef GEN_113_ADD)) + )) + (net co_82 (joined + (portRef COUT (instanceRef GEN_82_ADD)) + (portRef CI (instanceRef GEN_83_ADD)) + )) + (net co_52 (joined + (portRef COUT (instanceRef GEN_52_ADD)) + (portRef CI (instanceRef GEN_53_ADD)) + )) + (net co_22 (joined + (portRef COUT (instanceRef GEN_22_ADD)) + (portRef CI (instanceRef GEN_23_ADD)) + )) + (net co_113 (joined + (portRef COUT (instanceRef GEN_113_ADD)) + (portRef CI (instanceRef GEN_114_ADD)) + )) + (net co_83 (joined + (portRef COUT (instanceRef GEN_83_ADD)) + (portRef CI (instanceRef GEN_84_ADD)) + )) + (net co_53 (joined + (portRef COUT (instanceRef GEN_53_ADD)) + (portRef CI (instanceRef GEN_54_ADD)) + )) + (net co_114 (joined + (portRef COUT (instanceRef GEN_114_ADD)) + (portRef CI (instanceRef GEN_115_ADD)) + )) + (net co_84 (joined + (portRef COUT (instanceRef GEN_84_ADD)) + (portRef CI (instanceRef GEN_85_ADD)) + )) + (net co_54 (joined + (portRef COUT (instanceRef GEN_54_ADD)) + (portRef CI (instanceRef GEN_55_ADD)) + )) + (net co_115 (joined + (portRef COUT (instanceRef GEN_115_ADD)) + (portRef CI (instanceRef GEN_116_ADD)) + )) + (net co_85 (joined + (portRef COUT (instanceRef GEN_85_ADD)) + (portRef CI (instanceRef GEN_86_ADD)) + )) + (net co_55 (joined + (portRef COUT (instanceRef GEN_55_ADD)) + (portRef CI (instanceRef GEN_56_ADD)) + )) + (net co_25 (joined + (portRef COUT (instanceRef GEN_25_ADD)) + (portRef CI (instanceRef GEN_26_ADD)) + )) + (net co_116 (joined + (portRef COUT (instanceRef GEN_116_ADD)) + (portRef CI (instanceRef GEN_117_ADD)) + )) + (net co_86 (joined + (portRef COUT (instanceRef GEN_86_ADD)) + (portRef CI (instanceRef GEN_87_ADD)) + )) + (net co_56 (joined + (portRef COUT (instanceRef GEN_56_ADD)) + (portRef CI (instanceRef GEN_57_ADD)) + )) + (net co_26 (joined + (portRef COUT (instanceRef GEN_26_ADD)) + (portRef CI (instanceRef GEN_27_ADD)) + )) + (net co_87 (joined + (portRef COUT (instanceRef GEN_87_ADD)) + (portRef CI (instanceRef GEN_88_ADD)) + )) + (net co_57 (joined + (portRef COUT (instanceRef GEN_57_ADD)) + (portRef CI (instanceRef GEN_58_ADD)) + )) + (net co_27 (joined + (portRef COUT (instanceRef GEN_27_ADD)) + (portRef CI (instanceRef GEN_28_ADD)) + )) + (net co_58 (joined + (portRef COUT (instanceRef GEN_58_ADD)) + (portRef CI (instanceRef GEN_59_ADD)) + )) + (net co_28 (joined + (portRef COUT (instanceRef GEN_28_ADD)) + (portRef CI (instanceRef GEN_29_ADD)) + )) + (net co_1 (joined + (portRef COUT (instanceRef GEN_1_ADD)) + (portRef CI (instanceRef GEN_2_ADD)) + )) + (net co_59 (joined + (portRef COUT (instanceRef GEN_59_ADD)) + (portRef CI (instanceRef GEN_60_ADD)) + )) + (net co_29 (joined + (portRef COUT (instanceRef GEN_29_ADD)) + (portRef CI (instanceRef GEN_30_ADD)) + )) + (net co_120 (joined + (portRef COUT (instanceRef GEN_120_ADD)) + (portRef CI (instanceRef GEN_121_ADD)) + )) + (net co_90 (joined + (portRef COUT (instanceRef GEN_90_ADD)) + (portRef CI (instanceRef GEN_91_ADD)) + )) + (net co_60 (joined + (portRef COUT (instanceRef GEN_60_ADD)) + (portRef CI (instanceRef GEN_61_ADD)) + )) + (net co_30 (joined + (portRef COUT (instanceRef GEN_30_ADD)) + (portRef CI (instanceRef GEN_31_ADD)) + )) + (net co_121 (joined + (portRef COUT (instanceRef GEN_121_ADD)) + (portRef CI (instanceRef GEN_122_ADD)) + )) + (net co_134 (joined + (portRef COUT (instanceRef GEN_134_ADD)) + (portRef CI (instanceRef GEN_135_ADD)) + )) + (net co_135 (joined + (portRef COUT (instanceRef GEN_135_ADD)) + (portRef CI (instanceRef GEN_136_ADD)) + )) + (net co_131 (joined + (portRef COUT (instanceRef GEN_131_ADD)) + (portRef CI (instanceRef GEN_132_ADD)) + )) + (net co_132 (joined + (portRef COUT (instanceRef GEN_132_ADD)) + (portRef CI (instanceRef GEN_133_ADD)) + )) + (net co_128 (joined + (portRef COUT (instanceRef GEN_128_ADD)) + (portRef CI (instanceRef GEN_129_ADD)) + )) + (net co_129 (joined + (portRef COUT (instanceRef GEN_129_ADD)) + (portRef CI (instanceRef GEN_130_ADD)) + )) + (net co_125 (joined + (portRef COUT (instanceRef GEN_125_ADD)) + (portRef CI (instanceRef GEN_126_ADD)) + )) + (net co_126 (joined + (portRef COUT (instanceRef GEN_126_ADD)) + (portRef CI (instanceRef GEN_127_ADD)) + )) + (net co_122 (joined + (portRef COUT (instanceRef GEN_122_ADD)) + (portRef CI (instanceRef GEN_123_ADD)) + )) + (net co_133 (joined + (portRef COUT (instanceRef GEN_133_ADD)) + (portRef CI (instanceRef GEN_134_ADD)) + )) + (net co_130 (joined + (portRef COUT (instanceRef GEN_130_ADD)) + (portRef CI (instanceRef GEN_131_ADD)) + )) + (net co_127 (joined + (portRef COUT (instanceRef GEN_127_ADD)) + (portRef CI (instanceRef GEN_128_ADD)) + )) + (net co_123 (joined + (portRef COUT (instanceRef GEN_123_ADD)) + (portRef CI (instanceRef GEN_124_ADD)) + )) + (net co_124 (joined + (portRef COUT (instanceRef GEN_124_ADD)) + (portRef CI (instanceRef GEN_125_ADD)) + )) + (net co_147 (joined + (portRef COUT (instanceRef GEN_147_ADD)) + (portRef CI (instanceRef GEN_148_ADD)) + )) + (net co_148 (joined + (portRef COUT (instanceRef GEN_148_ADD)) + (portRef CI (instanceRef GEN_149_ADD)) + )) + (net co_144 (joined + (portRef COUT (instanceRef GEN_144_ADD)) + (portRef CI (instanceRef GEN_145_ADD)) + )) + (net co_145 (joined + (portRef COUT (instanceRef GEN_145_ADD)) + (portRef CI (instanceRef GEN_146_ADD)) + )) + (net co_141 (joined + (portRef COUT (instanceRef GEN_141_ADD)) + (portRef CI (instanceRef GEN_142_ADD)) + )) + (net co_142 (joined + (portRef COUT (instanceRef GEN_142_ADD)) + (portRef CI (instanceRef GEN_143_ADD)) + )) + (net co_138 (joined + (portRef COUT (instanceRef GEN_138_ADD)) + (portRef CI (instanceRef GEN_139_ADD)) + )) + (net co_139 (joined + (portRef COUT (instanceRef GEN_139_ADD)) + (portRef CI (instanceRef GEN_140_ADD)) + )) + (net co_146 (joined + (portRef COUT (instanceRef GEN_146_ADD)) + (portRef CI (instanceRef GEN_147_ADD)) + )) + (net co_143 (joined + (portRef COUT (instanceRef GEN_143_ADD)) + (portRef CI (instanceRef GEN_144_ADD)) + )) + (net co_140 (joined + (portRef COUT (instanceRef GEN_140_ADD)) + (portRef CI (instanceRef GEN_141_ADD)) + )) + (net co_136 (joined + (portRef COUT (instanceRef GEN_136_ADD)) + (portRef CI (instanceRef GEN_137_ADD)) + )) + (net co_137 (joined + (portRef COUT (instanceRef GEN_137_ADD)) + (portRef CI (instanceRef GEN_138_ADD)) + )) + (net co_149 (joined + (portRef COUT (instanceRef GEN_149_ADD)) + (portRef CI (instanceRef GEN_150_ADD)) + )) + (net co_150 (joined + (portRef COUT (instanceRef GEN_150_ADD)) + (portRef CI (instanceRef GEN_151_ADD)) + )) + (net co_151 (joined + (portRef COUT (instanceRef GEN_151_ADD)) + )) + ) + ) + ) + (cell signal_sync_24_3_11 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename measurement_cntr "measurement_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(535:512)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member measurement_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member measurement_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) + )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member measurement_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member measurement_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member measurement_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member measurement_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member measurement_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member measurement_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member measurement_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member measurement_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member measurement_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member measurement_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member measurement_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member measurement_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member measurement_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member measurement_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member measurement_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member measurement_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member measurement_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member measurement_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member measurement_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member measurement_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member measurement_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member measurement_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + )) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + )) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) )) - (net co_77 (joined - (portRef COUT (instanceRef GEN_77_ADD)) - (portRef CI (instanceRef GEN_78_ADD)) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) )) - (net co_47 (joined - (portRef COUT (instanceRef GEN_47_ADD)) - (portRef CI (instanceRef GEN_48_ADD)) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) )) - (net co_17 (joined - (portRef COUT (instanceRef GEN_17_ADD)) - (portRef CI (instanceRef GEN_18_ADD)) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) )) - (net co_108 (joined - (portRef COUT (instanceRef GEN_108_ADD)) - (portRef CI (instanceRef GEN_109_ADD)) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) )) - (net co_78 (joined - (portRef COUT (instanceRef GEN_78_ADD)) - (portRef CI (instanceRef GEN_79_ADD)) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) )) - (net co_48 (joined - (portRef COUT (instanceRef GEN_48_ADD)) - (portRef CI (instanceRef GEN_49_ADD)) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) )) - (net co_18 (joined - (portRef COUT (instanceRef GEN_18_ADD)) - (portRef CI (instanceRef GEN_19_ADD)) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) )) - (net co_109 (joined - (portRef COUT (instanceRef GEN_109_ADD)) - (portRef CI (instanceRef GEN_110_ADD)) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) )) - (net co_49 (joined - (portRef COUT (instanceRef GEN_49_ADD)) - (portRef CI (instanceRef GEN_50_ADD)) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) )) - (net co_19 (joined - (portRef COUT (instanceRef GEN_19_ADD)) - (portRef CI (instanceRef GEN_20_ADD)) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) )) - (net co_110 (joined - (portRef COUT (instanceRef GEN_110_ADD)) - (portRef CI (instanceRef GEN_111_ADD)) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) )) - (net co_79 (joined - (portRef COUT (instanceRef GEN_79_ADD)) - (portRef CI (instanceRef GEN_80_ADD)) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) )) - (net co_80 (joined - (portRef COUT (instanceRef GEN_80_ADD)) - (portRef CI (instanceRef GEN_81_ADD)) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) )) - (net co_20 (joined - (portRef COUT (instanceRef GEN_20_ADD)) - (portRef CI (instanceRef GEN_21_ADD)) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) )) - (net co_111 (joined - (portRef COUT (instanceRef GEN_111_ADD)) - (portRef CI (instanceRef GEN_112_ADD)) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) )) - (net co_81 (joined - (portRef COUT (instanceRef GEN_81_ADD)) - (portRef CI (instanceRef GEN_82_ADD)) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) )) - (net co_21 (joined - (portRef COUT (instanceRef GEN_21_ADD)) - (portRef CI (instanceRef GEN_22_ADD)) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) )) - (net co_112 (joined - (portRef COUT (instanceRef GEN_112_ADD)) - (portRef CI (instanceRef GEN_113_ADD)) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) )) - (net co_82 (joined - (portRef COUT (instanceRef GEN_82_ADD)) - (portRef CI (instanceRef GEN_83_ADD)) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) )) - (net co_52 (joined - (portRef COUT (instanceRef GEN_52_ADD)) - (portRef CI (instanceRef GEN_53_ADD)) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) )) - (net co_22 (joined - (portRef COUT (instanceRef GEN_22_ADD)) - (portRef CI (instanceRef GEN_23_ADD)) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) )) - (net co_113 (joined - (portRef COUT (instanceRef GEN_113_ADD)) - (portRef CI (instanceRef GEN_114_ADD)) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) - (net co_83 (joined - (portRef COUT (instanceRef GEN_83_ADD)) - (portRef CI (instanceRef GEN_84_ADD)) + ) + ) + ) + (cell signal_sync_24_3_10 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename encoder_start_cntr "encoder_start_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(567:544)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member encoder_start_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member encoder_start_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) )) - (net co_53 (joined - (portRef COUT (instanceRef GEN_53_ADD)) - (portRef CI (instanceRef GEN_54_ADD)) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) )) - (net co_114 (joined - (portRef COUT (instanceRef GEN_114_ADD)) - (portRef CI (instanceRef GEN_115_ADD)) + (net VCC (joined + (portRef VCC) )) - (net co_84 (joined - (portRef COUT (instanceRef GEN_84_ADD)) - (portRef CI (instanceRef GEN_85_ADD)) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member encoder_start_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member encoder_start_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member encoder_start_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member encoder_start_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member encoder_start_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member encoder_start_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member encoder_start_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member encoder_start_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member encoder_start_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member encoder_start_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member encoder_start_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member encoder_start_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member encoder_start_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member encoder_start_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member encoder_start_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member encoder_start_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member encoder_start_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member encoder_start_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member encoder_start_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member encoder_start_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member encoder_start_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member encoder_start_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) - (net co_54 (joined - (portRef COUT (instanceRef GEN_54_ADD)) - (portRef CI (instanceRef GEN_55_ADD)) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) )) - (net co_115 (joined - (portRef COUT (instanceRef GEN_115_ADD)) - (portRef CI (instanceRef GEN_116_ADD)) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) )) - (net co_85 (joined - (portRef COUT (instanceRef GEN_85_ADD)) - (portRef CI (instanceRef GEN_86_ADD)) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) )) - (net co_55 (joined - (portRef COUT (instanceRef GEN_55_ADD)) - (portRef CI (instanceRef GEN_56_ADD)) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) )) - (net co_25 (joined - (portRef COUT (instanceRef GEN_25_ADD)) - (portRef CI (instanceRef GEN_26_ADD)) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) )) - (net co_116 (joined - (portRef COUT (instanceRef GEN_116_ADD)) - (portRef CI (instanceRef GEN_117_ADD)) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) )) - (net co_86 (joined - (portRef COUT (instanceRef GEN_86_ADD)) - (portRef CI (instanceRef GEN_87_ADD)) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) )) - (net co_56 (joined - (portRef COUT (instanceRef GEN_56_ADD)) - (portRef CI (instanceRef GEN_57_ADD)) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) )) - (net co_26 (joined - (portRef COUT (instanceRef GEN_26_ADD)) - (portRef CI (instanceRef GEN_27_ADD)) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) )) - (net co_87 (joined - (portRef COUT (instanceRef GEN_87_ADD)) - (portRef CI (instanceRef GEN_88_ADD)) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) )) - (net co_57 (joined - (portRef COUT (instanceRef GEN_57_ADD)) - (portRef CI (instanceRef GEN_58_ADD)) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) )) - (net co_27 (joined - (portRef COUT (instanceRef GEN_27_ADD)) - (portRef CI (instanceRef GEN_28_ADD)) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) )) - (net co_58 (joined - (portRef COUT (instanceRef GEN_58_ADD)) - (portRef CI (instanceRef GEN_59_ADD)) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) )) - (net co_28 (joined - (portRef COUT (instanceRef GEN_28_ADD)) - (portRef CI (instanceRef GEN_29_ADD)) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) )) - (net co_1 (joined - (portRef COUT (instanceRef GEN_1_ADD)) - (portRef CI (instanceRef GEN_2_ADD)) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) )) - (net co_59 (joined - (portRef COUT (instanceRef GEN_59_ADD)) - (portRef CI (instanceRef GEN_60_ADD)) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) )) - (net co_29 (joined - (portRef COUT (instanceRef GEN_29_ADD)) - (portRef CI (instanceRef GEN_30_ADD)) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) )) - (net co_120 (joined - (portRef COUT (instanceRef GEN_120_ADD)) - (portRef CI (instanceRef GEN_121_ADD)) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) )) - (net co_90 (joined - (portRef COUT (instanceRef GEN_90_ADD)) - (portRef CI (instanceRef GEN_91_ADD)) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) )) - (net co_60 (joined - (portRef COUT (instanceRef GEN_60_ADD)) - (portRef CI (instanceRef GEN_61_ADD)) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) )) - (net co_30 (joined - (portRef COUT (instanceRef GEN_30_ADD)) - (portRef CI (instanceRef GEN_31_ADD)) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) )) - (net co_121 (joined - (portRef COUT (instanceRef GEN_121_ADD)) - (portRef CI (instanceRef GEN_122_ADD)) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) )) - (net co_134 (joined - (portRef COUT (instanceRef GEN_134_ADD)) - (portRef CI (instanceRef GEN_135_ADD)) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) )) - (net co_135 (joined - (portRef COUT (instanceRef GEN_135_ADD)) - (portRef CI (instanceRef GEN_136_ADD)) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) - (net co_131 (joined - (portRef COUT (instanceRef GEN_131_ADD)) - (portRef CI (instanceRef GEN_132_ADD)) + ) + ) + ) + (cell signal_sync_24_3_9 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename lost_hit_cntr "lost_hit_cntr(23:0)") 24) (direction INPUT)) + (port (array (rename stat_reg "stat_reg(503:480)") 24) (direction OUTPUT)) + (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + ) + (contents + (instance sync_q_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_32 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_33 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_34 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_35 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_36 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_37 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_38 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_39 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_40 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_41 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_42 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_43 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_44 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_45 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_46 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance sync_q_47 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_72 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_73 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_74 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_75 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_76 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_77 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_78 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_79 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_80 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_81 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_82 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_83 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_84 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_85 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_86 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_87 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_88 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_89 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_90 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_91 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_92 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_93 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_94 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_95 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_48 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_49 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_50 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_51 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_52 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_53 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_54 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_55 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_56 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_57 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_58 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_59 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_60 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_61 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_62 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_63 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_64 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_65 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_66 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_67 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_68 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_69 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_70 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_71 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_24)) + (portRef (member lost_hit_cntr 23)) + ) + ) + (net sync_q_1_1 (joined + (portRef D (instanceRef sync_q_25)) + (portRef (member lost_hit_cntr 22)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef sync_q_47)) + (portRef CK (instanceRef sync_q_46)) + (portRef CK (instanceRef sync_q_45)) + (portRef CK (instanceRef sync_q_44)) + (portRef CK (instanceRef sync_q_43)) + (portRef CK (instanceRef sync_q_42)) + (portRef CK (instanceRef sync_q_41)) + (portRef CK (instanceRef sync_q_40)) + (portRef CK (instanceRef sync_q_39)) + (portRef CK (instanceRef sync_q_38)) + (portRef CK (instanceRef sync_q_37)) + (portRef CK (instanceRef sync_q_36)) + (portRef CK (instanceRef sync_q_35)) + (portRef CK (instanceRef sync_q_34)) + (portRef CK (instanceRef sync_q_33)) + (portRef CK (instanceRef sync_q_32)) + (portRef CK (instanceRef sync_q_31)) + (portRef CK (instanceRef sync_q_30)) + (portRef CK (instanceRef sync_q_29)) + (portRef CK (instanceRef sync_q_28)) + (portRef CK (instanceRef sync_q_27)) + (portRef CK (instanceRef sync_q_26)) + (portRef CK (instanceRef sync_q_25)) + (portRef CK (instanceRef sync_q_24)) )) - (net co_132 (joined - (portRef COUT (instanceRef GEN_132_ADD)) - (portRef CI (instanceRef GEN_133_ADD)) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef CD (instanceRef sync_q_47)) + (portRef CD (instanceRef sync_q_46)) + (portRef CD (instanceRef sync_q_45)) + (portRef CD (instanceRef sync_q_44)) + (portRef CD (instanceRef sync_q_43)) + (portRef CD (instanceRef sync_q_42)) + (portRef CD (instanceRef sync_q_41)) + (portRef CD (instanceRef sync_q_40)) + (portRef CD (instanceRef sync_q_39)) + (portRef CD (instanceRef sync_q_38)) + (portRef CD (instanceRef sync_q_37)) + (portRef CD (instanceRef sync_q_36)) + (portRef CD (instanceRef sync_q_35)) + (portRef CD (instanceRef sync_q_34)) + (portRef CD (instanceRef sync_q_33)) + (portRef CD (instanceRef sync_q_32)) + (portRef CD (instanceRef sync_q_31)) + (portRef CD (instanceRef sync_q_30)) + (portRef CD (instanceRef sync_q_29)) + (portRef CD (instanceRef sync_q_28)) + (portRef CD (instanceRef sync_q_27)) + (portRef CD (instanceRef sync_q_26)) + (portRef CD (instanceRef sync_q_25)) + (portRef CD (instanceRef sync_q_24)) )) - (net co_128 (joined - (portRef COUT (instanceRef GEN_128_ADD)) - (portRef CI (instanceRef GEN_129_ADD)) + (net VCC (joined + (portRef VCC) )) - (net co_129 (joined - (portRef COUT (instanceRef GEN_129_ADD)) - (portRef CI (instanceRef GEN_130_ADD)) + (net sync_q_1_2 (joined + (portRef D (instanceRef sync_q_26)) + (portRef (member lost_hit_cntr 21)) + ) + ) + (net sync_q_1_3 (joined + (portRef D (instanceRef sync_q_27)) + (portRef (member lost_hit_cntr 20)) + ) + ) + (net sync_q_1_4 (joined + (portRef D (instanceRef sync_q_28)) + (portRef (member lost_hit_cntr 19)) + ) + ) + (net sync_q_1_5 (joined + (portRef D (instanceRef sync_q_29)) + (portRef (member lost_hit_cntr 18)) + ) + ) + (net sync_q_1_6 (joined + (portRef D (instanceRef sync_q_30)) + (portRef (member lost_hit_cntr 17)) + ) + ) + (net sync_q_1_7 (joined + (portRef D (instanceRef sync_q_31)) + (portRef (member lost_hit_cntr 16)) + ) + ) + (net sync_q_1_8 (joined + (portRef D (instanceRef sync_q_32)) + (portRef (member lost_hit_cntr 15)) + ) + ) + (net sync_q_1_9 (joined + (portRef D (instanceRef sync_q_33)) + (portRef (member lost_hit_cntr 14)) + ) + ) + (net sync_q_1_10 (joined + (portRef D (instanceRef sync_q_34)) + (portRef (member lost_hit_cntr 13)) + ) + ) + (net sync_q_1_11 (joined + (portRef D (instanceRef sync_q_35)) + (portRef (member lost_hit_cntr 12)) + ) + ) + (net sync_q_1_12 (joined + (portRef D (instanceRef sync_q_36)) + (portRef (member lost_hit_cntr 11)) + ) + ) + (net sync_q_1_13 (joined + (portRef D (instanceRef sync_q_37)) + (portRef (member lost_hit_cntr 10)) + ) + ) + (net sync_q_1_14 (joined + (portRef D (instanceRef sync_q_38)) + (portRef (member lost_hit_cntr 9)) + ) + ) + (net sync_q_1_15 (joined + (portRef D (instanceRef sync_q_39)) + (portRef (member lost_hit_cntr 8)) + ) + ) + (net sync_q_1_16 (joined + (portRef D (instanceRef sync_q_40)) + (portRef (member lost_hit_cntr 7)) + ) + ) + (net sync_q_1_17 (joined + (portRef D (instanceRef sync_q_41)) + (portRef (member lost_hit_cntr 6)) + ) + ) + (net sync_q_1_18 (joined + (portRef D (instanceRef sync_q_42)) + (portRef (member lost_hit_cntr 5)) + ) + ) + (net sync_q_1_19 (joined + (portRef D (instanceRef sync_q_43)) + (portRef (member lost_hit_cntr 4)) + ) + ) + (net sync_q_1_20 (joined + (portRef D (instanceRef sync_q_44)) + (portRef (member lost_hit_cntr 3)) + ) + ) + (net sync_q_1_21 (joined + (portRef D (instanceRef sync_q_45)) + (portRef (member lost_hit_cntr 2)) + ) + ) + (net sync_q_1_22 (joined + (portRef D (instanceRef sync_q_46)) + (portRef (member lost_hit_cntr 1)) + ) + ) + (net sync_q_1_23 (joined + (portRef D (instanceRef sync_q_47)) + (portRef (member lost_hit_cntr 0)) + ) + ) + (net sync_q_1_48 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_72)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + ) + ) + (net sync_q_1_49 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_73)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) - (net co_125 (joined - (portRef COUT (instanceRef GEN_125_ADD)) - (portRef CI (instanceRef GEN_126_ADD)) + (net sync_q_1_50 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_74)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + ) + ) + (net sync_q_1_51 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_75)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + ) + ) + (net sync_q_1_52 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_76)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + ) + ) + (net sync_q_1_53 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_77)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + ) + ) + (net sync_q_1_54 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_78)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + ) + ) + (net sync_q_1_55 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_79)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + ) + ) + (net sync_q_1_56 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_80)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + ) + ) + (net sync_q_1_57 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_81)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + ) + ) + (net sync_q_1_58 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_82)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + ) + ) + (net sync_q_1_59 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_83)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + ) + ) + (net sync_q_1_60 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_84)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + ) + ) + (net sync_q_1_61 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_85)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + ) + ) + (net sync_q_1_62 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_86)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + ) + ) + (net sync_q_1_63 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_87)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + ) + ) + (net sync_q_1_64 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_88)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + ) + ) + (net sync_q_1_65 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_89)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + ) + ) + (net sync_q_1_66 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_90)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + ) + ) + (net sync_q_1_67 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_91)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + ) + ) + (net sync_q_1_68 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_92)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + ) + ) + (net sync_q_1_69 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_93)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + ) + ) + (net sync_q_1_70 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_94)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + ) + ) + (net sync_q_1_71 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_95)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + ) + ) + (net sync_q_1_24 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_48)) + (portRef Q (instanceRef sync_q_24)) + ) + ) + (net sync_q_1_25 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_49)) + (portRef Q (instanceRef sync_q_25)) + ) + ) + (net sync_q_1_26 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_50)) + (portRef Q (instanceRef sync_q_26)) + ) + ) + (net sync_q_1_27 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_51)) + (portRef Q (instanceRef sync_q_27)) + ) + ) + (net sync_q_1_28 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_52)) + (portRef Q (instanceRef sync_q_28)) + ) + ) + (net sync_q_1_29 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_53)) + (portRef Q (instanceRef sync_q_29)) + ) + ) + (net sync_q_1_30 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_54)) + (portRef Q (instanceRef sync_q_30)) + ) + ) + (net sync_q_1_31 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_55)) + (portRef Q (instanceRef sync_q_31)) + ) + ) + (net sync_q_1_32 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_56)) + (portRef Q (instanceRef sync_q_32)) + ) + ) + (net sync_q_1_33 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_57)) + (portRef Q (instanceRef sync_q_33)) + ) + ) + (net sync_q_1_34 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_58)) + (portRef Q (instanceRef sync_q_34)) + ) + ) + (net sync_q_1_35 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_59)) + (portRef Q (instanceRef sync_q_35)) + ) + ) + (net sync_q_1_36 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_60)) + (portRef Q (instanceRef sync_q_36)) + ) + ) + (net sync_q_1_37 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_61)) + (portRef Q (instanceRef sync_q_37)) + ) + ) + (net sync_q_1_38 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_62)) + (portRef Q (instanceRef sync_q_38)) + ) + ) + (net sync_q_1_39 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_63)) + (portRef Q (instanceRef sync_q_39)) + ) + ) + (net sync_q_1_40 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_64)) + (portRef Q (instanceRef sync_q_40)) + ) + ) + (net sync_q_1_41 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_65)) + (portRef Q (instanceRef sync_q_41)) + ) + ) + (net sync_q_1_42 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_66)) + (portRef Q (instanceRef sync_q_42)) + ) + ) + (net sync_q_1_43 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_67)) + (portRef Q (instanceRef sync_q_43)) + ) + ) + (net sync_q_1_44 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_68)) + (portRef Q (instanceRef sync_q_44)) + ) + ) + (net sync_q_1_45 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_69)) + (portRef Q (instanceRef sync_q_45)) + ) + ) + (net sync_q_1_46 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_70)) + (portRef Q (instanceRef sync_q_46)) + ) + ) + (net sync_q_1_47 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_71)) + (portRef Q (instanceRef sync_q_47)) + ) + ) + (net sync_q_1_95 (joined + (portRef (member stat_reg 0)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_95)) )) - (net co_126 (joined - (portRef COUT (instanceRef GEN_126_ADD)) - (portRef CI (instanceRef GEN_127_ADD)) + (net sync_q_1_94 (joined + (portRef (member stat_reg 1)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_94)) )) - (net co_122 (joined - (portRef COUT (instanceRef GEN_122_ADD)) - (portRef CI (instanceRef GEN_123_ADD)) + (net sync_q_1_93 (joined + (portRef (member stat_reg 2)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_93)) )) - (net co_133 (joined - (portRef COUT (instanceRef GEN_133_ADD)) - (portRef CI (instanceRef GEN_134_ADD)) + (net sync_q_1_92 (joined + (portRef (member stat_reg 3)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_92)) )) - (net co_130 (joined - (portRef COUT (instanceRef GEN_130_ADD)) - (portRef CI (instanceRef GEN_131_ADD)) + (net sync_q_1_91 (joined + (portRef (member stat_reg 4)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_91)) )) - (net co_127 (joined - (portRef COUT (instanceRef GEN_127_ADD)) - (portRef CI (instanceRef GEN_128_ADD)) + (net sync_q_1_90 (joined + (portRef (member stat_reg 5)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_90)) )) - (net co_123 (joined - (portRef COUT (instanceRef GEN_123_ADD)) - (portRef CI (instanceRef GEN_124_ADD)) + (net sync_q_1_89 (joined + (portRef (member stat_reg 6)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_89)) )) - (net co_124 (joined - (portRef COUT (instanceRef GEN_124_ADD)) - (portRef CI (instanceRef GEN_125_ADD)) + (net sync_q_1_88 (joined + (portRef (member stat_reg 7)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_88)) )) - (net co_147 (joined - (portRef COUT (instanceRef GEN_147_ADD)) - (portRef CI (instanceRef GEN_148_ADD)) + (net sync_q_1_87 (joined + (portRef (member stat_reg 8)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_87)) )) - (net co_148 (joined - (portRef COUT (instanceRef GEN_148_ADD)) - (portRef CI (instanceRef GEN_149_ADD)) + (net sync_q_1_86 (joined + (portRef (member stat_reg 9)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_86)) )) - (net co_144 (joined - (portRef COUT (instanceRef GEN_144_ADD)) - (portRef CI (instanceRef GEN_145_ADD)) + (net sync_q_1_85 (joined + (portRef (member stat_reg 10)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_85)) )) - (net co_145 (joined - (portRef COUT (instanceRef GEN_145_ADD)) - (portRef CI (instanceRef GEN_146_ADD)) + (net sync_q_1_84 (joined + (portRef (member stat_reg 11)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_84)) )) - (net co_141 (joined - (portRef COUT (instanceRef GEN_141_ADD)) - (portRef CI (instanceRef GEN_142_ADD)) + (net sync_q_1_83 (joined + (portRef (member stat_reg 12)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_83)) )) - (net co_142 (joined - (portRef COUT (instanceRef GEN_142_ADD)) - (portRef CI (instanceRef GEN_143_ADD)) + (net sync_q_1_82 (joined + (portRef (member stat_reg 13)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_82)) )) - (net co_138 (joined - (portRef COUT (instanceRef GEN_138_ADD)) - (portRef CI (instanceRef GEN_139_ADD)) + (net sync_q_1_81 (joined + (portRef (member stat_reg 14)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_81)) )) - (net co_139 (joined - (portRef COUT (instanceRef GEN_139_ADD)) - (portRef CI (instanceRef GEN_140_ADD)) + (net sync_q_1_80 (joined + (portRef (member stat_reg 15)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_80)) )) - (net co_146 (joined - (portRef COUT (instanceRef GEN_146_ADD)) - (portRef CI (instanceRef GEN_147_ADD)) + (net sync_q_1_79 (joined + (portRef (member stat_reg 16)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_79)) )) - (net co_143 (joined - (portRef COUT (instanceRef GEN_143_ADD)) - (portRef CI (instanceRef GEN_144_ADD)) + (net sync_q_1_78 (joined + (portRef (member stat_reg 17)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_78)) )) - (net co_140 (joined - (portRef COUT (instanceRef GEN_140_ADD)) - (portRef CI (instanceRef GEN_141_ADD)) + (net sync_q_1_77 (joined + (portRef (member stat_reg 18)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_77)) )) - (net co_136 (joined - (portRef COUT (instanceRef GEN_136_ADD)) - (portRef CI (instanceRef GEN_137_ADD)) + (net sync_q_1_76 (joined + (portRef (member stat_reg 19)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_76)) )) - (net co_137 (joined - (portRef COUT (instanceRef GEN_137_ADD)) - (portRef CI (instanceRef GEN_138_ADD)) + (net sync_q_1_75 (joined + (portRef (member stat_reg 20)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_75)) )) - (net co_149 (joined - (portRef COUT (instanceRef GEN_149_ADD)) - (portRef CI (instanceRef GEN_150_ADD)) + (net sync_q_1_74 (joined + (portRef (member stat_reg 21)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_74)) )) - (net co_150 (joined - (portRef COUT (instanceRef GEN_150_ADD)) - (portRef CI (instanceRef GEN_151_ADD)) + (net sync_q_1_73 (joined + (portRef (member stat_reg 22)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_73)) )) - (net co_151 (joined - (portRef COUT (instanceRef GEN_151_ADD)) + (net sync_q_1_72 (joined + (portRef (member stat_reg 23)) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_72)) )) ) ) @@ -512764,7 +512776,7 @@ (cell edge_to_pulse_0_3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -512836,11 +512848,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_21_0 (joined + (net channel_debug_01_i_3_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_21 0)) + (portRef (member channel_debug_01_i_3 0)) )) (net VCC (joined (portRef VCC) @@ -512854,15 +512866,17 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(21:21)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(2:2)") 1) (direction INPUT)) - (port reset_i_7 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(3:3)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(2:2)") 1) (direction INPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_i_17 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port fifo_empty_i (direction OUTPUT)) + (port fifo_full_i (direction OUTPUT)) ) (contents (instance AND2_t20 (viewRef PRIM (cellRef AND2 (libraryRef LUCENT))) @@ -513342,8 +513356,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_21_2 (joined - (portRef (member channel_debug_01_i_21 0)) + (net channel_debug_01_i_3_2 (joined + (portRef (member channel_debug_01_i_3 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -513386,11 +513400,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net fifo_full_i (joined - (portRef Q (instanceRef FF_0)) - (portRef A (instanceRef INV_1)) - )) - (net rd_en_i_21 (joined + (net rd_en_i_3 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -513826,8 +513836,9 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -514116,8 +514127,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -514392,44 +514402,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) + (net reset_i_17 (joined + (portRef reset_i_17) (portRef CD (instanceRef FF_87)) (portRef CD (instanceRef FF_88)) (portRef CD (instanceRef FF_89)) @@ -514507,6 +514481,45 @@ (portRef Q (instanceRef FF_86)) (portRef D (instanceRef FF_36)) )) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + )) (net w_gcount_6 (joined (portRef Q (instanceRef FF_85)) (portRef D (instanceRef FF_35)) @@ -514751,6 +514764,11 @@ (portRef S0 (instanceRef a1)) (portRef D (instanceRef FF_0)) )) + (net fifo_full_i (joined + (portRef Q (instanceRef FF_0)) + (portRef A (instanceRef INV_1)) + (portRef fifo_full_i) + )) (net w_gctr_ci (joined (portRef COUT (instanceRef w_gctr_cia)) (portRef CI (instanceRef w_gctr_0)) @@ -515191,11 +515209,14 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_21 "channel_debug_01_i_21(2:1)") 2) (direction INOUT)) - (port reset_tdc_36 (direction INPUT)) - (port reset_tdc_fast_36_r30 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) + (port (array (rename channel_debug_01_i_3 "channel_debug_01_i_3(2:1)") 2) (direction INOUT)) + (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_fast_36_r4 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_37_r3 (direction INPUT)) + (port reset_tdc_fast_36_r7 (direction INPUT)) + (port reset_tdc_fast_36_r5 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -515564,7 +515585,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI6SB81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI8V5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -515646,25 +515667,25 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -515898,9 +515919,6 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_60_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -516182,6 +516200,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) @@ -516576,7 +516597,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI6SB81)) + (portRef A (instanceRef start_reg_RNI8V5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -516841,8 +516862,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -517069,8 +517090,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -517884,8 +517905,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI6SB81 (joined - (portRef Z (instanceRef start_reg_RNI6SB81)) + (net start_reg_RNI8V5Q (joined + (portRef Z (instanceRef start_reg_RNI8V5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -517930,8 +517951,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_21_1 (joined - (portRef (member channel_debug_01_i_21 1)) + (net channel_debug_01_i_3_1 (joined + (portRef (member channel_debug_01_i_3 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -517974,7 +517995,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI6SB81)) + (portRef B (instanceRef start_reg_RNI8V5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -518002,9 +518023,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_21_2 (joined + (net channel_debug_01_i_3_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_21 0)) + (portRef (member channel_debug_01_i_3 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -518565,10 +518586,6 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI6SB81)) - )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -518696,15 +518713,17 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) + (portRef C (instanceRef start_reg_RNI8V5Q)) + )) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -518714,25 +518733,21 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_246_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_4_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_246_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) (net interval_reg_41_14_am_26_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) @@ -520168,17 +520183,32 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - (portRef D (instanceRef proc_cnt_3_RNO_0)) - (portRef D (instanceRef proc_cnt_2_RNO_0)) - (portRef D (instanceRef proc_cnt_1_RNO_0)) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (net reset_tdc_fast_36_r5 (joined + (portRef reset_tdc_fast_36_r5) (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + )) + (net reset_tdc_fast_36_r7 (joined + (portRef reset_tdc_fast_36_r7) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + )) + (net reset_tdc_fast_37_r3 (joined + (portRef reset_tdc_fast_37_r3) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) + (portRef D (instanceRef proc_cnt_2_RNO_0)) + (portRef D (instanceRef proc_cnt_1_RNO_0)) + )) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef D (instanceRef proc_cnt_3_RNO_0)) + )) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef D (instanceRef proc_cnt_4_RNO_0)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -520617,8 +520647,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r30 (joined - (portRef reset_tdc_fast_36_r30) + (net reset_tdc_fast_36_r4 (joined + (portRef reset_tdc_fast_36_r4) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) @@ -521189,9 +521219,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) - (portRef reset_tdc_36 (instanceRef ROM_Encoder_1)) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) + (portRef reset_tdc_91 (instanceRef ROM_Encoder_1)) )) ) ) @@ -521201,10 +521231,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) - (port reset_tdc_35 (direction INPUT)) - (port reset_tdc_34 (direction INPUT)) - (port reset_tdc_33 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_90 (direction INPUT)) + (port reset_tdc_89 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -523360,29 +523390,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_33 (joined - (portRef reset_tdc_33) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - (portRef CD (instanceRef FF_272)) - (portRef CD (instanceRef FF_273)) - (portRef CD (instanceRef FF_274)) - (portRef CD (instanceRef FF_275)) - (portRef CD (instanceRef FF_276)) - (portRef CD (instanceRef FF_277)) - (portRef CD (instanceRef FF_278)) - (portRef CD (instanceRef FF_279)) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) (portRef CD (instanceRef FF_280)) (portRef CD (instanceRef FF_281)) (portRef CD (instanceRef FF_282)) @@ -523600,198 +523609,8 @@ (portRef S0 (instanceRef GEN_139_ADD)) (portRef D (instanceRef FF_278)) )) - (net result_i_278 (joined - (portRef Q (instanceRef FF_278)) - (portRef (member result_i 25)) - )) - (net tsum_277 (joined - (portRef S1 (instanceRef GEN_138_ADD)) - (portRef D (instanceRef FF_277)) - )) - (net result_i_277 (joined - (portRef Q (instanceRef FF_277)) - (portRef (member result_i 26)) - )) - (net tsum_276 (joined - (portRef S0 (instanceRef GEN_138_ADD)) - (portRef D (instanceRef FF_276)) - )) - (net result_i_276 (joined - (portRef Q (instanceRef FF_276)) - (portRef (member result_i 27)) - )) - (net tsum_275 (joined - (portRef S1 (instanceRef GEN_137_ADD)) - (portRef D (instanceRef FF_275)) - )) - (net result_i_275 (joined - (portRef Q (instanceRef FF_275)) - (portRef (member result_i 28)) - )) - (net tsum_274 (joined - (portRef S0 (instanceRef GEN_137_ADD)) - (portRef D (instanceRef FF_274)) - )) - (net result_i_274 (joined - (portRef Q (instanceRef FF_274)) - (portRef (member result_i 29)) - )) - (net tsum_273 (joined - (portRef S1 (instanceRef GEN_136_ADD)) - (portRef D (instanceRef FF_273)) - )) - (net result_i_273 (joined - (portRef Q (instanceRef FF_273)) - (portRef (member result_i 30)) - )) - (net tsum_272 (joined - (portRef S0 (instanceRef GEN_136_ADD)) - (portRef D (instanceRef FF_272)) - )) - (net result_i_272 (joined - (portRef Q (instanceRef FF_272)) - (portRef (member result_i 31)) - )) - (net tsum_271 (joined - (portRef S1 (instanceRef GEN_135_ADD)) - (portRef D (instanceRef FF_271)) - )) - (net result_i_271 (joined - (portRef Q (instanceRef FF_271)) - (portRef (member result_i 32)) - )) - (net tsum_270 (joined - (portRef S0 (instanceRef GEN_135_ADD)) - (portRef D (instanceRef FF_270)) - )) - (net result_i_270 (joined - (portRef Q (instanceRef FF_270)) - (portRef (member result_i 33)) - )) - (net tsum_269 (joined - (portRef S1 (instanceRef GEN_134_ADD)) - (portRef D (instanceRef FF_269)) - )) - (net result_i_269 (joined - (portRef Q (instanceRef FF_269)) - (portRef (member result_i 34)) - )) - (net tsum_268 (joined - (portRef S0 (instanceRef GEN_134_ADD)) - (portRef D (instanceRef FF_268)) - )) - (net result_i_268 (joined - (portRef Q (instanceRef FF_268)) - (portRef (member result_i 35)) - )) - (net tsum_267 (joined - (portRef S1 (instanceRef GEN_133_ADD)) - (portRef D (instanceRef FF_267)) - )) - (net result_i_267 (joined - (portRef Q (instanceRef FF_267)) - (portRef (member result_i 36)) - )) - (net tsum_266 (joined - (portRef S0 (instanceRef GEN_133_ADD)) - (portRef D (instanceRef FF_266)) - )) - (net result_i_266 (joined - (portRef Q (instanceRef FF_266)) - (portRef (member result_i 37)) - )) - (net tsum_265 (joined - (portRef S1 (instanceRef GEN_132_ADD)) - (portRef D (instanceRef FF_265)) - )) - (net result_i_265 (joined - (portRef Q (instanceRef FF_265)) - (portRef (member result_i 38)) - )) - (net tsum_264 (joined - (portRef S0 (instanceRef GEN_132_ADD)) - (portRef D (instanceRef FF_264)) - )) - (net result_i_264 (joined - (portRef Q (instanceRef FF_264)) - (portRef (member result_i 39)) - )) - (net tsum_263 (joined - (portRef S1 (instanceRef GEN_131_ADD)) - (portRef D (instanceRef FF_263)) - )) - (net result_i_263 (joined - (portRef Q (instanceRef FF_263)) - (portRef (member result_i 40)) - )) - (net tsum_262 (joined - (portRef S0 (instanceRef GEN_131_ADD)) - (portRef D (instanceRef FF_262)) - )) - (net result_i_262 (joined - (portRef Q (instanceRef FF_262)) - (portRef (member result_i 41)) - )) - (net tsum_261 (joined - (portRef S1 (instanceRef GEN_130_ADD)) - (portRef D (instanceRef FF_261)) - )) - (net result_i_261 (joined - (portRef Q (instanceRef FF_261)) - (portRef (member result_i 42)) - )) - (net tsum_260 (joined - (portRef S0 (instanceRef GEN_130_ADD)) - (portRef D (instanceRef FF_260)) - )) - (net result_i_260 (joined - (portRef Q (instanceRef FF_260)) - (portRef (member result_i 43)) - )) - (net tsum_259 (joined - (portRef S1 (instanceRef GEN_129_ADD)) - (portRef D (instanceRef FF_259)) - )) - (net result_i_259 (joined - (portRef Q (instanceRef FF_259)) - (portRef (member result_i 44)) - )) - (net tsum_258 (joined - (portRef S0 (instanceRef GEN_129_ADD)) - (portRef D (instanceRef FF_258)) - )) - (net result_i_258 (joined - (portRef Q (instanceRef FF_258)) - (portRef (member result_i 45)) - )) - (net tsum_257 (joined - (portRef S1 (instanceRef GEN_128_ADD)) - (portRef D (instanceRef FF_257)) - )) - (net reset_tdc_34 (joined - (portRef reset_tdc_34) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) + (net reset_tdc_89 (joined + (portRef reset_tdc_89) (portRef CD (instanceRef FF_181)) (portRef CD (instanceRef FF_182)) (portRef CD (instanceRef FF_183)) @@ -523870,6 +523689,195 @@ (portRef CD (instanceRef FF_256)) (portRef CD (instanceRef FF_257)) (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) + (portRef CD (instanceRef FF_272)) + (portRef CD (instanceRef FF_273)) + (portRef CD (instanceRef FF_274)) + (portRef CD (instanceRef FF_275)) + (portRef CD (instanceRef FF_276)) + (portRef CD (instanceRef FF_277)) + (portRef CD (instanceRef FF_278)) + (portRef CD (instanceRef FF_279)) + )) + (net result_i_278 (joined + (portRef Q (instanceRef FF_278)) + (portRef (member result_i 25)) + )) + (net tsum_277 (joined + (portRef S1 (instanceRef GEN_138_ADD)) + (portRef D (instanceRef FF_277)) + )) + (net result_i_277 (joined + (portRef Q (instanceRef FF_277)) + (portRef (member result_i 26)) + )) + (net tsum_276 (joined + (portRef S0 (instanceRef GEN_138_ADD)) + (portRef D (instanceRef FF_276)) + )) + (net result_i_276 (joined + (portRef Q (instanceRef FF_276)) + (portRef (member result_i 27)) + )) + (net tsum_275 (joined + (portRef S1 (instanceRef GEN_137_ADD)) + (portRef D (instanceRef FF_275)) + )) + (net result_i_275 (joined + (portRef Q (instanceRef FF_275)) + (portRef (member result_i 28)) + )) + (net tsum_274 (joined + (portRef S0 (instanceRef GEN_137_ADD)) + (portRef D (instanceRef FF_274)) + )) + (net result_i_274 (joined + (portRef Q (instanceRef FF_274)) + (portRef (member result_i 29)) + )) + (net tsum_273 (joined + (portRef S1 (instanceRef GEN_136_ADD)) + (portRef D (instanceRef FF_273)) + )) + (net result_i_273 (joined + (portRef Q (instanceRef FF_273)) + (portRef (member result_i 30)) + )) + (net tsum_272 (joined + (portRef S0 (instanceRef GEN_136_ADD)) + (portRef D (instanceRef FF_272)) + )) + (net result_i_272 (joined + (portRef Q (instanceRef FF_272)) + (portRef (member result_i 31)) + )) + (net tsum_271 (joined + (portRef S1 (instanceRef GEN_135_ADD)) + (portRef D (instanceRef FF_271)) + )) + (net result_i_271 (joined + (portRef Q (instanceRef FF_271)) + (portRef (member result_i 32)) + )) + (net tsum_270 (joined + (portRef S0 (instanceRef GEN_135_ADD)) + (portRef D (instanceRef FF_270)) + )) + (net result_i_270 (joined + (portRef Q (instanceRef FF_270)) + (portRef (member result_i 33)) + )) + (net tsum_269 (joined + (portRef S1 (instanceRef GEN_134_ADD)) + (portRef D (instanceRef FF_269)) + )) + (net result_i_269 (joined + (portRef Q (instanceRef FF_269)) + (portRef (member result_i 34)) + )) + (net tsum_268 (joined + (portRef S0 (instanceRef GEN_134_ADD)) + (portRef D (instanceRef FF_268)) + )) + (net result_i_268 (joined + (portRef Q (instanceRef FF_268)) + (portRef (member result_i 35)) + )) + (net tsum_267 (joined + (portRef S1 (instanceRef GEN_133_ADD)) + (portRef D (instanceRef FF_267)) + )) + (net result_i_267 (joined + (portRef Q (instanceRef FF_267)) + (portRef (member result_i 36)) + )) + (net tsum_266 (joined + (portRef S0 (instanceRef GEN_133_ADD)) + (portRef D (instanceRef FF_266)) + )) + (net result_i_266 (joined + (portRef Q (instanceRef FF_266)) + (portRef (member result_i 37)) + )) + (net tsum_265 (joined + (portRef S1 (instanceRef GEN_132_ADD)) + (portRef D (instanceRef FF_265)) + )) + (net result_i_265 (joined + (portRef Q (instanceRef FF_265)) + (portRef (member result_i 38)) + )) + (net tsum_264 (joined + (portRef S0 (instanceRef GEN_132_ADD)) + (portRef D (instanceRef FF_264)) + )) + (net result_i_264 (joined + (portRef Q (instanceRef FF_264)) + (portRef (member result_i 39)) + )) + (net tsum_263 (joined + (portRef S1 (instanceRef GEN_131_ADD)) + (portRef D (instanceRef FF_263)) + )) + (net result_i_263 (joined + (portRef Q (instanceRef FF_263)) + (portRef (member result_i 40)) + )) + (net tsum_262 (joined + (portRef S0 (instanceRef GEN_131_ADD)) + (portRef D (instanceRef FF_262)) + )) + (net result_i_262 (joined + (portRef Q (instanceRef FF_262)) + (portRef (member result_i 41)) + )) + (net tsum_261 (joined + (portRef S1 (instanceRef GEN_130_ADD)) + (portRef D (instanceRef FF_261)) + )) + (net result_i_261 (joined + (portRef Q (instanceRef FF_261)) + (portRef (member result_i 42)) + )) + (net tsum_260 (joined + (portRef S0 (instanceRef GEN_130_ADD)) + (portRef D (instanceRef FF_260)) + )) + (net result_i_260 (joined + (portRef Q (instanceRef FF_260)) + (portRef (member result_i 43)) + )) + (net tsum_259 (joined + (portRef S1 (instanceRef GEN_129_ADD)) + (portRef D (instanceRef FF_259)) + )) + (net result_i_259 (joined + (portRef Q (instanceRef FF_259)) + (portRef (member result_i 44)) + )) + (net tsum_258 (joined + (portRef S0 (instanceRef GEN_129_ADD)) + (portRef D (instanceRef FF_258)) + )) + (net result_i_258 (joined + (portRef Q (instanceRef FF_258)) + (portRef (member result_i 45)) + )) + (net tsum_257 (joined + (portRef S1 (instanceRef GEN_128_ADD)) + (portRef D (instanceRef FF_257)) )) (net result_i_257 (joined (portRef Q (instanceRef FF_257)) @@ -524495,6 +524503,108 @@ (portRef S1 (instanceRef GEN_89_ADD)) (portRef D (instanceRef FF_179)) )) + (net reset_tdc_90 (joined + (portRef reset_tdc_90) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + )) (net result_i_179 (joined (portRef Q (instanceRef FF_179)) (portRef (member result_i 124)) @@ -524671,109 +524781,6 @@ (portRef S1 (instanceRef GEN_78_ADD)) (portRef D (instanceRef FF_157)) )) - (net reset_tdc_35 (joined - (portRef reset_tdc_35) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - )) (net result_i_157 (joined (portRef Q (instanceRef FF_157)) (portRef (member result_i 146)) @@ -525390,192 +525397,8 @@ (portRef S0 (instanceRef GEN_40_ADD)) (portRef D (instanceRef FF_80)) )) - (net result_i_80 (joined - (portRef Q (instanceRef FF_80)) - (portRef (member result_i 223)) - )) - (net tsum_79 (joined - (portRef S1 (instanceRef GEN_39_ADD)) - (portRef D (instanceRef FF_79)) - )) - (net result_i_79 (joined - (portRef Q (instanceRef FF_79)) - (portRef (member result_i 224)) - )) - (net tsum_78 (joined - (portRef S0 (instanceRef GEN_39_ADD)) - (portRef D (instanceRef FF_78)) - )) - (net result_i_78 (joined - (portRef Q (instanceRef FF_78)) - (portRef (member result_i 225)) - )) - (net tsum_77 (joined - (portRef S1 (instanceRef GEN_38_ADD)) - (portRef D (instanceRef FF_77)) - )) - (net result_i_77 (joined - (portRef Q (instanceRef FF_77)) - (portRef (member result_i 226)) - )) - (net tsum_76 (joined - (portRef S0 (instanceRef GEN_38_ADD)) - (portRef D (instanceRef FF_76)) - )) - (net result_i_76 (joined - (portRef Q (instanceRef FF_76)) - (portRef (member result_i 227)) - )) - (net tsum_75 (joined - (portRef S1 (instanceRef GEN_37_ADD)) - (portRef D (instanceRef FF_75)) - )) - (net result_i_75 (joined - (portRef Q (instanceRef FF_75)) - (portRef (member result_i 228)) - )) - (net tsum_74 (joined - (portRef S0 (instanceRef GEN_37_ADD)) - (portRef D (instanceRef FF_74)) - )) - (net result_i_74 (joined - (portRef Q (instanceRef FF_74)) - (portRef (member result_i 229)) - )) - (net tsum_73 (joined - (portRef S1 (instanceRef GEN_36_ADD)) - (portRef D (instanceRef FF_73)) - )) - (net result_i_73 (joined - (portRef Q (instanceRef FF_73)) - (portRef (member result_i 230)) - )) - (net tsum_72 (joined - (portRef S0 (instanceRef GEN_36_ADD)) - (portRef D (instanceRef FF_72)) - )) - (net result_i_72 (joined - (portRef Q (instanceRef FF_72)) - (portRef (member result_i 231)) - )) - (net tsum_71 (joined - (portRef S1 (instanceRef GEN_35_ADD)) - (portRef D (instanceRef FF_71)) - )) - (net result_i_71 (joined - (portRef Q (instanceRef FF_71)) - (portRef (member result_i 232)) - )) - (net tsum_70 (joined - (portRef S0 (instanceRef GEN_35_ADD)) - (portRef D (instanceRef FF_70)) - )) - (net result_i_70 (joined - (portRef Q (instanceRef FF_70)) - (portRef (member result_i 233)) - )) - (net tsum_69 (joined - (portRef S1 (instanceRef GEN_34_ADD)) - (portRef D (instanceRef FF_69)) - )) - (net result_i_69 (joined - (portRef Q (instanceRef FF_69)) - (portRef (member result_i 234)) - )) - (net tsum_68 (joined - (portRef S0 (instanceRef GEN_34_ADD)) - (portRef D (instanceRef FF_68)) - )) - (net result_i_68 (joined - (portRef Q (instanceRef FF_68)) - (portRef (member result_i 235)) - )) - (net tsum_67 (joined - (portRef S1 (instanceRef GEN_33_ADD)) - (portRef D (instanceRef FF_67)) - )) - (net result_i_67 (joined - (portRef Q (instanceRef FF_67)) - (portRef (member result_i 236)) - )) - (net tsum_66 (joined - (portRef S0 (instanceRef GEN_33_ADD)) - (portRef D (instanceRef FF_66)) - )) - (net result_i_66 (joined - (portRef Q (instanceRef FF_66)) - (portRef (member result_i 237)) - )) - (net tsum_65 (joined - (portRef S1 (instanceRef GEN_32_ADD)) - (portRef D (instanceRef FF_65)) - )) - (net result_i_65 (joined - (portRef Q (instanceRef FF_65)) - (portRef (member result_i 238)) - )) - (net tsum_64 (joined - (portRef S0 (instanceRef GEN_32_ADD)) - (portRef D (instanceRef FF_64)) - )) - (net result_i_64 (joined - (portRef Q (instanceRef FF_64)) - (portRef (member result_i 239)) - )) - (net tsum_63 (joined - (portRef S1 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_63)) - )) - (net result_i_63 (joined - (portRef Q (instanceRef FF_63)) - (portRef (member result_i 240)) - )) - (net tsum_62 (joined - (portRef S0 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_62)) - )) - (net result_i_62 (joined - (portRef Q (instanceRef FF_62)) - (portRef (member result_i 241)) - )) - (net tsum_61 (joined - (portRef S1 (instanceRef GEN_30_ADD)) - (portRef D (instanceRef FF_61)) - )) - (net result_i_61 (joined - (portRef Q (instanceRef FF_61)) - (portRef (member result_i 242)) - )) - (net tsum_60 (joined - (portRef S0 (instanceRef GEN_30_ADD)) - (portRef D (instanceRef FF_60)) - )) - (net result_i_60 (joined - (portRef Q (instanceRef FF_60)) - (portRef (member result_i 243)) - )) - (net tsum_59 (joined - (portRef S1 (instanceRef GEN_29_ADD)) - (portRef D (instanceRef FF_59)) - )) - (net result_i_59 (joined - (portRef Q (instanceRef FF_59)) - (portRef (member result_i 244)) - )) - (net tsum_58 (joined - (portRef S0 (instanceRef GEN_29_ADD)) - (portRef D (instanceRef FF_58)) - )) - (net result_i_58 (joined - (portRef Q (instanceRef FF_58)) - (portRef (member result_i 245)) - )) - (net tsum_57 (joined - (portRef S1 (instanceRef GEN_28_ADD)) - (portRef D (instanceRef FF_57)) - )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -525635,6 +525458,213 @@ (portRef CD (instanceRef FF_56)) (portRef CD (instanceRef FF_57)) (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + )) + (net result_i_80 (joined + (portRef Q (instanceRef FF_80)) + (portRef (member result_i 223)) + )) + (net tsum_79 (joined + (portRef S1 (instanceRef GEN_39_ADD)) + (portRef D (instanceRef FF_79)) + )) + (net result_i_79 (joined + (portRef Q (instanceRef FF_79)) + (portRef (member result_i 224)) + )) + (net tsum_78 (joined + (portRef S0 (instanceRef GEN_39_ADD)) + (portRef D (instanceRef FF_78)) + )) + (net result_i_78 (joined + (portRef Q (instanceRef FF_78)) + (portRef (member result_i 225)) + )) + (net tsum_77 (joined + (portRef S1 (instanceRef GEN_38_ADD)) + (portRef D (instanceRef FF_77)) + )) + (net result_i_77 (joined + (portRef Q (instanceRef FF_77)) + (portRef (member result_i 226)) + )) + (net tsum_76 (joined + (portRef S0 (instanceRef GEN_38_ADD)) + (portRef D (instanceRef FF_76)) + )) + (net result_i_76 (joined + (portRef Q (instanceRef FF_76)) + (portRef (member result_i 227)) + )) + (net tsum_75 (joined + (portRef S1 (instanceRef GEN_37_ADD)) + (portRef D (instanceRef FF_75)) + )) + (net result_i_75 (joined + (portRef Q (instanceRef FF_75)) + (portRef (member result_i 228)) + )) + (net tsum_74 (joined + (portRef S0 (instanceRef GEN_37_ADD)) + (portRef D (instanceRef FF_74)) + )) + (net result_i_74 (joined + (portRef Q (instanceRef FF_74)) + (portRef (member result_i 229)) + )) + (net tsum_73 (joined + (portRef S1 (instanceRef GEN_36_ADD)) + (portRef D (instanceRef FF_73)) + )) + (net result_i_73 (joined + (portRef Q (instanceRef FF_73)) + (portRef (member result_i 230)) + )) + (net tsum_72 (joined + (portRef S0 (instanceRef GEN_36_ADD)) + (portRef D (instanceRef FF_72)) + )) + (net result_i_72 (joined + (portRef Q (instanceRef FF_72)) + (portRef (member result_i 231)) + )) + (net tsum_71 (joined + (portRef S1 (instanceRef GEN_35_ADD)) + (portRef D (instanceRef FF_71)) + )) + (net result_i_71 (joined + (portRef Q (instanceRef FF_71)) + (portRef (member result_i 232)) + )) + (net tsum_70 (joined + (portRef S0 (instanceRef GEN_35_ADD)) + (portRef D (instanceRef FF_70)) + )) + (net result_i_70 (joined + (portRef Q (instanceRef FF_70)) + (portRef (member result_i 233)) + )) + (net tsum_69 (joined + (portRef S1 (instanceRef GEN_34_ADD)) + (portRef D (instanceRef FF_69)) + )) + (net result_i_69 (joined + (portRef Q (instanceRef FF_69)) + (portRef (member result_i 234)) + )) + (net tsum_68 (joined + (portRef S0 (instanceRef GEN_34_ADD)) + (portRef D (instanceRef FF_68)) + )) + (net result_i_68 (joined + (portRef Q (instanceRef FF_68)) + (portRef (member result_i 235)) + )) + (net tsum_67 (joined + (portRef S1 (instanceRef GEN_33_ADD)) + (portRef D (instanceRef FF_67)) + )) + (net result_i_67 (joined + (portRef Q (instanceRef FF_67)) + (portRef (member result_i 236)) + )) + (net tsum_66 (joined + (portRef S0 (instanceRef GEN_33_ADD)) + (portRef D (instanceRef FF_66)) + )) + (net result_i_66 (joined + (portRef Q (instanceRef FF_66)) + (portRef (member result_i 237)) + )) + (net tsum_65 (joined + (portRef S1 (instanceRef GEN_32_ADD)) + (portRef D (instanceRef FF_65)) + )) + (net result_i_65 (joined + (portRef Q (instanceRef FF_65)) + (portRef (member result_i 238)) + )) + (net tsum_64 (joined + (portRef S0 (instanceRef GEN_32_ADD)) + (portRef D (instanceRef FF_64)) + )) + (net result_i_64 (joined + (portRef Q (instanceRef FF_64)) + (portRef (member result_i 239)) + )) + (net tsum_63 (joined + (portRef S1 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_63)) + )) + (net result_i_63 (joined + (portRef Q (instanceRef FF_63)) + (portRef (member result_i 240)) + )) + (net tsum_62 (joined + (portRef S0 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_62)) + )) + (net result_i_62 (joined + (portRef Q (instanceRef FF_62)) + (portRef (member result_i 241)) + )) + (net tsum_61 (joined + (portRef S1 (instanceRef GEN_30_ADD)) + (portRef D (instanceRef FF_61)) + )) + (net result_i_61 (joined + (portRef Q (instanceRef FF_61)) + (portRef (member result_i 242)) + )) + (net tsum_60 (joined + (portRef S0 (instanceRef GEN_30_ADD)) + (portRef D (instanceRef FF_60)) + )) + (net result_i_60 (joined + (portRef Q (instanceRef FF_60)) + (portRef (member result_i 243)) + )) + (net tsum_59 (joined + (portRef S1 (instanceRef GEN_29_ADD)) + (portRef D (instanceRef FF_59)) + )) + (net result_i_59 (joined + (portRef Q (instanceRef FF_59)) + (portRef (member result_i 244)) + )) + (net tsum_58 (joined + (portRef S0 (instanceRef GEN_29_ADD)) + (portRef D (instanceRef FF_58)) + )) + (net result_i_58 (joined + (portRef Q (instanceRef FF_58)) + (portRef (member result_i 245)) + )) + (net tsum_57 (joined + (portRef S1 (instanceRef GEN_28_ADD)) + (portRef D (instanceRef FF_57)) )) (net result_i_57 (joined (portRef Q (instanceRef FF_57)) @@ -527021,7 +527051,7 @@ (cell edge_to_pulse_0_2 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -527093,11 +527123,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_4_0 (joined + (net channel_debug_01_i_8_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_4 0)) + (portRef (member channel_debug_01_i_8 0)) )) (net VCC (joined (portRef VCC) @@ -527111,9 +527141,9 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(4:4)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(2:2)") 1) (direction INPUT)) - (port reset_i_16 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(8:8)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(2:2)") 1) (direction INPUT)) + (port reset_i_15 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -527600,8 +527630,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_4_2 (joined - (portRef (member channel_debug_01_i_4 0)) + (net channel_debug_01_i_8_2 (joined + (portRef (member channel_debug_01_i_8 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -527644,7 +527674,7 @@ (portRef SP (instanceRef FF_101)) (portRef CEW (instanceRef pdp_ram_0_0_0)) )) - (net rd_en_i_4 (joined + (net rd_en_i_8 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -528081,7 +528111,7 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) @@ -528372,7 +528402,7 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -528646,8 +528676,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_16 (joined - (portRef reset_i_16) + (net reset_i_15 (joined + (portRef reset_i_15) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -529450,12 +529480,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_4 "channel_debug_01_i_4(2:1)") 2) (direction INOUT)) - (port reset_tdc_88 (direction INPUT)) - (port reset_tdc_fast_36_r6 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_36_r7 (direction INPUT)) + (port (array (rename channel_debug_01_i_8 "channel_debug_01_i_8(2:1)") 2) (direction INOUT)) + (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_fast_36_r14 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_36_r15 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -529824,7 +529853,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIAV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNIIV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -529915,13 +529944,13 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -530161,10 +530190,10 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -530442,7 +530471,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -530836,7 +530865,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIAV5Q)) + (portRef A (instanceRef start_reg_RNIIV5Q)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -531048,8 +531077,8 @@ )) (net start_cnt_4_i (joined (portRef Q (instanceRef start_cnt_4_i)) - (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_4_0)) (portRef CD (instanceRef proc_finished_4)) @@ -531089,8 +531118,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_2)) + (portRef B (instanceRef proc_cnt_2_2_iv_1)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -531230,8 +531259,8 @@ )) (net proc_cnt_4_2 (joined (portRef Q (instanceRef proc_cnt_4_2)) - (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_RNO_0)) + (portRef B (instanceRef proc_cnt_4_2_iv_2)) (portRef C (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_4c)) )) @@ -531296,8 +531325,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef B (instanceRef proc_cnt_2_2_iv_2)) + (portRef C (instanceRef proc_cnt_2_RNO_0)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -532144,8 +532173,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIAV5Q (joined - (portRef Z (instanceRef start_reg_RNIAV5Q)) + (net start_reg_RNIIV5Q (joined + (portRef Z (instanceRef start_reg_RNIIV5Q)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -532190,8 +532219,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_4_1 (joined - (portRef (member channel_debug_01_i_4 1)) + (net channel_debug_01_i_8_1 (joined + (portRef (member channel_debug_01_i_8 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -532234,7 +532263,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIAV5Q)) + (portRef B (instanceRef start_reg_RNIIV5Q)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -532262,9 +532291,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_4_2 (joined + (net channel_debug_01_i_8_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_4 0)) + (portRef (member channel_debug_01_i_8 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -532958,7 +532987,7 @@ (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) - (portRef C (instanceRef start_reg_RNIAV5Q)) + (portRef C (instanceRef start_reg_RNIIV5Q)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -532972,17 +533001,17 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_261_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_256_i_m_1 (joined + (net N_256_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) - (net N_261_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) @@ -533719,13 +533748,15 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) + (net reset_tdc_fast_36_r15 (joined + (portRef reset_tdc_fast_36_r15) (portRef C (instanceRef proc_cnt_4_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) @@ -534430,14 +534461,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_36_r7 (joined - (portRef reset_tdc_fast_36_r7) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -534880,8 +534906,8 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_2)) )) - (net reset_tdc_fast_36_r6 (joined - (portRef reset_tdc_fast_36_r6) + (net reset_tdc_fast_36_r14 (joined + (portRef reset_tdc_fast_36_r14) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -535452,9 +535478,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) - (portRef reset_tdc_88 (instanceRef ROM_Encoder_1)) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef reset_tdc_76 (instanceRef ROM_Encoder_1)) )) ) ) @@ -535464,10 +535490,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) - (port reset_tdc_87 (direction INPUT)) - (port reset_tdc_86 (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_75 (direction INPUT)) + (port reset_tdc_74 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -537623,8 +537649,40 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + (portRef CD (instanceRef FF_269)) + (portRef CD (instanceRef FF_270)) + (portRef CD (instanceRef FF_271)) (portRef CD (instanceRef FF_272)) (portRef CD (instanceRef FF_273)) (portRef CD (instanceRef FF_274)) @@ -537914,108 +537972,6 @@ (portRef S0 (instanceRef GEN_135_ADD)) (portRef D (instanceRef FF_270)) )) - (net reset_tdc_86 (joined - (portRef reset_tdc_86) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - (portRef CD (instanceRef FF_269)) - (portRef CD (instanceRef FF_270)) - (portRef CD (instanceRef FF_271)) - )) (net result_i_270 (joined (portRef Q (instanceRef FF_270)) (portRef (member result_i 33)) @@ -538272,6 +538228,108 @@ (portRef S0 (instanceRef GEN_119_ADD)) (portRef D (instanceRef FF_238)) )) + (net reset_tdc_74 (joined + (portRef reset_tdc_74) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + )) (net result_i_238 (joined (portRef Q (instanceRef FF_238)) (portRef (member result_i 65)) @@ -538808,108 +538866,6 @@ (portRef S1 (instanceRef GEN_85_ADD)) (portRef D (instanceRef FF_171)) )) - (net reset_tdc_87 (joined - (portRef reset_tdc_87) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - )) (net result_i_171 (joined (portRef Q (instanceRef FF_171)) (portRef (member result_i 132)) @@ -539166,6 +539122,108 @@ (portRef S1 (instanceRef GEN_69_ADD)) (portRef D (instanceRef FF_139)) )) + (net reset_tdc_75 (joined + (portRef reset_tdc_75) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + )) (net result_i_139 (joined (portRef Q (instanceRef FF_139)) (portRef (member result_i 164)) @@ -539702,83 +539760,6 @@ (portRef S0 (instanceRef GEN_36_ADD)) (portRef D (instanceRef FF_72)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - )) (net result_i_72 (joined (portRef Q (instanceRef FF_72)) (portRef (member result_i 231)) @@ -540035,6 +540016,51 @@ (portRef S0 (instanceRef GEN_20_ADD)) (portRef D (instanceRef FF_40)) )) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + )) (net result_i_40 (joined (portRef Q (instanceRef FF_40)) (portRef (member result_i 263)) @@ -541284,7 +541310,7 @@ (cell edge_to_pulse_0_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -541356,11 +541382,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_19_0 (joined + (net channel_debug_01_i_20_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_19 0)) + (portRef (member channel_debug_01_i_20 0)) )) (net VCC (joined (portRef VCC) @@ -541374,8 +541400,9 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(19:19)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(2:2)") 1) (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(20:20)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(2:2)") 1) (direction INPUT)) + (port reset_i_9 (direction INPUT)) (port reset_i_8 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) @@ -541862,8 +541889,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_19_2 (joined - (portRef (member channel_debug_01_i_19 0)) + (net channel_debug_01_i_20_2 (joined + (portRef (member channel_debug_01_i_20 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -541910,7 +541937,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_19 (joined + (net rd_en_i_20 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -542347,7 +542374,8 @@ (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) (portRef DI25 (instanceRef pdp_ram_0_0_0)) - (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -542637,8 +542665,7 @@ (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) (portRef DI26 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) - (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) (net wptr_0 (joined @@ -542914,22 +542941,6 @@ )) (net reset_i_8 (joined (portRef reset_i_8) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) (portRef CD (instanceRef FF_37)) (portRef CD (instanceRef FF_38)) (portRef CD (instanceRef FF_39)) @@ -543202,6 +543213,25 @@ (portRef Q (instanceRef FF_36)) (portRef D (instanceRef FF_16)) )) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + )) (net w_gcount_r6 (joined (portRef Q (instanceRef FF_35)) (portRef D (instanceRef FF_15)) @@ -543711,9 +543741,9 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(2:1)") 2) (direction INOUT)) - (port reset_tdc_42 (direction INPUT)) - (port reset_tdc_fast_36_r28 (direction INPUT)) + (port (array (rename channel_debug_01_i_20 "channel_debug_01_i_20(2:1)") 2) (direction INOUT)) + (port reset_tdc_39 (direction INPUT)) + (port reset_tdc_fast_36_r29 (direction INPUT)) (port reset_tdc_rep1_36 (direction INPUT)) (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) @@ -544084,7 +544114,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNI4DGC (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI2ARF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544129,10 +544159,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544154,29 +544184,26 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_2c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) - ) (instance Interval_Number_to_Binary_mux_control_6_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) @@ -544184,6 +544211,9 @@ (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -544421,7 +544451,7 @@ (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance proc_cnt_4_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544638,10 +544668,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_1_4_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544702,7 +544732,7 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_3_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -544882,10 +544912,10 @@ (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -545096,7 +545126,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNI4DGC)) + (portRef A (instanceRef start_reg_RNI2ARF)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -545337,8 +545367,8 @@ )) (net start_cnt_3_i (joined (portRef Q (instanceRef start_cnt_3_i)) - (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef C (instanceRef proc_cnt_3_2_iv_2)) + (portRef B (instanceRef proc_cnt_3_2_iv_1)) (portRef D (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_3_0)) (portRef CD (instanceRef proc_finished_3)) @@ -545349,8 +545379,8 @@ )) (net start_cnt_2_i (joined (portRef Q (instanceRef start_cnt_2_i)) - (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef D (instanceRef proc_cnt_2_0)) (portRef CD (instanceRef proc_finished_2)) @@ -545523,8 +545553,8 @@ )) (net proc_cnt_3_2 (joined (portRef Q (instanceRef proc_cnt_3_2)) - (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef B (instanceRef proc_cnt_3_2_iv_2)) + (portRef C (instanceRef proc_cnt_3_RNO_0)) (portRef C (instanceRef proc_cnt_3_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_3c)) )) @@ -545556,8 +545586,8 @@ )) (net proc_cnt_2_2 (joined (portRef Q (instanceRef proc_cnt_2_2)) - (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_RNO_0)) + (portRef B (instanceRef proc_cnt_2_2_iv_2)) (portRef C (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef C (instanceRef proc_finished_2c)) )) @@ -546404,8 +546434,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNI4DGC (joined - (portRef Z (instanceRef start_reg_RNI4DGC)) + (net start_reg_RNI2ARF (joined + (portRef Z (instanceRef start_reg_RNI2ARF)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -546450,8 +546480,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_19_1 (joined - (portRef (member channel_debug_01_i_19 1)) + (net channel_debug_01_i_20_1 (joined + (portRef (member channel_debug_01_i_20 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -546494,7 +546524,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNI4DGC)) + (portRef B (instanceRef start_reg_RNI2ARF)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -546511,8 +546541,8 @@ (net un1_conv_finished_i (joined (portRef Z (instanceRef Binary_Code_Calculation_un1_conv_finished_i)) (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_4)) @@ -546522,9 +546552,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_19_2 (joined + (net channel_debug_01_i_20_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_19 0)) + (portRef (member channel_debug_01_i_20 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -546680,8 +546710,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1_2 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_2)) @@ -546935,8 +546965,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -547087,7 +547117,7 @@ )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) - (portRef C (instanceRef start_reg_RNI4DGC)) + (portRef C (instanceRef start_reg_RNI2ARF)) )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) @@ -547114,8 +547144,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -547186,14 +547216,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_28_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -547219,16 +547249,12 @@ (net reset_tdc_rep1_35 (joined (portRef reset_tdc_rep1_35) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_1_4_iv_2)) - (portRef D (instanceRef proc_finished_2c)) - (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) - )) - (net mux_control_6_1_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + (portRef D (instanceRef proc_finished_2c)) + (portRef D (instanceRef proc_finished_3c)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -547238,9 +547264,9 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) + (net mux_control_6_1_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) (net N_251_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) @@ -547250,6 +547276,10 @@ (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) + )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) @@ -548680,17 +548710,17 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net reset_tdc_rep1_36 (joined (portRef reset_tdc_rep1_36) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -549137,11 +549167,11 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r28 (joined - (portRef reset_tdc_fast_36_r28) + (net reset_tdc_fast_36_r29 (joined + (portRef reset_tdc_fast_36_r29) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) + (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_4)) @@ -549170,14 +549200,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) )) - (net un3_binary_code_out_8 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_8)) - )) (net un3_binary_code_out_7 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_7)) )) + (net un3_binary_code_out_8 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_8)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -549709,9 +549739,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) - (portRef reset_tdc_42 (instanceRef ROM_Encoder_1)) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) + (portRef reset_tdc_39 (instanceRef ROM_Encoder_1)) )) ) ) @@ -549721,10 +549751,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) - (port reset_tdc_41 (direction INPUT)) - (port reset_tdc_40 (direction INPUT)) (port reset_tdc_39 (direction INPUT)) + (port reset_tdc_38 (direction INPUT)) + (port reset_tdc_37 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -551880,8 +551910,13 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) (portRef CD (instanceRef FF_269)) (portRef CD (instanceRef FF_270)) (portRef CD (instanceRef FF_271)) @@ -552198,8 +552233,53 @@ (portRef S1 (instanceRef GEN_133_ADD)) (portRef D (instanceRef FF_267)) )) - (net reset_tdc_40 (joined - (portRef reset_tdc_40) + (net result_i_267 (joined + (portRef Q (instanceRef FF_267)) + (portRef (member result_i 36)) + )) + (net tsum_266 (joined + (portRef S0 (instanceRef GEN_133_ADD)) + (portRef D (instanceRef FF_266)) + )) + (net result_i_266 (joined + (portRef Q (instanceRef FF_266)) + (portRef (member result_i 37)) + )) + (net tsum_265 (joined + (portRef S1 (instanceRef GEN_132_ADD)) + (portRef D (instanceRef FF_265)) + )) + (net result_i_265 (joined + (portRef Q (instanceRef FF_265)) + (portRef (member result_i 38)) + )) + (net tsum_264 (joined + (portRef S0 (instanceRef GEN_132_ADD)) + (portRef D (instanceRef FF_264)) + )) + (net result_i_264 (joined + (portRef Q (instanceRef FF_264)) + (portRef (member result_i 39)) + )) + (net tsum_263 (joined + (portRef S1 (instanceRef GEN_131_ADD)) + (portRef D (instanceRef FF_263)) + )) + (net result_i_263 (joined + (portRef Q (instanceRef FF_263)) + (portRef (member result_i 40)) + )) + (net tsum_262 (joined + (portRef S0 (instanceRef GEN_131_ADD)) + (portRef D (instanceRef FF_262)) + )) + (net reset_tdc_37 (joined + (portRef reset_tdc_37) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) (portRef CD (instanceRef FF_169)) (portRef CD (instanceRef FF_170)) (portRef CD (instanceRef FF_171)) @@ -552295,51 +552375,6 @@ (portRef CD (instanceRef FF_261)) (portRef CD (instanceRef FF_262)) (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) - )) - (net result_i_267 (joined - (portRef Q (instanceRef FF_267)) - (portRef (member result_i 36)) - )) - (net tsum_266 (joined - (portRef S0 (instanceRef GEN_133_ADD)) - (portRef D (instanceRef FF_266)) - )) - (net result_i_266 (joined - (portRef Q (instanceRef FF_266)) - (portRef (member result_i 37)) - )) - (net tsum_265 (joined - (portRef S1 (instanceRef GEN_132_ADD)) - (portRef D (instanceRef FF_265)) - )) - (net result_i_265 (joined - (portRef Q (instanceRef FF_265)) - (portRef (member result_i 38)) - )) - (net tsum_264 (joined - (portRef S0 (instanceRef GEN_132_ADD)) - (portRef D (instanceRef FF_264)) - )) - (net result_i_264 (joined - (portRef Q (instanceRef FF_264)) - (portRef (member result_i 39)) - )) - (net tsum_263 (joined - (portRef S1 (instanceRef GEN_131_ADD)) - (portRef D (instanceRef FF_263)) - )) - (net result_i_263 (joined - (portRef Q (instanceRef FF_263)) - (portRef (member result_i 40)) - )) - (net tsum_262 (joined - (portRef S0 (instanceRef GEN_131_ADD)) - (portRef D (instanceRef FF_262)) )) (net result_i_262 (joined (portRef Q (instanceRef FF_262)) @@ -553101,8 +553136,53 @@ (portRef S1 (instanceRef GEN_83_ADD)) (portRef D (instanceRef FF_167)) )) - (net reset_tdc_41 (joined - (portRef reset_tdc_41) + (net result_i_167 (joined + (portRef Q (instanceRef FF_167)) + (portRef (member result_i 136)) + )) + (net tsum_166 (joined + (portRef S0 (instanceRef GEN_83_ADD)) + (portRef D (instanceRef FF_166)) + )) + (net result_i_166 (joined + (portRef Q (instanceRef FF_166)) + (portRef (member result_i 137)) + )) + (net tsum_165 (joined + (portRef S1 (instanceRef GEN_82_ADD)) + (portRef D (instanceRef FF_165)) + )) + (net result_i_165 (joined + (portRef Q (instanceRef FF_165)) + (portRef (member result_i 138)) + )) + (net tsum_164 (joined + (portRef S0 (instanceRef GEN_82_ADD)) + (portRef D (instanceRef FF_164)) + )) + (net result_i_164 (joined + (portRef Q (instanceRef FF_164)) + (portRef (member result_i 139)) + )) + (net tsum_163 (joined + (portRef S1 (instanceRef GEN_81_ADD)) + (portRef D (instanceRef FF_163)) + )) + (net result_i_163 (joined + (portRef Q (instanceRef FF_163)) + (portRef (member result_i 140)) + )) + (net tsum_162 (joined + (portRef S0 (instanceRef GEN_81_ADD)) + (portRef D (instanceRef FF_162)) + )) + (net reset_tdc_38 (joined + (portRef reset_tdc_38) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) (portRef CD (instanceRef FF_69)) (portRef CD (instanceRef FF_70)) (portRef CD (instanceRef FF_71)) @@ -553198,51 +553278,6 @@ (portRef CD (instanceRef FF_161)) (portRef CD (instanceRef FF_162)) (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - )) - (net result_i_167 (joined - (portRef Q (instanceRef FF_167)) - (portRef (member result_i 136)) - )) - (net tsum_166 (joined - (portRef S0 (instanceRef GEN_83_ADD)) - (portRef D (instanceRef FF_166)) - )) - (net result_i_166 (joined - (portRef Q (instanceRef FF_166)) - (portRef (member result_i 137)) - )) - (net tsum_165 (joined - (portRef S1 (instanceRef GEN_82_ADD)) - (portRef D (instanceRef FF_165)) - )) - (net result_i_165 (joined - (portRef Q (instanceRef FF_165)) - (portRef (member result_i 138)) - )) - (net tsum_164 (joined - (portRef S0 (instanceRef GEN_82_ADD)) - (portRef D (instanceRef FF_164)) - )) - (net result_i_164 (joined - (portRef Q (instanceRef FF_164)) - (portRef (member result_i 139)) - )) - (net tsum_163 (joined - (portRef S1 (instanceRef GEN_81_ADD)) - (portRef D (instanceRef FF_163)) - )) - (net result_i_163 (joined - (portRef Q (instanceRef FF_163)) - (portRef (member result_i 140)) - )) - (net tsum_162 (joined - (portRef S0 (instanceRef GEN_81_ADD)) - (portRef D (instanceRef FF_162)) )) (net result_i_162 (joined (portRef Q (instanceRef FF_162)) @@ -554004,8 +554039,48 @@ (portRef S1 (instanceRef GEN_33_ADD)) (portRef D (instanceRef FF_67)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) + (net result_i_67 (joined + (portRef Q (instanceRef FF_67)) + (portRef (member result_i 236)) + )) + (net tsum_66 (joined + (portRef S0 (instanceRef GEN_33_ADD)) + (portRef D (instanceRef FF_66)) + )) + (net result_i_66 (joined + (portRef Q (instanceRef FF_66)) + (portRef (member result_i 237)) + )) + (net tsum_65 (joined + (portRef S1 (instanceRef GEN_32_ADD)) + (portRef D (instanceRef FF_65)) + )) + (net result_i_65 (joined + (portRef Q (instanceRef FF_65)) + (portRef (member result_i 238)) + )) + (net tsum_64 (joined + (portRef S0 (instanceRef GEN_32_ADD)) + (portRef D (instanceRef FF_64)) + )) + (net result_i_64 (joined + (portRef Q (instanceRef FF_64)) + (portRef (member result_i 239)) + )) + (net tsum_63 (joined + (portRef S1 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_63)) + )) + (net result_i_63 (joined + (portRef Q (instanceRef FF_63)) + (portRef (member result_i 240)) + )) + (net tsum_62 (joined + (portRef S0 (instanceRef GEN_31_ADD)) + (portRef D (instanceRef FF_62)) + )) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_1)) (portRef CD (instanceRef FF_2)) @@ -554070,51 +554145,6 @@ (portRef CD (instanceRef FF_61)) (portRef CD (instanceRef FF_62)) (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - )) - (net result_i_67 (joined - (portRef Q (instanceRef FF_67)) - (portRef (member result_i 236)) - )) - (net tsum_66 (joined - (portRef S0 (instanceRef GEN_33_ADD)) - (portRef D (instanceRef FF_66)) - )) - (net result_i_66 (joined - (portRef Q (instanceRef FF_66)) - (portRef (member result_i 237)) - )) - (net tsum_65 (joined - (portRef S1 (instanceRef GEN_32_ADD)) - (portRef D (instanceRef FF_65)) - )) - (net result_i_65 (joined - (portRef Q (instanceRef FF_65)) - (portRef (member result_i 238)) - )) - (net tsum_64 (joined - (portRef S0 (instanceRef GEN_32_ADD)) - (portRef D (instanceRef FF_64)) - )) - (net result_i_64 (joined - (portRef Q (instanceRef FF_64)) - (portRef (member result_i 239)) - )) - (net tsum_63 (joined - (portRef S1 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_63)) - )) - (net result_i_63 (joined - (portRef Q (instanceRef FF_63)) - (portRef (member result_i 240)) - )) - (net tsum_62 (joined - (portRef S0 (instanceRef GEN_31_ADD)) - (portRef D (instanceRef FF_62)) )) (net result_i_62 (joined (portRef Q (instanceRef FF_62)) @@ -555541,7 +555571,7 @@ (cell edge_to_pulse_0 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(0:0)") 1) (direction OUTPUT)) + (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(0:0)") 1) (direction OUTPUT)) (port VCC (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port GND (direction INPUT)) @@ -555613,11 +555643,11 @@ (portRef CK (instanceRef current_state_0)) (portRef CK (instanceRef signal_sync)) )) - (net channel_debug_01_i_9_0 (joined + (net channel_debug_01_i_19_0 (joined (portRef Q (instanceRef current_state_0)) (portRef D (instanceRef current_state_1)) (portRef CD (instanceRef current_state_0)) - (portRef (member channel_debug_01_i_9 0)) + (portRef (member channel_debug_01_i_19 0)) )) (net VCC (joined (portRef VCC) @@ -555631,10 +555661,9 @@ (port (array (rename fifo_data_out_i "fifo_data_out_i(31:0)") 32) (direction OUTPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction INPUT)) (port (array (rename hit_time_stamp_i "hit_time_stamp_i(10:0)") 11) (direction INPUT)) - (port (array (rename rd_en_i "rd_en_i(9:9)") 1) (direction INPUT)) - (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(2:2)") 1) (direction INPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_i_13 (direction INPUT)) + (port (array (rename rd_en_i "rd_en_i(19:19)") 1) (direction INPUT)) + (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(2:2)") 1) (direction INPUT)) + (port reset_i_9 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -556120,8 +556149,8 @@ (instance empty_cmp_2 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_1 (viewRef syn_black_box (cellRef AGEB2)) ) (instance empty_cmp_0 (viewRef syn_black_box (cellRef AGEB2)) ) - (net channel_debug_01_i_9_2 (joined - (portRef (member channel_debug_01_i_9 0)) + (net channel_debug_01_i_19_2 (joined + (portRef (member channel_debug_01_i_19 0)) (portRef A (instanceRef AND2_t20)) )) (net invout_1 (joined @@ -556168,7 +556197,7 @@ (portRef Q (instanceRef FF_0)) (portRef A (instanceRef INV_1)) )) - (net rd_en_i_9 (joined + (net rd_en_i_19 (joined (portRef (member rd_en_i 0)) (portRef A (instanceRef AND2_t19)) )) @@ -556604,9 +556633,8 @@ (portRef DI29 (instanceRef pdp_ram_0_0_0)) (portRef DI28 (instanceRef pdp_ram_0_0_0)) (portRef DI27 (instanceRef pdp_ram_0_0_0)) - (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) (portRef DI24 (instanceRef pdp_ram_0_0_0)) - (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef AD0 (instanceRef LUT4_0)) (portRef AD0 (instanceRef LUT4_1)) (portRef AD0 (instanceRef LUT4_2)) @@ -556895,7 +556923,8 @@ (portRef BE1 (instanceRef pdp_ram_0_0_0)) (portRef BE0 (instanceRef pdp_ram_0_0_0)) (portRef DI31 (instanceRef pdp_ram_0_0_0)) - (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) (portRef DI22 (instanceRef pdp_ram_0_0_0)) (portRef DI11 (instanceRef pdp_ram_0_0_0)) )) @@ -557170,8 +557199,20 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_13 (joined - (portRef reset_i_13) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_32)) (portRef CD (instanceRef FF_33)) (portRef CD (instanceRef FF_34)) (portRef CD (instanceRef FF_35)) @@ -557504,21 +557545,6 @@ (portRef Q (instanceRef FF_22)) (portRef D (instanceRef FF_2)) )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_32)) - )) (net empty_d (joined (portRef S0 (instanceRef a0)) (portRef D (instanceRef FF_1)) @@ -557972,13 +557998,11 @@ (port result_reg_279 (direction INPUT)) (port result_reg_23 (direction INPUT)) (port (array (rename fine_counter_i "fine_counter_i(9:0)") 10) (direction OUTPUT)) - (port (array (rename channel_debug_01_i_9 "channel_debug_01_i_9(2:1)") 2) (direction INOUT)) - (port reset_tdc_73 (direction INPUT)) - (port reset_tdc_fast_36_r15 (direction INPUT)) - (port reset_tdc_fast_37_r10 (direction INPUT)) - (port reset_tdc_fast_37_r9 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_36_r16 (direction INPUT)) + (port (array (rename channel_debug_01_i_19 "channel_debug_01_i_19(2:1)") 2) (direction INOUT)) + (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_fast_36_r28 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) @@ -558347,7 +558371,7 @@ (instance Interval_Number_to_Binary_mux_control_6_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance start_reg_RNIKV5Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance start_reg_RNI4DGC (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B !A))")) ) (instance FSM_CLK_start_cnt_4_i_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -558438,18 +558462,18 @@ (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) - (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) + (instance proc_cnt_3_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -559359,7 +559383,7 @@ )) (net start_reg (joined (portRef Q (instanceRef start_reg)) - (portRef A (instanceRef start_reg_RNIKV5Q)) + (portRef A (instanceRef start_reg_RNI4DGC)) (portRef D (instanceRef start_2reg)) )) (net start_cnt_4_i_2 (joined @@ -560667,8 +560691,8 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_0)) )) - (net start_reg_RNIKV5Q (joined - (portRef Z (instanceRef start_reg_RNIKV5Q)) + (net start_reg_RNI4DGC (joined + (portRef Z (instanceRef start_reg_RNI4DGC)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_5)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_4)) (portRef CD (instanceRef Interval_Number_to_Binary_mux_control_3)) @@ -560713,8 +560737,8 @@ (portRef Z (instanceRef FSM_CURRENT_ns_0_x2_1)) (portRef D (instanceRef FSM_CURRENT_1)) )) - (net channel_debug_01_i_9_1 (joined - (portRef (member channel_debug_01_i_9 1)) + (net channel_debug_01_i_19_1 (joined + (portRef (member channel_debug_01_i_19 1)) (portRef D (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Determination_4_U)) (portRef D (instanceRef Interval_Determination_10_U)) @@ -560757,7 +560781,7 @@ (portRef C (instanceRef FSM_CLK_start_cnt_2_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_3_i_2_0_a2)) (portRef C (instanceRef FSM_CLK_start_cnt_4_i_2_0_a2)) - (portRef B (instanceRef start_reg_RNIKV5Q)) + (portRef B (instanceRef start_reg_RNI4DGC)) (portRef B (instanceRef FSM_CURRENTse)) (portRef B (instanceRef P_one_assign_P_one_41_37)) (portRef SP (instanceRef FSM_CURRENT_1)) @@ -560785,9 +560809,9 @@ (portRef D (instanceRef BINARY_CODE_OUTd_0)) (portRef D (instanceRef FINISHED_OUT)) )) - (net channel_debug_01_i_9_2 (joined + (net channel_debug_01_i_19_2 (joined (portRef Q (instanceRef FINISHED_OUT)) - (portRef (member channel_debug_01_i_9 0)) + (portRef (member channel_debug_01_i_19 0)) )) (net BINARY_CODE_OUTd_0_0 (joined (portRef Z (instanceRef BINARY_CODE_OUTd_0)) @@ -561348,6 +561372,10 @@ (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_1_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) )) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef C (instanceRef start_reg_RNI4DGC)) + )) (net P_lut_0 (joined (portRef Z (instanceRef Interval_Determination_First)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_0)) @@ -561475,13 +561503,16 @@ (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef C (instanceRef proc_cnt_1_4_iv_1)) + (portRef D (instanceRef proc_cnt_3_2_iv_2)) + (portRef D (instanceRef proc_cnt_2_2_iv_2)) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) (portRef D (instanceRef proc_finished_4c)) - (portRef C (instanceRef start_reg_RNIKV5Q)) )) (net mux_control_6_0_0_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_4)) @@ -561495,22 +561526,22 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net N_256_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_2_2_iv_1)) - )) (net N_261_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef A (instanceRef proc_cnt_1_4_iv_1)) )) - (net N_251_i_m_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) - (portRef A (instanceRef proc_cnt_3_2_iv_1)) + (net N_256_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_2_2_iv_1)) )) (net N_246_i_m_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef A (instanceRef proc_cnt_4_2_iv_1)) )) + (net N_251_i_m_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_3_2_iv_RNO_1)) + (portRef A (instanceRef proc_cnt_3_2_iv_1)) + )) (net interval_reg_41_14_am_29_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -562242,14 +562273,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_60_8)) (portRef B (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef C (instanceRef proc_cnt_4_2_iv_1)) - (portRef C (instanceRef proc_cnt_3_2_iv_1)) - (portRef C (instanceRef proc_cnt_2_2_iv_1)) - (portRef D (instanceRef proc_cnt_3_2_iv_2)) - (portRef D (instanceRef proc_cnt_2_2_iv_2)) - )) (net mux_control_6_14_0 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_14_0)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_0)) @@ -562953,16 +562976,16 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) )) - (net reset_tdc_fast_36_r16 (joined - (portRef reset_tdc_fast_36_r16) - (portRef C (instanceRef proc_cnt_1_4_iv_1)) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) - )) - (net reset_tdc_fast_37_r9 (joined - (portRef reset_tdc_fast_37_r9) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef D (instanceRef proc_cnt_4_2_iv_2)) + (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) (portRef D (instanceRef proc_cnt_1_RNO_0)) + (portRef C (instanceRef proc_cnt_4_2_iv_1)) + (portRef C (instanceRef proc_cnt_3_2_iv_1)) + (portRef C (instanceRef proc_cnt_2_2_iv_1)) )) (net N_423 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_15_1)) @@ -563076,11 +563099,6 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_61_7)) (portRef D1 (instanceRef Interval_Selection_interval_reg_41_62_7)) )) - (net reset_tdc_fast_37_r10 (joined - (portRef reset_tdc_fast_37_r10) - (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_cnt_4_RNO_0)) - )) (net mux_control_6_0_10_4 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_10_3)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) @@ -563406,8 +563424,8 @@ (portRef S0 (instanceRef un3_binary_code_out_cry_1_0)) (portRef A (instanceRef BINARY_CODE_OUTd_1)) )) - (net reset_tdc_fast_36_r15 (joined - (portRef reset_tdc_fast_36_r15) + (net reset_tdc_fast_36_r28 (joined + (portRef reset_tdc_fast_36_r28) (portRef B (instanceRef BINARY_CODE_OUTd_9)) (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) @@ -563978,9 +563996,9 @@ (portRef C (instanceRef Interval_Determination_37_U)) (portRef D (instanceRef Interval_Selection_interval_reg_41_22_am_1)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef reset_tdc_73 (instanceRef ROM_Encoder_1)) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef reset_tdc_42 (instanceRef ROM_Encoder_1)) )) ) ) @@ -563990,10 +564008,10 @@ (interface (port (array (rename result_i "result_i(303:0)") 304) (direction OUTPUT)) (port GND (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) - (port reset_tdc_72 (direction INPUT)) - (port reset_tdc_71 (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_41 (direction INPUT)) + (port reset_tdc_40 (direction INPUT)) + (port reset_tdc_39 (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) (port hit_buf (direction INPUT)) @@ -566149,45 +566167,8 @@ (portRef CK (instanceRef FF_302)) (portRef CK (instanceRef FF_303)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) - (portRef CD (instanceRef FF_232)) - (portRef CD (instanceRef FF_233)) - (portRef CD (instanceRef FF_234)) - (portRef CD (instanceRef FF_235)) - (portRef CD (instanceRef FF_236)) - (portRef CD (instanceRef FF_237)) - (portRef CD (instanceRef FF_238)) - (portRef CD (instanceRef FF_239)) - (portRef CD (instanceRef FF_240)) - (portRef CD (instanceRef FF_241)) - (portRef CD (instanceRef FF_242)) - (portRef CD (instanceRef FF_243)) - (portRef CD (instanceRef FF_244)) - (portRef CD (instanceRef FF_245)) - (portRef CD (instanceRef FF_246)) - (portRef CD (instanceRef FF_247)) - (portRef CD (instanceRef FF_248)) - (portRef CD (instanceRef FF_249)) - (portRef CD (instanceRef FF_250)) - (portRef CD (instanceRef FF_251)) - (portRef CD (instanceRef FF_252)) - (portRef CD (instanceRef FF_253)) - (portRef CD (instanceRef FF_254)) - (portRef CD (instanceRef FF_255)) - (portRef CD (instanceRef FF_256)) - (portRef CD (instanceRef FF_257)) - (portRef CD (instanceRef FF_258)) - (portRef CD (instanceRef FF_259)) - (portRef CD (instanceRef FF_260)) - (portRef CD (instanceRef FF_261)) - (portRef CD (instanceRef FF_262)) - (portRef CD (instanceRef FF_263)) - (portRef CD (instanceRef FF_264)) - (portRef CD (instanceRef FF_265)) - (portRef CD (instanceRef FF_266)) - (portRef CD (instanceRef FF_267)) - (portRef CD (instanceRef FF_268)) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) (portRef CD (instanceRef FF_269)) (portRef CD (instanceRef FF_270)) (portRef CD (instanceRef FF_271)) @@ -566504,6 +566485,109 @@ (portRef S1 (instanceRef GEN_133_ADD)) (portRef D (instanceRef FF_267)) )) + (net reset_tdc_40 (joined + (portRef reset_tdc_40) + (portRef CD (instanceRef FF_169)) + (portRef CD (instanceRef FF_170)) + (portRef CD (instanceRef FF_171)) + (portRef CD (instanceRef FF_172)) + (portRef CD (instanceRef FF_173)) + (portRef CD (instanceRef FF_174)) + (portRef CD (instanceRef FF_175)) + (portRef CD (instanceRef FF_176)) + (portRef CD (instanceRef FF_177)) + (portRef CD (instanceRef FF_178)) + (portRef CD (instanceRef FF_179)) + (portRef CD (instanceRef FF_180)) + (portRef CD (instanceRef FF_181)) + (portRef CD (instanceRef FF_182)) + (portRef CD (instanceRef FF_183)) + (portRef CD (instanceRef FF_184)) + (portRef CD (instanceRef FF_185)) + (portRef CD (instanceRef FF_186)) + (portRef CD (instanceRef FF_187)) + (portRef CD (instanceRef FF_188)) + (portRef CD (instanceRef FF_189)) + (portRef CD (instanceRef FF_190)) + (portRef CD (instanceRef FF_191)) + (portRef CD (instanceRef FF_192)) + (portRef CD (instanceRef FF_193)) + (portRef CD (instanceRef FF_194)) + (portRef CD (instanceRef FF_195)) + (portRef CD (instanceRef FF_196)) + (portRef CD (instanceRef FF_197)) + (portRef CD (instanceRef FF_198)) + (portRef CD (instanceRef FF_199)) + (portRef CD (instanceRef FF_200)) + (portRef CD (instanceRef FF_201)) + (portRef CD (instanceRef FF_202)) + (portRef CD (instanceRef FF_203)) + (portRef CD (instanceRef FF_204)) + (portRef CD (instanceRef FF_205)) + (portRef CD (instanceRef FF_206)) + (portRef CD (instanceRef FF_207)) + (portRef CD (instanceRef FF_208)) + (portRef CD (instanceRef FF_209)) + (portRef CD (instanceRef FF_210)) + (portRef CD (instanceRef FF_211)) + (portRef CD (instanceRef FF_212)) + (portRef CD (instanceRef FF_213)) + (portRef CD (instanceRef FF_214)) + (portRef CD (instanceRef FF_215)) + (portRef CD (instanceRef FF_216)) + (portRef CD (instanceRef FF_217)) + (portRef CD (instanceRef FF_218)) + (portRef CD (instanceRef FF_219)) + (portRef CD (instanceRef FF_220)) + (portRef CD (instanceRef FF_221)) + (portRef CD (instanceRef FF_222)) + (portRef CD (instanceRef FF_223)) + (portRef CD (instanceRef FF_224)) + (portRef CD (instanceRef FF_225)) + (portRef CD (instanceRef FF_226)) + (portRef CD (instanceRef FF_227)) + (portRef CD (instanceRef FF_228)) + (portRef CD (instanceRef FF_229)) + (portRef CD (instanceRef FF_230)) + (portRef CD (instanceRef FF_231)) + (portRef CD (instanceRef FF_232)) + (portRef CD (instanceRef FF_233)) + (portRef CD (instanceRef FF_234)) + (portRef CD (instanceRef FF_235)) + (portRef CD (instanceRef FF_236)) + (portRef CD (instanceRef FF_237)) + (portRef CD (instanceRef FF_238)) + (portRef CD (instanceRef FF_239)) + (portRef CD (instanceRef FF_240)) + (portRef CD (instanceRef FF_241)) + (portRef CD (instanceRef FF_242)) + (portRef CD (instanceRef FF_243)) + (portRef CD (instanceRef FF_244)) + (portRef CD (instanceRef FF_245)) + (portRef CD (instanceRef FF_246)) + (portRef CD (instanceRef FF_247)) + (portRef CD (instanceRef FF_248)) + (portRef CD (instanceRef FF_249)) + (portRef CD (instanceRef FF_250)) + (portRef CD (instanceRef FF_251)) + (portRef CD (instanceRef FF_252)) + (portRef CD (instanceRef FF_253)) + (portRef CD (instanceRef FF_254)) + (portRef CD (instanceRef FF_255)) + (portRef CD (instanceRef FF_256)) + (portRef CD (instanceRef FF_257)) + (portRef CD (instanceRef FF_258)) + (portRef CD (instanceRef FF_259)) + (portRef CD (instanceRef FF_260)) + (portRef CD (instanceRef FF_261)) + (portRef CD (instanceRef FF_262)) + (portRef CD (instanceRef FF_263)) + (portRef CD (instanceRef FF_264)) + (portRef CD (instanceRef FF_265)) + (portRef CD (instanceRef FF_266)) + (portRef CD (instanceRef FF_267)) + (portRef CD (instanceRef FF_268)) + )) (net result_i_267 (joined (portRef Q (instanceRef FF_267)) (portRef (member result_i 36)) @@ -566800,108 +566884,6 @@ (portRef S0 (instanceRef GEN_115_ADD)) (portRef D (instanceRef FF_230)) )) - (net reset_tdc_71 (joined - (portRef reset_tdc_71) - (portRef CD (instanceRef FF_133)) - (portRef CD (instanceRef FF_134)) - (portRef CD (instanceRef FF_135)) - (portRef CD (instanceRef FF_136)) - (portRef CD (instanceRef FF_137)) - (portRef CD (instanceRef FF_138)) - (portRef CD (instanceRef FF_139)) - (portRef CD (instanceRef FF_140)) - (portRef CD (instanceRef FF_141)) - (portRef CD (instanceRef FF_142)) - (portRef CD (instanceRef FF_143)) - (portRef CD (instanceRef FF_144)) - (portRef CD (instanceRef FF_145)) - (portRef CD (instanceRef FF_146)) - (portRef CD (instanceRef FF_147)) - (portRef CD (instanceRef FF_148)) - (portRef CD (instanceRef FF_149)) - (portRef CD (instanceRef FF_150)) - (portRef CD (instanceRef FF_151)) - (portRef CD (instanceRef FF_152)) - (portRef CD (instanceRef FF_153)) - (portRef CD (instanceRef FF_154)) - (portRef CD (instanceRef FF_155)) - (portRef CD (instanceRef FF_156)) - (portRef CD (instanceRef FF_157)) - (portRef CD (instanceRef FF_158)) - (portRef CD (instanceRef FF_159)) - (portRef CD (instanceRef FF_160)) - (portRef CD (instanceRef FF_161)) - (portRef CD (instanceRef FF_162)) - (portRef CD (instanceRef FF_163)) - (portRef CD (instanceRef FF_164)) - (portRef CD (instanceRef FF_165)) - (portRef CD (instanceRef FF_166)) - (portRef CD (instanceRef FF_167)) - (portRef CD (instanceRef FF_168)) - (portRef CD (instanceRef FF_169)) - (portRef CD (instanceRef FF_170)) - (portRef CD (instanceRef FF_171)) - (portRef CD (instanceRef FF_172)) - (portRef CD (instanceRef FF_173)) - (portRef CD (instanceRef FF_174)) - (portRef CD (instanceRef FF_175)) - (portRef CD (instanceRef FF_176)) - (portRef CD (instanceRef FF_177)) - (portRef CD (instanceRef FF_178)) - (portRef CD (instanceRef FF_179)) - (portRef CD (instanceRef FF_180)) - (portRef CD (instanceRef FF_181)) - (portRef CD (instanceRef FF_182)) - (portRef CD (instanceRef FF_183)) - (portRef CD (instanceRef FF_184)) - (portRef CD (instanceRef FF_185)) - (portRef CD (instanceRef FF_186)) - (portRef CD (instanceRef FF_187)) - (portRef CD (instanceRef FF_188)) - (portRef CD (instanceRef FF_189)) - (portRef CD (instanceRef FF_190)) - (portRef CD (instanceRef FF_191)) - (portRef CD (instanceRef FF_192)) - (portRef CD (instanceRef FF_193)) - (portRef CD (instanceRef FF_194)) - (portRef CD (instanceRef FF_195)) - (portRef CD (instanceRef FF_196)) - (portRef CD (instanceRef FF_197)) - (portRef CD (instanceRef FF_198)) - (portRef CD (instanceRef FF_199)) - (portRef CD (instanceRef FF_200)) - (portRef CD (instanceRef FF_201)) - (portRef CD (instanceRef FF_202)) - (portRef CD (instanceRef FF_203)) - (portRef CD (instanceRef FF_204)) - (portRef CD (instanceRef FF_205)) - (portRef CD (instanceRef FF_206)) - (portRef CD (instanceRef FF_207)) - (portRef CD (instanceRef FF_208)) - (portRef CD (instanceRef FF_209)) - (portRef CD (instanceRef FF_210)) - (portRef CD (instanceRef FF_211)) - (portRef CD (instanceRef FF_212)) - (portRef CD (instanceRef FF_213)) - (portRef CD (instanceRef FF_214)) - (portRef CD (instanceRef FF_215)) - (portRef CD (instanceRef FF_216)) - (portRef CD (instanceRef FF_217)) - (portRef CD (instanceRef FF_218)) - (portRef CD (instanceRef FF_219)) - (portRef CD (instanceRef FF_220)) - (portRef CD (instanceRef FF_221)) - (portRef CD (instanceRef FF_222)) - (portRef CD (instanceRef FF_223)) - (portRef CD (instanceRef FF_224)) - (portRef CD (instanceRef FF_225)) - (portRef CD (instanceRef FF_226)) - (portRef CD (instanceRef FF_227)) - (portRef CD (instanceRef FF_228)) - (portRef CD (instanceRef FF_229)) - (portRef CD (instanceRef FF_230)) - (portRef CD (instanceRef FF_231)) - )) (net result_i_230 (joined (portRef Q (instanceRef FF_230)) (portRef (member result_i 73)) @@ -567406,6 +567388,109 @@ (portRef S1 (instanceRef GEN_83_ADD)) (portRef D (instanceRef FF_167)) )) + (net reset_tdc_41 (joined + (portRef reset_tdc_41) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_107)) + (portRef CD (instanceRef FF_108)) + (portRef CD (instanceRef FF_109)) + (portRef CD (instanceRef FF_110)) + (portRef CD (instanceRef FF_111)) + (portRef CD (instanceRef FF_112)) + (portRef CD (instanceRef FF_113)) + (portRef CD (instanceRef FF_114)) + (portRef CD (instanceRef FF_115)) + (portRef CD (instanceRef FF_116)) + (portRef CD (instanceRef FF_117)) + (portRef CD (instanceRef FF_118)) + (portRef CD (instanceRef FF_119)) + (portRef CD (instanceRef FF_120)) + (portRef CD (instanceRef FF_121)) + (portRef CD (instanceRef FF_122)) + (portRef CD (instanceRef FF_123)) + (portRef CD (instanceRef FF_124)) + (portRef CD (instanceRef FF_125)) + (portRef CD (instanceRef FF_126)) + (portRef CD (instanceRef FF_127)) + (portRef CD (instanceRef FF_128)) + (portRef CD (instanceRef FF_129)) + (portRef CD (instanceRef FF_130)) + (portRef CD (instanceRef FF_131)) + (portRef CD (instanceRef FF_132)) + (portRef CD (instanceRef FF_133)) + (portRef CD (instanceRef FF_134)) + (portRef CD (instanceRef FF_135)) + (portRef CD (instanceRef FF_136)) + (portRef CD (instanceRef FF_137)) + (portRef CD (instanceRef FF_138)) + (portRef CD (instanceRef FF_139)) + (portRef CD (instanceRef FF_140)) + (portRef CD (instanceRef FF_141)) + (portRef CD (instanceRef FF_142)) + (portRef CD (instanceRef FF_143)) + (portRef CD (instanceRef FF_144)) + (portRef CD (instanceRef FF_145)) + (portRef CD (instanceRef FF_146)) + (portRef CD (instanceRef FF_147)) + (portRef CD (instanceRef FF_148)) + (portRef CD (instanceRef FF_149)) + (portRef CD (instanceRef FF_150)) + (portRef CD (instanceRef FF_151)) + (portRef CD (instanceRef FF_152)) + (portRef CD (instanceRef FF_153)) + (portRef CD (instanceRef FF_154)) + (portRef CD (instanceRef FF_155)) + (portRef CD (instanceRef FF_156)) + (portRef CD (instanceRef FF_157)) + (portRef CD (instanceRef FF_158)) + (portRef CD (instanceRef FF_159)) + (portRef CD (instanceRef FF_160)) + (portRef CD (instanceRef FF_161)) + (portRef CD (instanceRef FF_162)) + (portRef CD (instanceRef FF_163)) + (portRef CD (instanceRef FF_164)) + (portRef CD (instanceRef FF_165)) + (portRef CD (instanceRef FF_166)) + (portRef CD (instanceRef FF_167)) + (portRef CD (instanceRef FF_168)) + )) (net result_i_167 (joined (portRef Q (instanceRef FF_167)) (portRef (member result_i 136)) @@ -567694,108 +567779,6 @@ (portRef S1 (instanceRef GEN_65_ADD)) (portRef D (instanceRef FF_131)) )) - (net reset_tdc_72 (joined - (portRef reset_tdc_72) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_64)) - (portRef CD (instanceRef FF_65)) - (portRef CD (instanceRef FF_66)) - (portRef CD (instanceRef FF_67)) - (portRef CD (instanceRef FF_68)) - (portRef CD (instanceRef FF_69)) - (portRef CD (instanceRef FF_70)) - (portRef CD (instanceRef FF_71)) - (portRef CD (instanceRef FF_72)) - (portRef CD (instanceRef FF_73)) - (portRef CD (instanceRef FF_74)) - (portRef CD (instanceRef FF_75)) - (portRef CD (instanceRef FF_76)) - (portRef CD (instanceRef FF_77)) - (portRef CD (instanceRef FF_78)) - (portRef CD (instanceRef FF_79)) - (portRef CD (instanceRef FF_80)) - (portRef CD (instanceRef FF_81)) - (portRef CD (instanceRef FF_82)) - (portRef CD (instanceRef FF_83)) - (portRef CD (instanceRef FF_84)) - (portRef CD (instanceRef FF_85)) - (portRef CD (instanceRef FF_86)) - (portRef CD (instanceRef FF_87)) - (portRef CD (instanceRef FF_88)) - (portRef CD (instanceRef FF_89)) - (portRef CD (instanceRef FF_90)) - (portRef CD (instanceRef FF_91)) - (portRef CD (instanceRef FF_92)) - (portRef CD (instanceRef FF_93)) - (portRef CD (instanceRef FF_94)) - (portRef CD (instanceRef FF_95)) - (portRef CD (instanceRef FF_96)) - (portRef CD (instanceRef FF_97)) - (portRef CD (instanceRef FF_98)) - (portRef CD (instanceRef FF_99)) - (portRef CD (instanceRef FF_100)) - (portRef CD (instanceRef FF_101)) - (portRef CD (instanceRef FF_102)) - (portRef CD (instanceRef FF_103)) - (portRef CD (instanceRef FF_104)) - (portRef CD (instanceRef FF_105)) - (portRef CD (instanceRef FF_106)) - (portRef CD (instanceRef FF_107)) - (portRef CD (instanceRef FF_108)) - (portRef CD (instanceRef FF_109)) - (portRef CD (instanceRef FF_110)) - (portRef CD (instanceRef FF_111)) - (portRef CD (instanceRef FF_112)) - (portRef CD (instanceRef FF_113)) - (portRef CD (instanceRef FF_114)) - (portRef CD (instanceRef FF_115)) - (portRef CD (instanceRef FF_116)) - (portRef CD (instanceRef FF_117)) - (portRef CD (instanceRef FF_118)) - (portRef CD (instanceRef FF_119)) - (portRef CD (instanceRef FF_120)) - (portRef CD (instanceRef FF_121)) - (portRef CD (instanceRef FF_122)) - (portRef CD (instanceRef FF_123)) - (portRef CD (instanceRef FF_124)) - (portRef CD (instanceRef FF_125)) - (portRef CD (instanceRef FF_126)) - (portRef CD (instanceRef FF_127)) - (portRef CD (instanceRef FF_128)) - (portRef CD (instanceRef FF_129)) - (portRef CD (instanceRef FF_130)) - (portRef CD (instanceRef FF_131)) - (portRef CD (instanceRef FF_132)) - )) (net result_i_131 (joined (portRef Q (instanceRef FF_131)) (portRef (member result_i 172)) @@ -568308,6 +568291,78 @@ (portRef S1 (instanceRef GEN_33_ADD)) (portRef D (instanceRef FF_67)) )) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef CD (instanceRef FF_0)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_68)) + )) (net result_i_67 (joined (portRef Q (instanceRef FF_67)) (portRef (member result_i 236)) @@ -568588,43 +568643,6 @@ (portRef S0 (instanceRef GEN_16_ADD)) (portRef D (instanceRef FF_32)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef CD (instanceRef FF_0)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_33)) - )) (net result_i_32 (joined (portRef Q (instanceRef FF_32)) (portRef (member result_i 271)) @@ -569986,7 +570004,7 @@ (port (array (rename trigger_time_i "trigger_time_i(10:0)") 11) (direction INPUT)) (port (array (rename rd_en_i "rd_en_i(0:0)") 1) (direction INPUT)) (port (array (rename ref_debug_i "ref_debug_i(15:8)") 8) (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) + (port reset_i_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) (port VCC (direction INPUT)) @@ -571519,8 +571537,8 @@ (portRef CK (instanceRef FF_101)) (portRef CLKW (instanceRef pdp_ram_0_0_0)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) + (net reset_i_2 (joined + (portRef reset_i_2) (portRef CD (instanceRef FF_0)) (portRef CD (instanceRef FF_12)) (portRef CD (instanceRef FF_13)) @@ -572742,10 +572760,10 @@ (instance Interval_Selection_interval_reg_41_21_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)+C (B+!A)))")) ) (instance Binary_Code_Calculation_un1_conv_finished_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -572767,6 +572785,9 @@ (instance Interval_Number_to_Binary_mux_control_6_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) + ) (instance proc_finished_3c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) @@ -572776,9 +572797,6 @@ (instance proc_finished_1c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance proc_finished_4c (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) @@ -572788,6 +572806,9 @@ (instance Interval_Number_to_Binary_mux_control_6_2_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C A))")) ) + (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) + ) (instance proc_cnt_4_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) @@ -572797,9 +572818,6 @@ (instance proc_cnt_2_2_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) ) - (instance proc_cnt_1_4_iv_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A)))")) - ) (instance Interval_Selection_interval_reg_41_14_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) @@ -573040,9 +573058,6 @@ (instance proc_cnt_2_2_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B+A)))")) ) - (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)))")) - ) (instance Interval_Number_to_Binary_mux_control_6_14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) @@ -573254,10 +573269,10 @@ (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))")) ) (instance Interval_Selection_interval_reg_41_53_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Interval_Number_to_Binary_mux_control_6_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Interval_Number_to_Binary_mux_control_6_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) (instance proc_cnt_4_2_iv_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -573318,6 +573333,9 @@ (instance Interval_Selection_interval_reg_41_61_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Interval_Selection_interval_reg_41_61_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_cnt_1_4_iv_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B+A)))")) + ) (instance Interval_Number_to_Binary_mux_control_6_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) @@ -573501,10 +573519,10 @@ (instance BINARY_CODE_OUTd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance BINARY_CODE_OUTd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance BINARY_CODE_OUTd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) (instance BINARY_CODE_OUTd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -573980,8 +573998,8 @@ )) (net start_cnt_1_i (joined (portRef Q (instanceRef start_cnt_1_i)) - (portRef A (instanceRef proc_cnt_1_4_iv_1)) (portRef C (instanceRef proc_cnt_1_4_iv_2)) + (portRef A (instanceRef proc_cnt_1_4_iv_1)) (portRef D (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef D (instanceRef proc_cnt_1_0)) (portRef CD (instanceRef proc_finished_1)) @@ -574212,8 +574230,8 @@ )) (net proc_cnt_1_2 (joined (portRef Q (instanceRef proc_cnt_1_2)) - (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef B (instanceRef proc_cnt_1_4_iv_2)) + (portRef C (instanceRef proc_cnt_1_RNO_0)) (portRef C (instanceRef proc_cnt_1_4_iv_RNO_1)) (portRef C (instanceRef proc_finished_1c)) )) @@ -574296,8 +574314,8 @@ (net interval_detected_i (joined (portRef Q (instanceRef interval_detected_i)) (portRef C (instanceRef BINARY_CODE_OUTd_9)) - (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_8)) + (portRef C (instanceRef BINARY_CODE_OUTd_7)) (portRef C (instanceRef BINARY_CODE_OUTd_6)) (portRef C (instanceRef BINARY_CODE_OUTd_5)) (portRef C (instanceRef BINARY_CODE_OUTd_3)) @@ -575303,8 +575321,8 @@ (net P_one_41_37 (joined (portRef Z (instanceRef P_one_assign_P_one_41_37)) (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_5)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) )) (net CO1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_2)) @@ -575558,8 +575576,8 @@ )) (net mux_control_6_0_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_1)) - (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) (net P_lut_13 (joined (portRef Z (instanceRef Interval_Determination_13_U)) @@ -575737,8 +575755,8 @@ )) (net P_lut_3 (joined (portRef Z (instanceRef Interval_Determination_3_U)) - (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef C (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef B (instanceRef Interval_Number_to_Binary_mux_control_6_1_0)) )) (net mux_control_6_1_0 (joined @@ -575809,14 +575827,14 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_21_0)) (portRef B (instanceRef Interval_Selection_interval_reg_41_22_0)) )) - (net mux_control_6_0_0_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) - (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - )) (net mux_control_6_0_0_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_1)) (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) )) + (net mux_control_6_0_0_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_0_2)) + (portRef A (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + )) (net interval_reg_41_18_am_30_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_18_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_18_0)) @@ -575841,14 +575859,13 @@ )) (net reset_tdc_rep2_35 (joined (portRef reset_tdc_rep2_35) - (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_2_2_iv_2)) (portRef D (instanceRef proc_cnt_3_2_iv_2)) (portRef D (instanceRef proc_cnt_4_2_iv_2)) - (portRef D (instanceRef proc_finished_4c)) (portRef D (instanceRef proc_finished_1c)) (portRef D (instanceRef proc_finished_2c)) (portRef D (instanceRef proc_finished_3c)) + (portRef D (instanceRef proc_finished_4c)) )) (net mux_control_6_1_2 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_1_2)) @@ -575862,6 +575879,10 @@ (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_2_0_4)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_4)) )) + (net un8_proc_cnt_1_i_m_1_m1_e_1 (joined + (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) + (portRef B (instanceRef proc_cnt_1_4_iv_1)) + )) (net un5_proc_cnt_4_i_m_1_m1_e_1 (joined (portRef Z (instanceRef proc_cnt_4_2_iv_RNO_1)) (portRef B (instanceRef proc_cnt_4_2_iv_1)) @@ -575874,10 +575895,6 @@ (portRef Z (instanceRef proc_cnt_2_2_iv_RNO_1)) (portRef B (instanceRef proc_cnt_2_2_iv_1)) )) - (net un8_proc_cnt_1_i_m_1_m1_e_1 (joined - (portRef Z (instanceRef proc_cnt_1_4_iv_RNO_1)) - (portRef B (instanceRef proc_cnt_1_4_iv_1)) - )) (net interval_reg_41_14_am_30_0 (joined (portRef Z (instanceRef Interval_Selection_interval_reg_41_14_am_0)) (portRef BLUT (instanceRef Interval_Selection_interval_reg_41_14_0)) @@ -577304,16 +577321,17 @@ (portRef Z (instanceRef Interval_Selection_interval_reg_41_53_8)) (portRef A (instanceRef Interval_Selection_interval_reg_41_62_bm_8)) )) - (net mux_control_6_5_2 (joined - (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) - (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) - )) (net mux_control_6_5_1 (joined (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_1)) (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_1)) )) + (net mux_control_6_5_2 (joined + (portRef Z (instanceRef Interval_Number_to_Binary_mux_control_6_5_2)) + (portRef D (instanceRef Interval_Number_to_Binary_mux_control_6_0_i_2)) + )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) + (portRef D (instanceRef proc_cnt_1_4_iv_2)) (portRef D (instanceRef proc_cnt_4_RNO_0)) (portRef D (instanceRef proc_cnt_3_RNO_0)) (portRef D (instanceRef proc_cnt_2_RNO_0)) @@ -577755,8 +577773,8 @@ (net g1 (joined (portRef Z (instanceRef proc_finished_1_RNII1OI1)) (portRef D (instanceRef BINARY_CODE_OUTd_9)) - (portRef D (instanceRef BINARY_CODE_OUTd_7)) (portRef D (instanceRef BINARY_CODE_OUTd_8)) + (portRef D (instanceRef BINARY_CODE_OUTd_7)) (portRef D (instanceRef BINARY_CODE_OUTd_6)) (portRef D (instanceRef BINARY_CODE_OUTd_5)) (portRef D (instanceRef BINARY_CODE_OUTd_3)) @@ -577788,8 +577806,8 @@ (net reset_tdc_fast_37_r19 (joined (portRef reset_tdc_fast_37_r19) (portRef B (instanceRef BINARY_CODE_OUTd_9)) - (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_8)) + (portRef B (instanceRef BINARY_CODE_OUTd_7)) (portRef B (instanceRef BINARY_CODE_OUTd_6)) (portRef B (instanceRef BINARY_CODE_OUTd_5)) (portRef B (instanceRef BINARY_CODE_OUTd_3)) @@ -577813,14 +577831,14 @@ (portRef S1 (instanceRef un3_binary_code_out_cry_5_0)) (portRef A (instanceRef BINARY_CODE_OUTd_6)) )) - (net un3_binary_code_out_8 (joined - (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) - (portRef A (instanceRef BINARY_CODE_OUTd_8)) - )) (net un3_binary_code_out_7 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_7_0)) (portRef A (instanceRef BINARY_CODE_OUTd_7)) )) + (net un3_binary_code_out_8 (joined + (portRef S1 (instanceRef un3_binary_code_out_cry_7_0)) + (portRef A (instanceRef BINARY_CODE_OUTd_8)) + )) (net un3_binary_code_out_9 (joined (portRef S0 (instanceRef un3_binary_code_out_cry_8_0)) (portRef A (instanceRef BINARY_CODE_OUTd_9)) @@ -584209,7 +584227,7 @@ (port fifo_tx_reset_i (direction INPUT)) (port fifo_tx_empty (direction OUTPUT)) (port tx_allow_qtx (direction INPUT)) - (port N_538_i (direction OUTPUT)) + (port N_465_i (direction OUTPUT)) (port GND (direction INPUT)) (port med_dataready_out (direction INPUT)) (port tx_allow_q (direction INPUT)) @@ -584654,7 +584672,7 @@ (portRef AD0 (instanceRef LUT4_14)) (portRef B (instanceRef OR2_t10)) )) - (net N_539_i (joined + (net N_466_i (joined (portRef Z (instanceRef AND2_t12_RNO)) (portRef A (instanceRef AND2_t12)) )) @@ -584667,9 +584685,9 @@ (portRef B (instanceRef FF_0_RNIIRVN)) (portRef A (instanceRef AND2_t12_RNO)) )) - (net N_538_i (joined + (net N_465_i (joined (portRef Z (instanceRef FF_0_RNIIRVN)) - (portRef N_538_i) + (portRef N_465_i) )) (net invout_1 (joined (portRef Z (instanceRef INV_1)) @@ -588213,7 +588231,7 @@ (portRef A (instanceRef rx_serdes_rst_ch_c_RNO)) (portRef B (instanceRef cs_srsts_0_i_3)) )) - (net N_483_i (joined + (net N_410_i (joined (portRef Z (instanceRef cs_RNO_4)) (portRef D (instanceRef cs_4)) )) @@ -588404,7 +588422,7 @@ (portRef S1 (instanceRef counter2_cry_0_17)) (portRef D (instanceRef counter2_18)) )) - (net N_489_i (joined + (net N_416_i (joined (portRef Z (instanceRef counter1_RNO_0)) (portRef D (instanceRef counter1_0)) )) @@ -588413,7 +588431,7 @@ (portRef A (instanceRef counter1_RNO_1)) (portRef A (instanceRef counter1_RNO_0)) )) - (net N_490_i (joined + (net N_417_i (joined (portRef Z (instanceRef counter1_RNO_1)) (portRef D (instanceRef counter1_1)) )) @@ -588423,7 +588441,7 @@ (portRef B (instanceRef counter1_RNO_0)) (portRef A (instanceRef TIMER1_RNO)) )) - (net N_488_i (joined + (net N_415_i (joined (portRef Z (instanceRef TIMER2_RNO)) (portRef D (instanceRef TIMER2)) )) @@ -588432,7 +588450,7 @@ (portRef B (instanceRef cs_srsts_0_i_1)) (portRef A (instanceRef cs_srsts_0_i_a2_0_0_0)) )) - (net N_482_i (joined + (net N_409_i (joined (portRef Z (instanceRef TIMER1_RNO)) (portRef D (instanceRef TIMER1)) )) @@ -589078,36 +589096,19 @@ (cell handler_trigger_and_data (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction INPUT)) + (port (array (rename dat_fifo_finished "dat_fifo_finished(0:0)") 1) (direction OUTPUT)) (port (array (rename ipu_data_i "ipu_data_i(31:0)") 32) (direction OUTPUT)) (port (array (rename ipu_error_pattern_i "ipu_error_pattern_i(27:27)") 1) (direction OUTPUT)) + (port (array (rename dat_fifo_select "dat_fifo_select(0:0)") 1) (direction OUTPUT)) (port (array (rename ipu_length_i "ipu_length_i(15:0)") 16) (direction OUTPUT)) - (port (array (rename dat_data_read_out_0 "DAT_DATA_READ_OUT_0(0:0)") 1) (direction OUTPUT)) (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) - (port (array (rename dat_data_read_out_0_rnipo254 "DAT_DATA_READ_OUT_0_RNIPO254(0:0)") 1) (direction OUTPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction INPUT)) (port (array (rename fee_data_i "fee_data_i(31:0)") 32) (direction INPUT)) (port (array (rename trg_code_i "trg_code_i(7:0)") 8) (direction INPUT)) (port (array (rename trg_type_i "trg_type_i(3:0)") 4) (direction INPUT)) (port (array (rename trg_information_i "trg_information_i(0:0)") 1) (direction INPUT)) + (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(46:46)") 1) (direction INPUT)) (port (array (rename common_ctrl_reg "common_ctrl_reg(2:2)") 1) (direction INPUT)) - (port stat_header_buffer_level_0 (direction OUTPUT)) - (port stat_header_buffer_level_1 (direction OUTPUT)) - (port stat_header_buffer_level_2 (direction OUTPUT)) - (port stat_header_buffer_level_3 (direction OUTPUT)) - (port stat_header_buffer_level_4 (direction OUTPUT)) - (port stat_header_buffer_level_5 (direction OUTPUT)) - (port stat_header_buffer_level_6 (direction OUTPUT)) - (port stat_header_buffer_level_7 (direction OUTPUT)) - (port stat_header_buffer_level_8 (direction OUTPUT)) - (port stat_header_buffer_level_9 (direction OUTPUT)) - (port stat_header_buffer_level_16 (direction OUTPUT)) - (port stat_header_buffer_level_18 (direction OUTPUT)) - (port stat_header_buffer_level_19 (direction OUTPUT)) - (port stat_header_buffer_level_22 (direction OUTPUT)) - (port stat_header_buffer_level_21 (direction OUTPUT)) - (port stat_header_buffer_level_20 (direction OUTPUT)) - (port stat_header_buffer_level_17 (direction OUTPUT)) (port stat_data_buffer_level_0 (direction OUTPUT)) (port stat_data_buffer_level_1 (direction OUTPUT)) (port stat_data_buffer_level_2 (direction OUTPUT)) @@ -589133,34 +589134,45 @@ (port stat_data_buffer_level_26 (direction OUTPUT)) (port stat_data_buffer_level_27 (direction OUTPUT)) (port stat_data_buffer_level_17 (direction OUTPUT)) + (port stat_header_buffer_level_0 (direction OUTPUT)) + (port stat_header_buffer_level_1 (direction OUTPUT)) + (port stat_header_buffer_level_2 (direction OUTPUT)) + (port stat_header_buffer_level_3 (direction OUTPUT)) + (port stat_header_buffer_level_4 (direction OUTPUT)) + (port stat_header_buffer_level_5 (direction OUTPUT)) + (port stat_header_buffer_level_6 (direction OUTPUT)) + (port stat_header_buffer_level_7 (direction OUTPUT)) + (port stat_header_buffer_level_8 (direction OUTPUT)) + (port stat_header_buffer_level_9 (direction OUTPUT)) + (port stat_header_buffer_level_16 (direction OUTPUT)) + (port stat_header_buffer_level_18 (direction OUTPUT)) + (port stat_header_buffer_level_19 (direction OUTPUT)) + (port stat_header_buffer_level_22 (direction OUTPUT)) + (port stat_header_buffer_level_21 (direction OUTPUT)) + (port stat_header_buffer_level_20 (direction OUTPUT)) + (port stat_header_buffer_level_17 (direction OUTPUT)) (port (array (rename stat_buffer_i "stat_buffer_i(23:0)") 24) (direction OUTPUT)) (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction OUTPUT)) - (port (array (rename lvl1_error_pattern_i "lvl1_error_pattern_i(21:20)") 2) (direction OUTPUT)) - (port (array (rename timer_ticks "timer_ticks(0:0)") 1) (direction INPUT)) (port (array (rename regio_addr_out "regio_addr_out(4:0)") 5) (direction INPUT)) (port current_state_4 (direction OUTPUT)) - (port current_state_0 (direction OUTPUT)) (port current_state_1 (direction OUTPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction INPUT)) - (port last_second_word_waiting (direction INPUT)) - (port m174_2_03_3_i_4 (direction OUTPUT)) + (port (array (rename timer_ticks "timer_ticks(0:0)") 1) (direction INPUT)) + (port (array (rename lvl1_error_pattern_i "lvl1_error_pattern_i(21:20)") 2) (direction OUTPUT)) (port m165_2_03_4_i_4 (direction OUTPUT)) - (port suppress_output_fast (direction OUTPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port m174_2_03_3_i_4 (direction OUTPUT)) + (port suppress_output (direction OUTPUT)) (port ipu_readout_finished_i (direction OUTPUT)) - (port first_fifo_read (direction OUTPUT)) (port m173_2_03_3_i_4 (direction OUTPUT)) + (port DAT_DATA_READ_OUT_0_m3_0_a2_0 (direction OUTPUT)) (port ipu_start_readout_i (direction INPUT)) - (port waiting_word (direction INPUT)) + (port first_fifo_read_RNIS8RB (direction OUTPUT)) (port ipu_dataready_i (direction OUTPUT)) - (port N_4877 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port reset_i_fast_r8 (direction INPUT)) - (port m1_6_03 (direction INPUT)) - (port m1_6_03_1_0_0 (direction INPUT)) (port m6_6_03_1_1 (direction INPUT)) - (port fee_data_finished_i (direction INPUT)) - (port reset_i_fast_r5 (direction INPUT)) + (port d_N_6 (direction OUTPUT)) + (port trg_timeout_detected_i (direction INPUT)) + (port trg_spurious_trg_i (direction INPUT)) + (port trg_multiple_trg_i (direction INPUT)) (port m136_2_03_2_i_4 (direction OUTPUT)) (port m137_2_03_2_i_4 (direction OUTPUT)) (port m138_2_03_2_i_4 (direction OUTPUT)) @@ -589168,15 +589180,13 @@ (port m141_2_03 (direction OUTPUT)) (port m142_4_03_1_i_4 (direction OUTPUT)) (port fee_data_write_i (direction INPUT)) - (port m128_0_03_1_1 (direction OUTPUT)) + (port N_277 (direction OUTPUT)) + (port fee_data_finished_i (direction INPUT)) (port trg_data_valid_i (direction INPUT)) - (port trg_missing_tmg_trg_i (direction INPUT)) - (port trg_multiple_trg_i (direction INPUT)) - (port trg_spike_detected_i (direction INPUT)) - (port trg_timeout_detected_i (direction INPUT)) (port reset_i_rep2 (direction INPUT)) (port int_lvl1_long_trg (direction INPUT)) - (port trg_spurious_trg_i (direction INPUT)) + (port trg_missing_tmg_trg_i (direction INPUT)) + (port trg_spike_detected_i (direction INPUT)) (port m176_2_03_2_i_4 (direction OUTPUT)) (port m177_2_03_2_i_4 (direction OUTPUT)) (port m178_2_03_2_i_4 (direction OUTPUT)) @@ -589189,30 +589199,33 @@ (port m169_2_03_4_i_4 (direction OUTPUT)) (port m170_2_03_4_i_4 (direction OUTPUT)) (port m171_2_03_4_i_4 (direction OUTPUT)) - (port lvl1_valid_i_0 (direction INPUT)) - (port reset_i_1 (direction INPUT)) + (port trg_invalid_i (direction INPUT)) + (port trg_timing_valid_i (direction INPUT)) + (port trg_notiming_valid_i (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port fee_trg_release_i (direction INPUT)) - (port reset_i_fast_1 (direction INPUT)) - (port waiting_word_RNIIPH92 (direction INPUT)) - (port un16_dbuf_data_in_0_i (direction OUTPUT)) - (port reset_i_1_1 (direction INPUT)) (port m166_2_03_4_i_4 (direction OUTPUT)) - (port reset_i_fast_r7 (direction INPUT)) - (port LVL1_TRG_RELEASE_OUT (direction OUTPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction INPUT)) + (port N_132 (direction OUTPUT)) + (port un16_dbuf_data_in_0_i (direction OUTPUT)) + (port m161_2_03 (direction OUTPUT)) + (port reset_i_fast_r10 (direction INPUT)) + (port LVL1_TRG_RELEASE_OUT_0_a2 (direction OUTPUT)) (port stat_buffer_ready (direction OUTPUT)) (port stat_buffer_unknown (direction OUTPUT)) (port stat_buffer_read (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port GND (direction INPUT)) - (port m161_2_03 (direction OUTPUT)) ) (contents - (instance the_ipu_stat_proc_un27_status_ipu_handler_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B+A))+D (!C (!B !A+B A)+C A))")) + (instance fee_trg_statusbits_RNO_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))")) + ) + (instance fee_trg_statusbits_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance timer_ipu_idle_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance STATISTICS_READY_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -589614,13 +589627,10 @@ ) (instance STATISTICS_DATA_OUT_1_23 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance LVL1_TRG_RELEASE_OUT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance the_stat_proc_gen_buffer_stat_0_un3_buf_stat_data_buffer_level (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance LVL1_TRG_RELEASE_OUT_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance the_stat_proc_un2_buf_stat_header_buffer_level (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -589629,34 +589639,34 @@ (instance the_stat_proc_un8_buf_stat_header_buffer_level (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance reset_ipu_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance the_ipu_stat_proc_un35_status_ipu_handler_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance the_stat_proc_gen_buffer_stat_0_un3_buf_stat_data_buffer_level (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance reset_ipu_i_0_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B+A))")) ) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) + ) (instance timer_lvl1_working_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) (instance the_ipu_stat_proc_un4_status_ipu_handler_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A))")) ) - (instance reset_ipu_i_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance reset_ipu_i_0_i_1_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance the_ipu_stat_proc_un4_status_ipu_handler_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A))")) ) - (instance STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance un1_statistics_data_out59_i_0_0_a8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -589665,76 +589675,76 @@ (instance STATISTICS_READY_OUTc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C !B+C (!B+!A)))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) (instance STATISTICS_DATA_OUT_1_RNO_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A))")) ) (instance proc_buf_status_un16_dbuf_data_in_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -589743,222 +589753,228 @@ (instance un1_statistics_data_out59_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance STATISTICS_DATA_OUT_1_RNO_3_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) (instance STATISTICS_DATA_OUT_1_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) (instance STATISTICS_DATA_OUT_1_RNO_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_3_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance the_ipu_stat_proc_un27_status_ipu_handler_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + ) + (instance STATISTICS_DATA_OUT_1_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) (instance STATISTICS_DATA_OUT_1_RNO_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) + (instance STATISTICS_DATA_OUT_1_RNO_1_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) (instance STATISTICS_DATA_OUT_1_RNO_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance STATISTICS_DATA_OUT_1_RNO_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATISTICS_DATA_OUT_1_RNO_1_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) + (instance the_ipu_stat_proc_un35_status_ipu_handler_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B+A)))")) + ) (instance STATISTICS_DATA_OUT_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) @@ -590031,32 +590047,26 @@ (instance STATISTICS_DATA_OUT_1_RNO_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) - (instance the_ipu_stat_proc_un27_status_ipu_handler_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !B+C (!B A)))")) - ) - (instance reset_ipu_i_0_i_iso (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance the_ipu_stat_proc_un27_status_ipu_handler_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C (B !A)))")) ) (instance fee_trg_released_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) + (property lut_function (string "(!C (B !A)+C !A)")) ) (instance fee_trg_released_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C !A)")) ) - (instance reset_ipu_i_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reset_ipu_i_0_i_0_o3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance reset_ipu_i_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reset_ipu_i_0_i_0_o3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance fee_trg_release_RNIIKG41_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) - ) - (instance fee_trg_statusbitsd_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))")) + (instance proc_trg_release_un4_reset_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance fee_trg_statusbitsd_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))")) + (instance proc_trg_release_un4_reset_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) (instance un1_statistics_data_out59_i_0_0_a8_RNILVQB (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) @@ -590611,74 +590621,44 @@ ) (instance THE_IPU_HANDLER (viewRef netlist (cellRef handler_ipu)) ) - (net un14_status_ipu_handler_i_0 (joined - (portRef Z (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) - (portRef B (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) + (net un4_reset_i (joined + (portRef Z (instanceRef proc_trg_release_un4_reset_i)) + (portRef A (instanceRef fee_trg_released_0)) + (portRef A (instanceRef fee_trg_released_1)) + (portRef B (instanceRef fee_trg_statusbits_RNO_20)) + (portRef B (instanceRef fee_trg_statusbits_RNO_21)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + (net dat_lvl1_statusbits_21 (joined + (portRef (member dat_lvl1_statusbits 0) (instanceRef THE_DATA_HANDLER)) + (portRef C (instanceRef fee_trg_statusbits_RNO_21)) )) - (net regio_addr_out_2 (joined - (portRef (member regio_addr_out 2)) - (portRef C (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) - (portRef C (instanceRef STATISTICS_READY_OUTc)) - (portRef C (instanceRef un1_statistics_data_out59_i_0_0_a8)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0)) - (portRef B (instanceRef STATISTICS_READY_OUT_RNO)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2)) + (net dat_lvl1_release (joined + (portRef dat_lvl1_release (instanceRef THE_DATA_HANDLER)) + (portRef B (instanceRef fee_trg_released_1)) + (portRef D (instanceRef fee_trg_statusbits_RNO_20)) + (portRef D (instanceRef fee_trg_statusbits_RNO_21)) )) - (net regio_addr_out_1 (joined - (portRef (member regio_addr_out 3)) - (portRef B (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) - (portRef B (instanceRef un1_statistics_data_out59_i_0_0_a8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3)) - (portRef C (instanceRef STATISTICS_READY_OUT_RNO)) - (portRef C (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2)) + (net fee_trg_statusbitsd_0_21 (joined + (portRef Z (instanceRef fee_trg_statusbits_RNO_21)) + (portRef D (instanceRef fee_trg_statusbits_21)) )) - (net regio_addr_out_0 (joined - (portRef (member regio_addr_out 4)) - (portRef A (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) - (portRef A (instanceRef un1_statistics_data_out59_i_0_0_a8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3)) - (portRef D (instanceRef STATISTICS_READY_OUT_RNO)) - (portRef A (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_2)) + (net dat_lvl1_statusbits_20 (joined + (portRef (member dat_lvl1_statusbits 1) (instanceRef THE_DATA_HANDLER)) + (portRef C (instanceRef fee_trg_statusbits_RNO_20)) + )) + (net fee_trg_statusbitsd_0_20 (joined + (portRef Z (instanceRef fee_trg_statusbits_RNO_20)) + (portRef D (instanceRef fee_trg_statusbits_20)) )) - (net N_6121_i (joined + (net current_state_0 (joined + (portRef current_state_0 (instanceRef THE_IPU_HANDLER)) + (portRef C (instanceRef timer_ipu_idle_cry_0_RNO_0)) + )) + (net timer_ipu_idle (joined + (portRef Z (instanceRef timer_ipu_idle_cry_0_RNO_0)) + (portRef B0 (instanceRef timer_ipu_idle_cry_0_0)) + )) + (net N_6155_i (joined (portRef Z (instanceRef STATISTICS_READY_OUT_RNO)) (portRef D (instanceRef STATISTICS_READY_OUT)) )) @@ -590686,23 +590666,13 @@ (portRef S1 (instanceRef timer_lvl1_working_cry_0_0)) (portRef D (instanceRef timer_lvl1_working_0)) )) - (net timer_lvl1_working_0 (joined - (portRef Q (instanceRef timer_lvl1_working_0)) - (portRef A1 (instanceRef timer_lvl1_working_cry_0_0)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) - )) - (net timer_lvl1_working_s_1 (joined - (portRef S0 (instanceRef timer_lvl1_working_cry_0_1)) - (portRef D (instanceRef timer_lvl1_working_1)) - )) (net timer_ticks_0 (joined (portRef (member timer_ticks 0)) - (portRef D (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) - (portRef C (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_0)) - (portRef B (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i_0)) + (portRef D (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) + (portRef D (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) + (portRef B (instanceRef the_stat_proc_gen_buffer_stat_0_un3_buf_stat_data_buffer_level)) (portRef B (instanceRef the_stat_proc_un8_buf_stat_header_buffer_level)) (portRef B (instanceRef the_stat_proc_un2_buf_stat_header_buffer_level)) - (portRef B (instanceRef the_stat_proc_gen_buffer_stat_0_un3_buf_stat_data_buffer_level)) (portRef SP (instanceRef timer_lvl1_working_23)) (portRef SP (instanceRef timer_lvl1_working_22)) (portRef SP (instanceRef timer_lvl1_working_21)) @@ -590727,6 +590697,16 @@ (portRef SP (instanceRef timer_lvl1_working_2)) (portRef SP (instanceRef timer_lvl1_working_1)) (portRef SP (instanceRef timer_lvl1_working_0)) + (portRef A (instanceRef timer_ipu_idle_cry_0_RNO_0)) + )) + (net timer_lvl1_working_0 (joined + (portRef Q (instanceRef timer_lvl1_working_0)) + (portRef A1 (instanceRef timer_lvl1_working_cry_0_0)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + )) + (net timer_lvl1_working_s_1 (joined + (portRef S0 (instanceRef timer_lvl1_working_cry_0_1)) + (portRef D (instanceRef timer_lvl1_working_1)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -590934,7 +590914,7 @@ (net timer_lvl1_working_1 (joined (portRef Q (instanceRef timer_lvl1_working_1)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) )) (net VCC (joined (portRef VCC) @@ -591045,6 +591025,7 @@ (portRef D0 (instanceRef timer_ipu_waiting_cry_0_1)) (portRef D1 (instanceRef timer_ipu_waiting_cry_0_0)) (portRef D0 (instanceRef timer_ipu_waiting_cry_0_0)) + (portRef B0 (instanceRef timer_ipu_waiting_cry_0_0)) (portRef D1 (instanceRef timer_lvl1_idle_s_0_23)) (portRef D0 (instanceRef timer_lvl1_idle_s_0_23)) (portRef D1 (instanceRef timer_lvl1_idle_cry_0_21)) @@ -591134,7 +591115,7 @@ (net timer_lvl1_working_2 (joined (portRef Q (instanceRef timer_lvl1_working_2)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) )) (net timer_lvl1_working_s_3 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_3)) @@ -591143,7 +591124,7 @@ (net timer_lvl1_working_3 (joined (portRef Q (instanceRef timer_lvl1_working_3)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) )) (net timer_lvl1_working_s_4 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_3)) @@ -591152,7 +591133,7 @@ (net timer_lvl1_working_4 (joined (portRef Q (instanceRef timer_lvl1_working_4)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) )) (net timer_lvl1_working_s_5 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_5)) @@ -591161,7 +591142,7 @@ (net timer_lvl1_working_5 (joined (portRef Q (instanceRef timer_lvl1_working_5)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) )) (net timer_lvl1_working_s_6 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_5)) @@ -591170,7 +591151,7 @@ (net timer_lvl1_working_6 (joined (portRef Q (instanceRef timer_lvl1_working_6)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) )) (net timer_lvl1_working_s_7 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_7)) @@ -591179,7 +591160,7 @@ (net timer_lvl1_working_7 (joined (portRef Q (instanceRef timer_lvl1_working_7)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) )) (net timer_lvl1_working_s_8 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_7)) @@ -591188,7 +591169,7 @@ (net timer_lvl1_working_8 (joined (portRef Q (instanceRef timer_lvl1_working_8)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) )) (net timer_lvl1_working_s_9 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_9)) @@ -591197,7 +591178,7 @@ (net timer_lvl1_working_9 (joined (portRef Q (instanceRef timer_lvl1_working_9)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) )) (net timer_lvl1_working_s_10 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_9)) @@ -591206,7 +591187,7 @@ (net timer_lvl1_working_10 (joined (portRef Q (instanceRef timer_lvl1_working_10)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) )) (net timer_lvl1_working_s_11 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_11)) @@ -591215,7 +591196,7 @@ (net timer_lvl1_working_11 (joined (portRef Q (instanceRef timer_lvl1_working_11)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) )) (net timer_lvl1_working_s_12 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_11)) @@ -591224,7 +591205,7 @@ (net timer_lvl1_working_12 (joined (portRef Q (instanceRef timer_lvl1_working_12)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) )) (net timer_lvl1_working_s_13 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_13)) @@ -591233,7 +591214,7 @@ (net timer_lvl1_working_13 (joined (portRef Q (instanceRef timer_lvl1_working_13)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) )) (net timer_lvl1_working_s_14 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_13)) @@ -591242,7 +591223,7 @@ (net timer_lvl1_working_14 (joined (portRef Q (instanceRef timer_lvl1_working_14)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) )) (net timer_lvl1_working_s_15 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_15)) @@ -591251,7 +591232,7 @@ (net timer_lvl1_working_15 (joined (portRef Q (instanceRef timer_lvl1_working_15)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) )) (net timer_lvl1_working_s_16 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_15)) @@ -591260,7 +591241,7 @@ (net timer_lvl1_working_16 (joined (portRef Q (instanceRef timer_lvl1_working_16)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) )) (net timer_lvl1_working_s_17 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_17)) @@ -591269,7 +591250,7 @@ (net timer_lvl1_working_17 (joined (portRef Q (instanceRef timer_lvl1_working_17)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) )) (net timer_lvl1_working_s_18 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_17)) @@ -591278,7 +591259,7 @@ (net timer_lvl1_working_18 (joined (portRef Q (instanceRef timer_lvl1_working_18)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) )) (net timer_lvl1_working_s_19 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_19)) @@ -591287,7 +591268,7 @@ (net timer_lvl1_working_19 (joined (portRef Q (instanceRef timer_lvl1_working_19)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) )) (net timer_lvl1_working_s_20 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_19)) @@ -591296,7 +591277,7 @@ (net timer_lvl1_working_20 (joined (portRef Q (instanceRef timer_lvl1_working_20)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) )) (net timer_lvl1_working_s_21 (joined (portRef S0 (instanceRef timer_lvl1_working_cry_0_21)) @@ -591305,7 +591286,7 @@ (net timer_lvl1_working_21 (joined (portRef Q (instanceRef timer_lvl1_working_21)) (portRef A0 (instanceRef timer_lvl1_working_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) )) (net timer_lvl1_working_s_22 (joined (portRef S1 (instanceRef timer_lvl1_working_cry_0_21)) @@ -591314,7 +591295,7 @@ (net timer_lvl1_working_22 (joined (portRef Q (instanceRef timer_lvl1_working_22)) (portRef A1 (instanceRef timer_lvl1_working_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) )) (net timer_lvl1_working_s_23 (joined (portRef S0 (instanceRef timer_lvl1_working_s_0_23)) @@ -591323,7 +591304,7 @@ (net timer_lvl1_working_23 (joined (portRef Q (instanceRef timer_lvl1_working_23)) (portRef A0 (instanceRef timer_lvl1_working_s_0_23)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) )) (net timer_lvl1_idle_s_0 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_0)) @@ -591359,7 +591340,7 @@ (net timer_lvl1_idle_0 (joined (portRef Q (instanceRef timer_lvl1_idle_0)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_0)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) )) (net timer_lvl1_idle_s_1 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_1)) @@ -591368,7 +591349,7 @@ (net timer_lvl1_idle_1 (joined (portRef Q (instanceRef timer_lvl1_idle_1)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) )) (net timer_lvl1_idle_s_2 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_1)) @@ -591377,7 +591358,7 @@ (net timer_lvl1_idle_2 (joined (portRef Q (instanceRef timer_lvl1_idle_2)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) )) (net timer_lvl1_idle_s_3 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_3)) @@ -591386,7 +591367,7 @@ (net timer_lvl1_idle_3 (joined (portRef Q (instanceRef timer_lvl1_idle_3)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) )) (net timer_lvl1_idle_s_4 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_3)) @@ -591395,7 +591376,7 @@ (net timer_lvl1_idle_4 (joined (portRef Q (instanceRef timer_lvl1_idle_4)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) )) (net timer_lvl1_idle_s_5 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_5)) @@ -591404,7 +591385,7 @@ (net timer_lvl1_idle_5 (joined (portRef Q (instanceRef timer_lvl1_idle_5)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_5)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) )) (net timer_lvl1_idle_s_6 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_5)) @@ -591413,7 +591394,7 @@ (net timer_lvl1_idle_6 (joined (portRef Q (instanceRef timer_lvl1_idle_6)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_5)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) )) (net timer_lvl1_idle_s_7 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_7)) @@ -591422,7 +591403,7 @@ (net timer_lvl1_idle_7 (joined (portRef Q (instanceRef timer_lvl1_idle_7)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) )) (net timer_lvl1_idle_s_8 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_7)) @@ -591431,7 +591412,7 @@ (net timer_lvl1_idle_8 (joined (portRef Q (instanceRef timer_lvl1_idle_8)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) )) (net timer_lvl1_idle_s_9 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_9)) @@ -591440,7 +591421,7 @@ (net timer_lvl1_idle_9 (joined (portRef Q (instanceRef timer_lvl1_idle_9)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) )) (net timer_lvl1_idle_s_10 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_9)) @@ -591449,7 +591430,7 @@ (net timer_lvl1_idle_10 (joined (portRef Q (instanceRef timer_lvl1_idle_10)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) )) (net timer_lvl1_idle_s_11 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_11)) @@ -591458,7 +591439,7 @@ (net timer_lvl1_idle_11 (joined (portRef Q (instanceRef timer_lvl1_idle_11)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_11)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) )) (net timer_lvl1_idle_s_12 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_11)) @@ -591467,7 +591448,7 @@ (net timer_lvl1_idle_12 (joined (portRef Q (instanceRef timer_lvl1_idle_12)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_11)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) )) (net timer_lvl1_idle_s_13 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_13)) @@ -591476,7 +591457,7 @@ (net timer_lvl1_idle_13 (joined (portRef Q (instanceRef timer_lvl1_idle_13)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_13)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) )) (net timer_lvl1_idle_s_14 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_13)) @@ -591485,7 +591466,7 @@ (net timer_lvl1_idle_14 (joined (portRef Q (instanceRef timer_lvl1_idle_14)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_13)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) )) (net timer_lvl1_idle_s_15 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_15)) @@ -591494,7 +591475,7 @@ (net timer_lvl1_idle_15 (joined (portRef Q (instanceRef timer_lvl1_idle_15)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) )) (net timer_lvl1_idle_s_16 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_15)) @@ -591503,7 +591484,7 @@ (net timer_lvl1_idle_16 (joined (portRef Q (instanceRef timer_lvl1_idle_16)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) )) (net timer_lvl1_idle_s_17 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_17)) @@ -591512,7 +591493,7 @@ (net timer_lvl1_idle_17 (joined (portRef Q (instanceRef timer_lvl1_idle_17)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_17)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) )) (net timer_lvl1_idle_s_18 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_17)) @@ -591521,7 +591502,7 @@ (net timer_lvl1_idle_18 (joined (portRef Q (instanceRef timer_lvl1_idle_18)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_17)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) )) (net timer_lvl1_idle_s_19 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_19)) @@ -591530,7 +591511,7 @@ (net timer_lvl1_idle_19 (joined (portRef Q (instanceRef timer_lvl1_idle_19)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) )) (net timer_lvl1_idle_s_20 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_19)) @@ -591539,7 +591520,7 @@ (net timer_lvl1_idle_20 (joined (portRef Q (instanceRef timer_lvl1_idle_20)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) )) (net timer_lvl1_idle_s_21 (joined (portRef S0 (instanceRef timer_lvl1_idle_cry_0_21)) @@ -591548,7 +591529,7 @@ (net timer_lvl1_idle_21 (joined (portRef Q (instanceRef timer_lvl1_idle_21)) (portRef A0 (instanceRef timer_lvl1_idle_cry_0_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) )) (net timer_lvl1_idle_s_22 (joined (portRef S1 (instanceRef timer_lvl1_idle_cry_0_21)) @@ -591557,7 +591538,7 @@ (net timer_lvl1_idle_22 (joined (portRef Q (instanceRef timer_lvl1_idle_22)) (portRef A1 (instanceRef timer_lvl1_idle_cry_0_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) )) (net timer_lvl1_idle_s_23 (joined (portRef S0 (instanceRef timer_lvl1_idle_s_0_23)) @@ -591566,7 +591547,7 @@ (net timer_lvl1_idle_23 (joined (portRef Q (instanceRef timer_lvl1_idle_23)) (portRef A0 (instanceRef timer_lvl1_idle_s_0_23)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) )) (net timer_lvl1_almost_full_s_0 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_0)) @@ -591602,7 +591583,7 @@ (net timer_lvl1_almost_full_0 (joined (portRef Q (instanceRef timer_lvl1_almost_full_0)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_0)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) )) (net timer_lvl1_almost_full_s_1 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_1)) @@ -591611,7 +591592,7 @@ (net timer_lvl1_almost_full_1 (joined (portRef Q (instanceRef timer_lvl1_almost_full_1)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) )) (net timer_lvl1_almost_full_s_2 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_1)) @@ -591620,7 +591601,7 @@ (net timer_lvl1_almost_full_2 (joined (portRef Q (instanceRef timer_lvl1_almost_full_2)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) )) (net timer_lvl1_almost_full_s_3 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_3)) @@ -591629,7 +591610,7 @@ (net timer_lvl1_almost_full_3 (joined (portRef Q (instanceRef timer_lvl1_almost_full_3)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) )) (net timer_lvl1_almost_full_s_4 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_3)) @@ -591638,7 +591619,7 @@ (net timer_lvl1_almost_full_4 (joined (portRef Q (instanceRef timer_lvl1_almost_full_4)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) )) (net timer_lvl1_almost_full_s_5 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_5)) @@ -591647,7 +591628,7 @@ (net timer_lvl1_almost_full_5 (joined (portRef Q (instanceRef timer_lvl1_almost_full_5)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) )) (net timer_lvl1_almost_full_s_6 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_5)) @@ -591656,7 +591637,7 @@ (net timer_lvl1_almost_full_6 (joined (portRef Q (instanceRef timer_lvl1_almost_full_6)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) )) (net timer_lvl1_almost_full_s_7 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_7)) @@ -591665,7 +591646,7 @@ (net timer_lvl1_almost_full_7 (joined (portRef Q (instanceRef timer_lvl1_almost_full_7)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) )) (net timer_lvl1_almost_full_s_8 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_7)) @@ -591674,7 +591655,7 @@ (net timer_lvl1_almost_full_8 (joined (portRef Q (instanceRef timer_lvl1_almost_full_8)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) )) (net timer_lvl1_almost_full_s_9 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_9)) @@ -591683,7 +591664,7 @@ (net timer_lvl1_almost_full_9 (joined (portRef Q (instanceRef timer_lvl1_almost_full_9)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) )) (net timer_lvl1_almost_full_s_10 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_9)) @@ -591692,7 +591673,7 @@ (net timer_lvl1_almost_full_10 (joined (portRef Q (instanceRef timer_lvl1_almost_full_10)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) )) (net timer_lvl1_almost_full_s_11 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_11)) @@ -591701,7 +591682,7 @@ (net timer_lvl1_almost_full_11 (joined (portRef Q (instanceRef timer_lvl1_almost_full_11)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) )) (net timer_lvl1_almost_full_s_12 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_11)) @@ -591710,7 +591691,7 @@ (net timer_lvl1_almost_full_12 (joined (portRef Q (instanceRef timer_lvl1_almost_full_12)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) )) (net timer_lvl1_almost_full_s_13 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_13)) @@ -591719,7 +591700,7 @@ (net timer_lvl1_almost_full_13 (joined (portRef Q (instanceRef timer_lvl1_almost_full_13)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) )) (net timer_lvl1_almost_full_s_14 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_13)) @@ -591728,7 +591709,7 @@ (net timer_lvl1_almost_full_14 (joined (portRef Q (instanceRef timer_lvl1_almost_full_14)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) )) (net timer_lvl1_almost_full_s_15 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_15)) @@ -591737,7 +591718,7 @@ (net timer_lvl1_almost_full_15 (joined (portRef Q (instanceRef timer_lvl1_almost_full_15)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) )) (net timer_lvl1_almost_full_s_16 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_15)) @@ -591746,7 +591727,7 @@ (net timer_lvl1_almost_full_16 (joined (portRef Q (instanceRef timer_lvl1_almost_full_16)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) )) (net timer_lvl1_almost_full_s_17 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_17)) @@ -591755,7 +591736,7 @@ (net timer_lvl1_almost_full_17 (joined (portRef Q (instanceRef timer_lvl1_almost_full_17)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) )) (net timer_lvl1_almost_full_s_18 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_17)) @@ -591764,7 +591745,7 @@ (net timer_lvl1_almost_full_18 (joined (portRef Q (instanceRef timer_lvl1_almost_full_18)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) )) (net timer_lvl1_almost_full_s_19 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_19)) @@ -591773,7 +591754,7 @@ (net timer_lvl1_almost_full_19 (joined (portRef Q (instanceRef timer_lvl1_almost_full_19)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) )) (net timer_lvl1_almost_full_s_20 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_19)) @@ -591782,7 +591763,7 @@ (net timer_lvl1_almost_full_20 (joined (portRef Q (instanceRef timer_lvl1_almost_full_20)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) )) (net timer_lvl1_almost_full_s_21 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_cry_0_21)) @@ -591791,7 +591772,7 @@ (net timer_lvl1_almost_full_21 (joined (portRef Q (instanceRef timer_lvl1_almost_full_21)) (portRef A0 (instanceRef timer_lvl1_almost_full_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) )) (net timer_lvl1_almost_full_s_22 (joined (portRef S1 (instanceRef timer_lvl1_almost_full_cry_0_21)) @@ -591800,7 +591781,7 @@ (net timer_lvl1_almost_full_22 (joined (portRef Q (instanceRef timer_lvl1_almost_full_22)) (portRef A1 (instanceRef timer_lvl1_almost_full_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) )) (net timer_lvl1_almost_full_s_23 (joined (portRef S0 (instanceRef timer_lvl1_almost_full_s_0_23)) @@ -591809,14 +591790,14 @@ (net timer_lvl1_almost_full_23 (joined (portRef Q (instanceRef timer_lvl1_almost_full_23)) (portRef A0 (instanceRef timer_lvl1_almost_full_s_0_23)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) )) (net timer_ipu_working_s_0 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_0)) (portRef D (instanceRef timer_ipu_working_0)) )) (net un27_status_ipu_handler_i (joined - (portRef Z (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) + (portRef Z (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) (portRef SP (instanceRef timer_ipu_working_23)) (portRef SP (instanceRef timer_ipu_working_22)) (portRef SP (instanceRef timer_ipu_working_21)) @@ -591845,7 +591826,7 @@ (net timer_ipu_working_0 (joined (portRef Q (instanceRef timer_ipu_working_0)) (portRef A1 (instanceRef timer_ipu_working_cry_0_0)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) )) (net timer_ipu_working_s_1 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_1)) @@ -591854,7 +591835,7 @@ (net timer_ipu_working_1 (joined (portRef Q (instanceRef timer_ipu_working_1)) (portRef A0 (instanceRef timer_ipu_working_cry_0_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) )) (net timer_ipu_working_s_2 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_1)) @@ -591863,7 +591844,7 @@ (net timer_ipu_working_2 (joined (portRef Q (instanceRef timer_ipu_working_2)) (portRef A1 (instanceRef timer_ipu_working_cry_0_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) )) (net timer_ipu_working_s_3 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_3)) @@ -591872,7 +591853,7 @@ (net timer_ipu_working_3 (joined (portRef Q (instanceRef timer_ipu_working_3)) (portRef A0 (instanceRef timer_ipu_working_cry_0_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) )) (net timer_ipu_working_s_4 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_3)) @@ -591881,7 +591862,7 @@ (net timer_ipu_working_4 (joined (portRef Q (instanceRef timer_ipu_working_4)) (portRef A1 (instanceRef timer_ipu_working_cry_0_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) )) (net timer_ipu_working_s_5 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_5)) @@ -591890,7 +591871,7 @@ (net timer_ipu_working_5 (joined (portRef Q (instanceRef timer_ipu_working_5)) (portRef A0 (instanceRef timer_ipu_working_cry_0_5)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) )) (net timer_ipu_working_s_6 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_5)) @@ -591899,7 +591880,7 @@ (net timer_ipu_working_6 (joined (portRef Q (instanceRef timer_ipu_working_6)) (portRef A1 (instanceRef timer_ipu_working_cry_0_5)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) )) (net timer_ipu_working_s_7 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_7)) @@ -591908,7 +591889,7 @@ (net timer_ipu_working_7 (joined (portRef Q (instanceRef timer_ipu_working_7)) (portRef A0 (instanceRef timer_ipu_working_cry_0_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) )) (net timer_ipu_working_s_8 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_7)) @@ -591917,7 +591898,7 @@ (net timer_ipu_working_8 (joined (portRef Q (instanceRef timer_ipu_working_8)) (portRef A1 (instanceRef timer_ipu_working_cry_0_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) )) (net timer_ipu_working_s_9 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_9)) @@ -591926,7 +591907,7 @@ (net timer_ipu_working_9 (joined (portRef Q (instanceRef timer_ipu_working_9)) (portRef A0 (instanceRef timer_ipu_working_cry_0_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) )) (net timer_ipu_working_s_10 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_9)) @@ -591935,7 +591916,7 @@ (net timer_ipu_working_10 (joined (portRef Q (instanceRef timer_ipu_working_10)) (portRef A1 (instanceRef timer_ipu_working_cry_0_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) )) (net timer_ipu_working_s_11 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_11)) @@ -591944,7 +591925,7 @@ (net timer_ipu_working_11 (joined (portRef Q (instanceRef timer_ipu_working_11)) (portRef A0 (instanceRef timer_ipu_working_cry_0_11)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) )) (net timer_ipu_working_s_12 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_11)) @@ -591953,7 +591934,7 @@ (net timer_ipu_working_12 (joined (portRef Q (instanceRef timer_ipu_working_12)) (portRef A1 (instanceRef timer_ipu_working_cry_0_11)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) )) (net timer_ipu_working_s_13 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_13)) @@ -591962,7 +591943,7 @@ (net timer_ipu_working_13 (joined (portRef Q (instanceRef timer_ipu_working_13)) (portRef A0 (instanceRef timer_ipu_working_cry_0_13)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) )) (net timer_ipu_working_s_14 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_13)) @@ -591971,7 +591952,7 @@ (net timer_ipu_working_14 (joined (portRef Q (instanceRef timer_ipu_working_14)) (portRef A1 (instanceRef timer_ipu_working_cry_0_13)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) )) (net timer_ipu_working_s_15 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_15)) @@ -591980,7 +591961,7 @@ (net timer_ipu_working_15 (joined (portRef Q (instanceRef timer_ipu_working_15)) (portRef A0 (instanceRef timer_ipu_working_cry_0_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) )) (net timer_ipu_working_s_16 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_15)) @@ -591989,7 +591970,7 @@ (net timer_ipu_working_16 (joined (portRef Q (instanceRef timer_ipu_working_16)) (portRef A1 (instanceRef timer_ipu_working_cry_0_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) )) (net timer_ipu_working_s_17 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_17)) @@ -591998,7 +591979,7 @@ (net timer_ipu_working_17 (joined (portRef Q (instanceRef timer_ipu_working_17)) (portRef A0 (instanceRef timer_ipu_working_cry_0_17)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) )) (net timer_ipu_working_s_18 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_17)) @@ -592007,7 +591988,7 @@ (net timer_ipu_working_18 (joined (portRef Q (instanceRef timer_ipu_working_18)) (portRef A1 (instanceRef timer_ipu_working_cry_0_17)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) )) (net timer_ipu_working_s_19 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_19)) @@ -592016,7 +591997,7 @@ (net timer_ipu_working_19 (joined (portRef Q (instanceRef timer_ipu_working_19)) (portRef A0 (instanceRef timer_ipu_working_cry_0_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) )) (net timer_ipu_working_s_20 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_19)) @@ -592025,7 +592006,7 @@ (net timer_ipu_working_20 (joined (portRef Q (instanceRef timer_ipu_working_20)) (portRef A1 (instanceRef timer_ipu_working_cry_0_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) )) (net timer_ipu_working_s_21 (joined (portRef S0 (instanceRef timer_ipu_working_cry_0_21)) @@ -592034,7 +592015,7 @@ (net timer_ipu_working_21 (joined (portRef Q (instanceRef timer_ipu_working_21)) (portRef A0 (instanceRef timer_ipu_working_cry_0_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) )) (net timer_ipu_working_s_22 (joined (portRef S1 (instanceRef timer_ipu_working_cry_0_21)) @@ -592043,7 +592024,7 @@ (net timer_ipu_working_22 (joined (portRef Q (instanceRef timer_ipu_working_22)) (portRef A1 (instanceRef timer_ipu_working_cry_0_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) )) (net timer_ipu_working_s_23 (joined (portRef S0 (instanceRef timer_ipu_working_s_0_23)) @@ -592052,23 +592033,14 @@ (net timer_ipu_working_23 (joined (portRef Q (instanceRef timer_ipu_working_23)) (portRef A0 (instanceRef timer_ipu_working_s_0_23)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) )) (net timer_ipu_waiting_s_0 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_0)) (portRef D (instanceRef timer_ipu_waiting_0)) )) - (net timer_ipu_waiting_0 (joined - (portRef Q (instanceRef timer_ipu_waiting_0)) - (portRef A1 (instanceRef timer_ipu_waiting_cry_0_0)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) - )) - (net timer_ipu_waiting_s_1 (joined - (portRef S0 (instanceRef timer_ipu_waiting_cry_0_1)) - (portRef D (instanceRef timer_ipu_waiting_1)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i) + (net un35_status_ipu_handler_i (joined + (portRef Z (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) (portRef SP (instanceRef timer_ipu_waiting_23)) (portRef SP (instanceRef timer_ipu_waiting_22)) (portRef SP (instanceRef timer_ipu_waiting_21)) @@ -592094,10 +592066,19 @@ (portRef SP (instanceRef timer_ipu_waiting_1)) (portRef SP (instanceRef timer_ipu_waiting_0)) )) + (net timer_ipu_waiting_0 (joined + (portRef Q (instanceRef timer_ipu_waiting_0)) + (portRef A1 (instanceRef timer_ipu_waiting_cry_0_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + )) + (net timer_ipu_waiting_s_1 (joined + (portRef S0 (instanceRef timer_ipu_waiting_cry_0_1)) + (portRef D (instanceRef timer_ipu_waiting_1)) + )) (net timer_ipu_waiting_1 (joined (portRef Q (instanceRef timer_ipu_waiting_1)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) )) (net timer_ipu_waiting_s_2 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_1)) @@ -592106,7 +592087,7 @@ (net timer_ipu_waiting_2 (joined (portRef Q (instanceRef timer_ipu_waiting_2)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_1)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) )) (net timer_ipu_waiting_s_3 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_3)) @@ -592115,7 +592096,7 @@ (net timer_ipu_waiting_3 (joined (portRef Q (instanceRef timer_ipu_waiting_3)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) )) (net timer_ipu_waiting_s_4 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_3)) @@ -592124,7 +592105,7 @@ (net timer_ipu_waiting_4 (joined (portRef Q (instanceRef timer_ipu_waiting_4)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) )) (net timer_ipu_waiting_s_5 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_5)) @@ -592133,7 +592114,7 @@ (net timer_ipu_waiting_5 (joined (portRef Q (instanceRef timer_ipu_waiting_5)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) )) (net timer_ipu_waiting_s_6 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_5)) @@ -592142,7 +592123,7 @@ (net timer_ipu_waiting_6 (joined (portRef Q (instanceRef timer_ipu_waiting_6)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) )) (net timer_ipu_waiting_s_7 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_7)) @@ -592151,7 +592132,7 @@ (net timer_ipu_waiting_7 (joined (portRef Q (instanceRef timer_ipu_waiting_7)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) )) (net timer_ipu_waiting_s_8 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_7)) @@ -592160,7 +592141,7 @@ (net timer_ipu_waiting_8 (joined (portRef Q (instanceRef timer_ipu_waiting_8)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) )) (net timer_ipu_waiting_s_9 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_9)) @@ -592169,7 +592150,7 @@ (net timer_ipu_waiting_9 (joined (portRef Q (instanceRef timer_ipu_waiting_9)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) )) (net timer_ipu_waiting_s_10 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_9)) @@ -592178,7 +592159,7 @@ (net timer_ipu_waiting_10 (joined (portRef Q (instanceRef timer_ipu_waiting_10)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_9)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) )) (net timer_ipu_waiting_s_11 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_11)) @@ -592187,7 +592168,7 @@ (net timer_ipu_waiting_11 (joined (portRef Q (instanceRef timer_ipu_waiting_11)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) )) (net timer_ipu_waiting_s_12 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_11)) @@ -592196,7 +592177,7 @@ (net timer_ipu_waiting_12 (joined (portRef Q (instanceRef timer_ipu_waiting_12)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_11)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) )) (net timer_ipu_waiting_s_13 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_13)) @@ -592205,7 +592186,7 @@ (net timer_ipu_waiting_13 (joined (portRef Q (instanceRef timer_ipu_waiting_13)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) )) (net timer_ipu_waiting_s_14 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_13)) @@ -592214,7 +592195,7 @@ (net timer_ipu_waiting_14 (joined (portRef Q (instanceRef timer_ipu_waiting_14)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) )) (net timer_ipu_waiting_s_15 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_15)) @@ -592223,7 +592204,7 @@ (net timer_ipu_waiting_15 (joined (portRef Q (instanceRef timer_ipu_waiting_15)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) )) (net timer_ipu_waiting_s_16 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_15)) @@ -592232,7 +592213,7 @@ (net timer_ipu_waiting_16 (joined (portRef Q (instanceRef timer_ipu_waiting_16)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) )) (net timer_ipu_waiting_s_17 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_17)) @@ -592241,7 +592222,7 @@ (net timer_ipu_waiting_17 (joined (portRef Q (instanceRef timer_ipu_waiting_17)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) )) (net timer_ipu_waiting_s_18 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_17)) @@ -592250,7 +592231,7 @@ (net timer_ipu_waiting_18 (joined (portRef Q (instanceRef timer_ipu_waiting_18)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_17)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) )) (net timer_ipu_waiting_s_19 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_19)) @@ -592259,7 +592240,7 @@ (net timer_ipu_waiting_19 (joined (portRef Q (instanceRef timer_ipu_waiting_19)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) )) (net timer_ipu_waiting_s_20 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_19)) @@ -592268,7 +592249,7 @@ (net timer_ipu_waiting_20 (joined (portRef Q (instanceRef timer_ipu_waiting_20)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) )) (net timer_ipu_waiting_s_21 (joined (portRef S0 (instanceRef timer_ipu_waiting_cry_0_21)) @@ -592277,7 +592258,7 @@ (net timer_ipu_waiting_21 (joined (portRef Q (instanceRef timer_ipu_waiting_21)) (portRef A0 (instanceRef timer_ipu_waiting_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) )) (net timer_ipu_waiting_s_22 (joined (portRef S1 (instanceRef timer_ipu_waiting_cry_0_21)) @@ -592286,7 +592267,7 @@ (net timer_ipu_waiting_22 (joined (portRef Q (instanceRef timer_ipu_waiting_22)) (portRef A1 (instanceRef timer_ipu_waiting_cry_0_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) )) (net timer_ipu_waiting_s_23 (joined (portRef S0 (instanceRef timer_ipu_waiting_s_0_23)) @@ -592295,7 +592276,7 @@ (net timer_ipu_waiting_23 (joined (portRef Q (instanceRef timer_ipu_waiting_23)) (portRef A0 (instanceRef timer_ipu_waiting_s_0_23)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) )) (net timer_ipu_idle_s_0 (joined (portRef S1 (instanceRef timer_ipu_idle_cry_0_0)) @@ -592303,6 +592284,7 @@ )) (net un4_status_ipu_handler_i_1 (joined (portRef Z (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) + (portRef B (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) (portRef SP (instanceRef timer_ipu_idle_23)) (portRef SP (instanceRef timer_ipu_idle_22)) (portRef SP (instanceRef timer_ipu_idle_21)) @@ -592783,13 +592765,15 @@ (portRef A0 (instanceRef timer_fifo_almost_full_0_s_0_23)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) )) - (net fee_trg_statusbitsd_0_20 (joined - (portRef Z (instanceRef fee_trg_statusbitsd_20)) - (portRef D (instanceRef fee_trg_statusbits_20)) + (net lvl1_error_pattern_i_20 (joined + (portRef Q (instanceRef fee_trg_statusbits_20)) + (portRef A (instanceRef fee_trg_statusbits_RNO_20)) + (portRef (member lvl1_error_pattern_i 1)) )) - (net fee_trg_statusbitsd_0_21 (joined - (portRef Z (instanceRef fee_trg_statusbitsd_21)) - (portRef D (instanceRef fee_trg_statusbits_21)) + (net lvl1_error_pattern_i_21 (joined + (portRef Q (instanceRef fee_trg_statusbits_21)) + (portRef A (instanceRef fee_trg_statusbits_RNO_21)) + (portRef (member lvl1_error_pattern_i 0)) )) (net fee_trg_released_0_0 (joined (portRef Z (instanceRef fee_trg_released_0)) @@ -592817,7 +592801,7 @@ (portRef Q (instanceRef STATISTICS_READY_OUT)) (portRef stat_buffer_ready) )) - (net N_30_i_0 (joined + (net N_40_i_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_0)) )) @@ -592852,7 +592836,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_0)) (portRef (member stat_buffer_i 23)) )) - (net N_5522_i (joined + (net N_31_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_1)) )) @@ -592860,7 +592844,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_1)) (portRef (member stat_buffer_i 22)) )) - (net N_5521_i (joined + (net N_20_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_2)) )) @@ -592868,7 +592852,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_2)) (portRef (member stat_buffer_i 21)) )) - (net N_4567_i (joined + (net N_4504_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_3)) )) @@ -592876,7 +592860,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_3)) (portRef (member stat_buffer_i 20)) )) - (net N_4629_i (joined + (net N_27_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_4)) )) @@ -592884,7 +592868,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_4)) (portRef (member stat_buffer_i 19)) )) - (net N_4566_i (joined + (net N_18_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_5)) )) @@ -592892,7 +592876,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_5)) (portRef (member stat_buffer_i 18)) )) - (net N_28_i (joined + (net N_25_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_6)) )) @@ -592900,7 +592884,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_6)) (portRef (member stat_buffer_i 17)) )) - (net N_4565_i (joined + (net N_4613_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_7)) )) @@ -592908,7 +592892,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_7)) (portRef (member stat_buffer_i 16)) )) - (net N_4564_i (joined + (net N_4644_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_8)) )) @@ -592916,7 +592900,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_8)) (portRef (member stat_buffer_i 15)) )) - (net N_4628_i (joined + (net N_4643_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_9)) )) @@ -592924,7 +592908,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_9)) (portRef (member stat_buffer_i 14)) )) - (net N_4563_i (joined + (net N_4642_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_10)) )) @@ -592932,7 +592916,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_10)) (portRef (member stat_buffer_i 13)) )) - (net N_17_i (joined + (net N_4641_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_11)) )) @@ -592940,7 +592924,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_11)) (portRef (member stat_buffer_i 12)) )) - (net N_4627_i (joined + (net N_4640_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_12)) )) @@ -592948,7 +592932,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_12)) (portRef (member stat_buffer_i 11)) )) - (net N_4626_i (joined + (net N_4639_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_13)) )) @@ -592956,7 +592940,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_13)) (portRef (member stat_buffer_i 10)) )) - (net N_26_i (joined + (net N_36_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_14)) )) @@ -592964,7 +592948,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_14)) (portRef (member stat_buffer_i 9)) )) - (net N_4625_i (joined + (net N_32_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_15)) )) @@ -592972,7 +592956,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_15)) (portRef (member stat_buffer_i 8)) )) - (net N_24_i (joined + (net N_34_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_16)) )) @@ -592980,7 +592964,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_16)) (portRef (member stat_buffer_i 7)) )) - (net N_4624_i (joined + (net N_30_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_17)) )) @@ -592988,7 +592972,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_17)) (portRef (member stat_buffer_i 6)) )) - (net N_4562_i (joined + (net N_28_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_18)) )) @@ -592996,7 +592980,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_18)) (portRef (member stat_buffer_i 5)) )) - (net N_22_i (joined + (net N_4564_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_19)) )) @@ -593004,7 +592988,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_19)) (portRef (member stat_buffer_i 4)) )) - (net N_20_i (joined + (net N_26_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_20)) )) @@ -593012,7 +592996,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_20)) (portRef (member stat_buffer_i 3)) )) - (net N_4516_i (joined + (net N_9_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_21)) )) @@ -593020,7 +593004,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_21)) (portRef (member stat_buffer_i 2)) )) - (net N_7_i (joined + (net N_24_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_22)) )) @@ -593028,7 +593012,7 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_22)) (portRef (member stat_buffer_i 1)) )) - (net N_4515_i (joined + (net N_19_i (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_23)) )) @@ -593036,6 +593020,30 @@ (portRef Q (instanceRef STATISTICS_DATA_OUT_1_23)) (portRef (member stat_buffer_i 0)) )) + (net regio_addr_out_0 (joined + (portRef (member regio_addr_out 4)) + (portRef A (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) + (portRef A (instanceRef un1_statistics_data_out59_i_0_0_a8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3)) + (portRef D (instanceRef STATISTICS_READY_OUT_RNO)) + )) + (net regio_addr_out_1 (joined + (portRef (member regio_addr_out 3)) + (portRef B (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) + (portRef B (instanceRef un1_statistics_data_out59_i_0_0_a8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1)) + (portRef B (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3)) + (portRef C (instanceRef STATISTICS_READY_OUT_RNO)) + )) (net GND (joined (portRef GND) (portRef GND (instanceRef THE_IPU_HANDLER)) @@ -593419,114 +593427,122 @@ (portRef C0 (instanceRef timer_fifo_almost_full_0_cry_0_0)) (portRef A0 (instanceRef timer_fifo_almost_full_0_cry_0_0)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_o3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_o3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) (portRef A (instanceRef STATISTICS_READY_OUTc)) )) (net stat_handler_i_0 (joined (portRef Q (instanceRef fee_trg_release_0)) - (portRef D (instanceRef fee_trg_release_RNIIKG41_0)) + (portRef D (instanceRef proc_trg_release_un4_reset_i)) (portRef B (instanceRef fee_trg_released_0)) - (portRef A (instanceRef LVL1_TRG_RELEASE_OUT)) + (portRef A (instanceRef LVL1_TRG_RELEASE_OUT_0_a2)) (portRef (member stat_handler_i 1)) )) (net stat_handler_i_1 (joined (portRef Q (instanceRef fee_trg_release_1)) - (portRef C (instanceRef fee_trg_release_RNIIKG41_0)) + (portRef C (instanceRef proc_trg_release_un4_reset_i)) (portRef C (instanceRef fee_trg_released_1)) - (portRef B (instanceRef LVL1_TRG_RELEASE_OUT)) + (portRef B (instanceRef LVL1_TRG_RELEASE_OUT_0_a2)) (portRef (member stat_handler_i 0)) )) - (net LVL1_TRG_RELEASE_OUT (joined - (portRef Z (instanceRef LVL1_TRG_RELEASE_OUT)) - (portRef LVL1_TRG_RELEASE_OUT) + (net LVL1_TRG_RELEASE_OUT_0_a2 (joined + (portRef Z (instanceRef LVL1_TRG_RELEASE_OUT_0_a2)) + (portRef LVL1_TRG_RELEASE_OUT_0_a2) )) - (net reset_ipu_i_0 (joined - (portRef Z (instanceRef reset_ipu_i)) - (portRef reset_ipu_i_0 (instanceRef THE_IPU_HANDLER)) - (portRef reset_ipu_i_0 (instanceRef THE_DATA_HANDLER)) + (net reset_ipu_i_0_i_1 (joined + (portRef Z (instanceRef reset_ipu_i_0_i_0_o3)) + (portRef reset_ipu_i_0_i_1 (instanceRef THE_IPU_HANDLER)) + (portRef reset_ipu_i_0_i_1 (instanceRef THE_DATA_HANDLER)) )) - (net timer_ipu_waiting (joined - (portRef Z (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i_0)) - (portRef B0 (instanceRef timer_ipu_waiting_cry_0_0)) + (net regio_addr_out_2 (joined + (portRef (member regio_addr_out 2)) + (portRef C (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) + (portRef C (instanceRef STATISTICS_READY_OUTc)) + (portRef C (instanceRef un1_statistics_data_out59_i_0_0_a8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1)) + (portRef B (instanceRef STATISTICS_READY_OUT_RNO)) )) - (net N_254 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (net N_350 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_1)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (net N_347 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) )) (net timer_lvl1_working (joined (portRef Z (instanceRef timer_lvl1_working_cry_0_RNO_0)) @@ -593534,136 +593550,145 @@ )) (net current_state_1 (joined (portRef current_state_1 (instanceRef THE_IPU_HANDLER)) - (portRef B (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_0)) (portRef A (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) - (portRef B (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) + (portRef B (instanceRef timer_ipu_idle_cry_0_RNO_0)) (portRef current_state_1) )) - (net current_state_4 (joined - (portRef current_state_4 (instanceRef THE_IPU_HANDLER)) - (portRef B (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) - (portRef D (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) - (portRef current_state_4) - )) - (net m161_2_03 (joined - (portRef m161_2_03 (instanceRef THE_IPU_HANDLER)) - (portRef C (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) - (portRef A (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) - (portRef m161_2_03) - )) (net common_ctrl_reg_2 (joined (portRef (member common_ctrl_reg 0)) (portRef (member common_ctrl_reg 0) (instanceRef THE_IPU_HANDLER)) (portRef (member common_ctrl_reg 0) (instanceRef THE_DATA_HANDLER)) - (portRef A (instanceRef reset_ipu_i_0_i_2)) - (portRef A (instanceRef reset_ipu_i_0_i_1)) - (portRef A (instanceRef reset_ipu_i_0_i_iso)) - (portRef A (instanceRef reset_ipu_i_0_i)) - (portRef A (instanceRef reset_ipu_i)) - )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef B (instanceRef reset_ipu_i_0_i)) - )) - (net reset_ipu_i_0_i (joined - (portRef Z (instanceRef reset_ipu_i_0_i)) - (portRef reset_ipu_i_0_i (instanceRef THE_DATA_HANDLER)) + (portRef A (instanceRef reset_ipu_i_0_i_0_o3_2)) + (portRef A (instanceRef reset_ipu_i_0_i_0_o3_1)) + (portRef A (instanceRef reset_ipu_i_0_i_1_i)) + (portRef A (instanceRef reset_ipu_i_0_i_0_o3)) )) - (net current_state_0 (joined - (portRef current_state_0 (instanceRef THE_IPU_HANDLER)) - (portRef A (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_0)) - (portRef C (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_RNO)) - (portRef current_state_0) + (net reset_i_fast_r10 (joined + (portRef reset_i_fast_r10) + (portRef reset_i_fast_r10 (instanceRef THE_IPU_HANDLER)) + (portRef reset_i_fast_r10 (instanceRef THE_DATA_HANDLER)) + (portRef B (instanceRef reset_ipu_i_0_i_0_o3_2)) + (portRef B (instanceRef reset_ipu_i_0_i_0_o3_1)) + (portRef B (instanceRef reset_ipu_i_0_i_1_i)) + (portRef B (instanceRef reset_ipu_i_0_i_0_o3)) + )) + (net reset_ipu_i_0_i_1_i (joined + (portRef Z (instanceRef reset_ipu_i_0_i_1_i)) + (portRef reset_ipu_i_0_i_1_i (instanceRef THE_IPU_HANDLER)) + (portRef reset_ipu_i_0_i_1_i (instanceRef THE_DATA_HANDLER)) )) - (net timer_ipu_idle (joined - (portRef Z (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_0)) - (portRef B0 (instanceRef timer_ipu_idle_cry_0_0)) + (net N_352 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) + (net N_351 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_a3_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) )) (net regio_addr_out_4 (joined (portRef (member regio_addr_out 0)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_1)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_18)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_4)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_21)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_9)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) - (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_13)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_16)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_11)) + (portRef D (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) (portRef D (instanceRef un1_statistics_data_out59_i_0_0_a8)) - (portRef D (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) + (portRef D (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) (portRef A (instanceRef STATISTICS_READY_OUT_RNO)) )) - (net N_253 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_10_3_1456_i_i_0_a3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) - (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) + (net N_346 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_10_1610_i_i_0_0_a3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) + (portRef A (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) )) - (net N_6121 (joined + (net N_6155 (joined (portRef Z (instanceRef un1_statistics_data_out59_i_0_0_a8)) (portRef B (instanceRef un1_statistics_data_out59_i_0_0_a8_RNILVQB)) (portRef A (instanceRef un1_statistics_data_out59_i_0_0)) @@ -593673,101 +593698,101 @@ (portRef B (instanceRef STATISTICS_READY_OUTc)) (portRef D (instanceRef STATISTICS_UNKNOWN_OUT)) )) - (net STATISTICS_DATA_OUT_10_11_1152_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_10_1192_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) + )) + (net STATISTICS_DATA_OUT_10_11_1154_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_11)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) )) - (net STATISTICS_DATA_OUT_10_6_1342_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_16)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + (net STATISTICS_DATA_OUT_10_8_1268_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) )) - (net STATISTICS_DATA_OUT_10_9_1228_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_13)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + (net STATISTICS_DATA_OUT_10_19_850_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) )) - (net STATISTICS_DATA_OUT_10_12_1114_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_7_1306_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + )) + (net STATISTICS_DATA_OUT_10_12_1116_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_10)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) )) - (net STATISTICS_DATA_OUT_10_2_1494_i_i_0_0 (joined + (net STATISTICS_DATA_OUT_10_17_926_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) + )) + (net STATISTICS_DATA_OUT_10_6_1344_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_16)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + )) + (net STATISTICS_DATA_OUT_10_22_736_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) + )) + (net STATISTICS_DATA_OUT_10_2_1496_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_20)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) )) - (net STATISTICS_DATA_OUT_10_13_1076_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_9_1230_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_13)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + )) + (net STATISTICS_DATA_OUT_10_14_1040_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) + )) + (net STATISTICS_DATA_OUT_10_13_1078_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_9)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) )) - (net STATISTICS_DATA_OUT_10_16_962_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) + (net STATISTICS_DATA_OUT_10_20_812_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) )) - (net STATISTICS_DATA_OUT_10_1608_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) + (net STATISTICS_DATA_OUT_10_0_1572_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) )) - (net STATISTICS_DATA_OUT_10_1_1532_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_21)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) + (net STATISTICS_DATA_OUT_10_15_1002_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) + )) + (net STATISTICS_DATA_OUT_10_3_1458_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) )) - (net STATISTICS_DATA_OUT_10_5_1380_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_5_1382_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_17)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_19)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) + (net STATISTICS_DATA_OUT_10_1_1534_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_21)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) )) - (net STATISTICS_DATA_OUT_10_18_886_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_18_888_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_4)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) )) - (net STATISTICS_DATA_OUT_10_17_924_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_5)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) - )) - (net STATISTICS_DATA_OUT_10_4_1418_i_i_0_0_0 (joined + (net STATISTICS_DATA_OUT_10_4_1420_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_18)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) )) - (net STATISTICS_DATA_OUT_10_20_810_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_2)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) - )) - (net STATISTICS_DATA_OUT_10_15_1000_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_7)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) - )) - (net STATISTICS_DATA_OUT_10_0_1570_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_22)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) - )) - (net STATISTICS_DATA_OUT_10_8_1266_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_14)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) - )) - (net STATISTICS_DATA_OUT_10_19_848_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) - )) - (net STATISTICS_DATA_OUT_10_10_1190_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_12)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) + (net STATISTICS_DATA_OUT_10_16_964_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_6)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) )) - (net STATISTICS_DATA_OUT_10_21_772_i_i_0_0 (joined + (net STATISTICS_DATA_OUT_10_21_774_i_i_0_0_0 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_1)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) )) - (net STATISTICS_DATA_OUT_10_22_734_i_i_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) - )) - (net STATISTICS_DATA_OUT_10_14_1038_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) - )) - (net STATISTICS_DATA_OUT_10_7_1304_i_i_0_0_0 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_15)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_0 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_2_23)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) )) (net regio_addr_out_3 (joined (portRef (member regio_addr_out 1)) @@ -593778,362 +593803,338 @@ (portRef Z (instanceRef proc_buf_status_un16_dbuf_data_in_0_i)) (portRef un16_dbuf_data_in_0_i) )) - (net STATISTICS_DATA_OUT_10_11_1152_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) + (net STATISTICS_DATA_OUT_10_10_1192_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) )) - (net STATISTICS_DATA_OUT_10_11_1152_i_i_0_0_2 (joined + (net STATISTICS_DATA_OUT_10_10_1192_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + )) + (net STATISTICS_DATA_OUT_10_11_1154_i_i_0_0_1 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_11)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) )) - (net STATISTICS_DATA_OUT_10_6_1342_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) - )) - (net STATISTICS_DATA_OUT_10_6_1342_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) - )) - (net STATISTICS_DATA_OUT_10_9_1228_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + (net STATISTICS_DATA_OUT_10_11_1154_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_11)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) )) - (net STATISTICS_DATA_OUT_10_9_1228_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) + (net STATISTICS_DATA_OUT_10_8_1268_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) )) - (net STATISTICS_DATA_OUT_10_12_1114_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) + (net STATISTICS_DATA_OUT_10_8_1268_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) )) - (net STATISTICS_DATA_OUT_10_12_1114_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) + (net STATISTICS_DATA_OUT_10_19_850_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) )) - (net STATISTICS_DATA_OUT_10_2_1494_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) + (net STATISTICS_DATA_OUT_10_19_850_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) )) - (net STATISTICS_DATA_OUT_10_2_1494_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) + (net STATISTICS_DATA_OUT_10_7_1306_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) )) - (net STATISTICS_DATA_OUT_10_13_1076_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) + (net STATISTICS_DATA_OUT_10_7_1306_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) )) - (net STATISTICS_DATA_OUT_10_13_1076_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) + (net STATISTICS_DATA_OUT_10_12_1116_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_10)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) )) - (net STATISTICS_DATA_OUT_10_16_962_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + (net STATISTICS_DATA_OUT_10_12_1116_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_10)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) )) - (net STATISTICS_DATA_OUT_10_16_962_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + (net STATISTICS_DATA_OUT_10_17_926_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) )) - (net STATISTICS_DATA_OUT_10_1608_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) + (net STATISTICS_DATA_OUT_10_17_926_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) )) - (net STATISTICS_DATA_OUT_10_1608_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) + (net STATISTICS_DATA_OUT_10_6_1344_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_16)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) )) - (net STATISTICS_DATA_OUT_10_1_1532_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) + (net STATISTICS_DATA_OUT_10_6_1344_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_16)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) )) - (net STATISTICS_DATA_OUT_10_1_1532_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) + (net STATISTICS_DATA_OUT_10_22_736_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) )) - (net STATISTICS_DATA_OUT_10_5_1380_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) + (net STATISTICS_DATA_OUT_10_22_736_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) )) - (net STATISTICS_DATA_OUT_10_5_1380_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) + (net STATISTICS_DATA_OUT_10_2_1496_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_20)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + (net STATISTICS_DATA_OUT_10_2_1496_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_20)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) + (net STATISTICS_DATA_OUT_10_9_1230_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_13)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) )) - (net STATISTICS_DATA_OUT_10_18_886_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) + (net STATISTICS_DATA_OUT_10_9_1230_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_13)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) )) - (net STATISTICS_DATA_OUT_10_18_886_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) + (net STATISTICS_DATA_OUT_10_14_1040_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) )) - (net STATISTICS_DATA_OUT_10_17_924_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_5)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) + (net STATISTICS_DATA_OUT_10_14_1040_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) )) - (net STATISTICS_DATA_OUT_10_17_924_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_5)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) + (net STATISTICS_DATA_OUT_10_13_1078_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_9)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) )) - (net STATISTICS_DATA_OUT_10_4_1418_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) + (net STATISTICS_DATA_OUT_10_13_1078_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_9)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) )) - (net STATISTICS_DATA_OUT_10_4_1418_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) + (net STATISTICS_DATA_OUT_10_20_812_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) )) - (net STATISTICS_DATA_OUT_10_20_810_i_i_0_1 (joined + (net STATISTICS_DATA_OUT_10_20_812_i_i_0_0_2 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_2)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) )) - (net STATISTICS_DATA_OUT_10_20_810_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_2)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) + (net STATISTICS_DATA_OUT_10_0_1572_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) )) - (net STATISTICS_DATA_OUT_10_15_1000_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) + (net STATISTICS_DATA_OUT_10_0_1572_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) )) - (net STATISTICS_DATA_OUT_10_15_1000_i_i_0_0_2 (joined + (net STATISTICS_DATA_OUT_10_15_1002_i_i_0_0_1 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_7)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) )) - (net STATISTICS_DATA_OUT_10_0_1570_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_22)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) + (net STATISTICS_DATA_OUT_10_15_1002_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_7)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) )) - (net STATISTICS_DATA_OUT_10_0_1570_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_22)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) + (net STATISTICS_DATA_OUT_10_3_1458_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_19)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) )) - (net STATISTICS_DATA_OUT_10_8_1266_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_14)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) + (net STATISTICS_DATA_OUT_10_3_1458_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_19)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) )) - (net STATISTICS_DATA_OUT_10_8_1266_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_14)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) + (net STATISTICS_DATA_OUT_10_5_1382_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_17)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) )) - (net STATISTICS_DATA_OUT_10_19_848_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) + (net STATISTICS_DATA_OUT_10_5_1382_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_17)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) )) - (net STATISTICS_DATA_OUT_10_19_848_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_3)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) + (net STATISTICS_DATA_OUT_10_1_1534_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_21)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) )) - (net STATISTICS_DATA_OUT_10_10_1190_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_12)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + (net STATISTICS_DATA_OUT_10_1_1534_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_21)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) )) - (net STATISTICS_DATA_OUT_10_10_1190_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_12)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) + (net STATISTICS_DATA_OUT_10_18_888_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_4)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) )) - (net STATISTICS_DATA_OUT_10_21_772_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) + (net STATISTICS_DATA_OUT_10_18_888_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_4)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) + )) + (net STATISTICS_DATA_OUT_10_4_1420_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_18)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) )) - (net STATISTICS_DATA_OUT_10_21_772_i_i_0_2 (joined + (net STATISTICS_DATA_OUT_10_4_1420_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_18)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) + )) + (net STATISTICS_DATA_OUT_10_16_964_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_6)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + )) + (net STATISTICS_DATA_OUT_10_16_964_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_6)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + )) + (net STATISTICS_DATA_OUT_10_21_774_i_i_0_0_1 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_1)) (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) )) - (net STATISTICS_DATA_OUT_10_22_734_i_i_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + (net STATISTICS_DATA_OUT_10_21_774_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_1)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) )) - (net STATISTICS_DATA_OUT_10_22_734_i_i_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_0)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_1 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_23)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) )) - (net STATISTICS_DATA_OUT_10_14_1038_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_2 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_23)) + (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) )) - (net STATISTICS_DATA_OUT_10_14_1038_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_8)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) + (net m161_2_03 (joined + (portRef m161_2_03 (instanceRef THE_IPU_HANDLER)) + (portRef C (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) + (portRef C (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) + (portRef m161_2_03) )) - (net STATISTICS_DATA_OUT_10_7_1304_i_i_0_0_1 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_0_15)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + (net un27_status_ipu_handler_i_0 (joined + (portRef Z (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) + (portRef C (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) )) - (net STATISTICS_DATA_OUT_10_7_1304_i_i_0_0_2 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_3_15)) - (portRef B (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) + (net STATISTICS_DATA_OUT_10_10_1192_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) )) - (net STATISTICS_DATA_OUT_10_11_1152_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_11_1154_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_11)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_11)) )) - (net STATISTICS_DATA_OUT_10_6_1342_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) + (net STATISTICS_DATA_OUT_10_8_1268_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) )) - (net STATISTICS_DATA_OUT_10_9_1228_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + (net STATISTICS_DATA_OUT_10_19_850_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) )) - (net STATISTICS_DATA_OUT_10_12_1114_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_7_1306_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + )) + (net STATISTICS_DATA_OUT_10_12_1116_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_10)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_10)) )) - (net STATISTICS_DATA_OUT_10_2_1494_i_i_0_4 (joined + (net STATISTICS_DATA_OUT_10_17_926_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) + )) + (net STATISTICS_DATA_OUT_10_6_1344_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_16)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_16)) + )) + (net STATISTICS_DATA_OUT_10_22_736_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + )) + (net STATISTICS_DATA_OUT_10_2_1496_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_20)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_20)) )) - (net STATISTICS_DATA_OUT_10_13_1076_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_9_1230_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_13)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_13)) + )) + (net STATISTICS_DATA_OUT_10_14_1040_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + )) + (net STATISTICS_DATA_OUT_10_13_1078_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_9)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_9)) )) - (net STATISTICS_DATA_OUT_10_16_962_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) + (net STATISTICS_DATA_OUT_10_20_812_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) )) - (net STATISTICS_DATA_OUT_10_1608_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) + (net STATISTICS_DATA_OUT_10_0_1572_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) )) - (net STATISTICS_DATA_OUT_10_1_1532_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) + (net STATISTICS_DATA_OUT_10_15_1002_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) )) - (net STATISTICS_DATA_OUT_10_5_1380_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_3_1458_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + )) + (net STATISTICS_DATA_OUT_10_5_1382_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_17)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_17)) )) - (net STATISTICS_DATA_OUT_10_3_1456_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_19)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_19)) + (net STATISTICS_DATA_OUT_10_1_1534_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_21)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_21)) )) - (net STATISTICS_DATA_OUT_10_18_886_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_18_888_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_4)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_4)) )) - (net STATISTICS_DATA_OUT_10_17_924_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_5)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_5)) - )) - (net STATISTICS_DATA_OUT_10_4_1418_i_i_0_0_4 (joined + (net STATISTICS_DATA_OUT_10_4_1420_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_18)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_18)) )) - (net STATISTICS_DATA_OUT_10_20_810_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_2)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_2)) - )) - (net STATISTICS_DATA_OUT_10_15_1000_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_7)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_7)) - )) - (net STATISTICS_DATA_OUT_10_0_1570_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_22)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_22)) - )) - (net STATISTICS_DATA_OUT_10_8_1266_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_14)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_14)) - )) - (net STATISTICS_DATA_OUT_10_19_848_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_3)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_3)) - )) - (net STATISTICS_DATA_OUT_10_10_1190_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_12)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_12)) + (net STATISTICS_DATA_OUT_10_16_964_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_6)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_6)) )) - (net STATISTICS_DATA_OUT_10_21_772_i_i_0_4 (joined + (net STATISTICS_DATA_OUT_10_21_774_i_i_0_0_3 (joined (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_1)) (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_1)) )) - (net STATISTICS_DATA_OUT_10_22_734_i_i_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_0)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_0)) + (net STATISTICS_DATA_OUT_10_1610_i_i_0_0_3 (joined + (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_23)) + (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_23)) )) - (net STATISTICS_DATA_OUT_10_14_1038_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_8)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_8)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef THE_IPU_HANDLER)) + (portRef A (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) + (portRef A (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) )) - (net STATISTICS_DATA_OUT_10_7_1304_i_i_0_0_4 (joined - (portRef Z (instanceRef STATISTICS_DATA_OUT_1_RNO_1_15)) - (portRef C (instanceRef STATISTICS_DATA_OUT_1_RNO_15)) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1) + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef THE_IPU_HANDLER)) + (portRef B (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) + (portRef B (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) )) (net m166_2_03_4_i_4 (joined (portRef m166_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef C (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) - (portRef A (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i_0)) + (portRef D (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_1)) + (portRef C (instanceRef the_ipu_stat_proc_un35_status_ipu_handler_i)) (portRef m166_2_03_4_i_4) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef B (instanceRef reset_ipu_i_0_i_iso)) - )) - (net reset_ipu_i_0_i_iso (joined - (portRef Z (instanceRef reset_ipu_i_0_i_iso)) - (portRef reset_ipu_i_0_i_iso (instanceRef THE_IPU_HANDLER)) - (portRef reset_ipu_i_0_i_iso (instanceRef THE_DATA_HANDLER)) - )) - (net dat_lvl1_release (joined - (portRef dat_lvl1_release (instanceRef THE_DATA_HANDLER)) - (portRef C (instanceRef fee_trg_statusbitsd_20)) - (portRef C (instanceRef fee_trg_statusbitsd_21)) - (portRef A (instanceRef fee_trg_released_1)) - )) - (net un4_reset_0_i (joined - (portRef Z (instanceRef fee_trg_release_RNIIKG41_0)) - (portRef B (instanceRef fee_trg_statusbitsd_20)) - (portRef B (instanceRef fee_trg_statusbitsd_21)) - (portRef A (instanceRef fee_trg_released_0)) - (portRef B (instanceRef fee_trg_released_1)) - )) (net fee_trg_release_i (joined (portRef fee_trg_release_i) (portRef C (instanceRef fee_trg_released_0)) )) - (net reset_ipu_i_0_i_1 (joined - (portRef Z (instanceRef reset_ipu_i_0_i_1)) - (portRef reset_ipu_i_0_i_1 (instanceRef THE_DATA_HANDLER)) - )) - (net reset_i_1 (joined - (portRef reset_i_1) - (portRef B (instanceRef reset_ipu_i_0_i_2)) - (portRef B (instanceRef reset_ipu_i_0_i_1)) - )) - (net reset_ipu_i_0_i_2 (joined - (portRef Z (instanceRef reset_ipu_i_0_i_2)) - (portRef reset_ipu_i_0_i_2 (instanceRef THE_DATA_HANDLER)) - )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef reset_i_fast_r7 (instanceRef THE_DATA_HANDLER)) - (portRef A (instanceRef fee_trg_release_RNIIKG41_0)) - (portRef B (instanceRef reset_ipu_i)) - )) - (net lvl1_error_pattern_i_21 (joined - (portRef Q (instanceRef fee_trg_statusbits_21)) - (portRef A (instanceRef fee_trg_statusbitsd_21)) - (portRef (member lvl1_error_pattern_i 0)) + (net reset_ipu_i_0_i_1_1 (joined + (portRef Z (instanceRef reset_ipu_i_0_i_0_o3_1)) + (portRef reset_ipu_i_0_i_1_1 (instanceRef THE_DATA_HANDLER)) )) - (net dat_lvl1_statusbits_21 (joined - (portRef (member dat_lvl1_statusbits 0) (instanceRef THE_DATA_HANDLER)) - (portRef D (instanceRef fee_trg_statusbitsd_21)) + (net reset_ipu_i_0_i_1_2 (joined + (portRef Z (instanceRef reset_ipu_i_0_i_0_o3_2)) + (portRef reset_ipu_i_0_i_1_2 (instanceRef THE_DATA_HANDLER)) )) - (net lvl1_error_pattern_i_20 (joined - (portRef Q (instanceRef fee_trg_statusbits_20)) - (portRef A (instanceRef fee_trg_statusbitsd_20)) - (portRef (member lvl1_error_pattern_i 1)) - )) - (net dat_lvl1_statusbits_20 (joined - (portRef (member dat_lvl1_statusbits 1) (instanceRef THE_DATA_HANDLER)) - (portRef D (instanceRef fee_trg_statusbitsd_20)) + (net N_6492 (joined + (portRef Z (instanceRef proc_trg_release_un4_reset_i_o3)) + (portRef N_6492 (instanceRef THE_DATA_HANDLER)) + (portRef B (instanceRef proc_trg_release_un4_reset_i)) )) (net timer_fifo_almost_full_0_cry_0 (joined (portRef COUT (instanceRef timer_fifo_almost_full_0_cry_0_0)) @@ -595247,42 +595248,29 @@ (portRef m129_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m129_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) )) - (net trg_spurious_trg_i (joined - (portRef trg_spurious_trg_i) - (portRef trg_spurious_trg_i (instanceRef THE_DATA_HANDLER)) - )) - (net int_lvl1_long_trg (joined - (portRef int_lvl1_long_trg) - (portRef int_lvl1_long_trg (instanceRef THE_DATA_HANDLER)) - )) - (net lvl1_valid_i_0 (joined - (portRef lvl1_valid_i_0) - (portRef lvl1_valid_i_0 (instanceRef THE_DATA_HANDLER)) - (portRef B (instanceRef fee_trg_release_RNIIKG41_0)) - )) - (net trg_timeout_detected_i (joined - (portRef trg_timeout_detected_i) - (portRef trg_timeout_detected_i (instanceRef THE_DATA_HANDLER)) - )) (net trg_spike_detected_i (joined (portRef trg_spike_detected_i) (portRef trg_spike_detected_i (instanceRef THE_DATA_HANDLER)) )) - (net trg_multiple_trg_i (joined - (portRef trg_multiple_trg_i) - (portRef trg_multiple_trg_i (instanceRef THE_DATA_HANDLER)) - )) (net trg_missing_tmg_trg_i (joined (portRef trg_missing_tmg_trg_i) (portRef trg_missing_tmg_trg_i (instanceRef THE_DATA_HANDLER)) )) + (net int_lvl1_long_trg (joined + (portRef int_lvl1_long_trg) + (portRef int_lvl1_long_trg (instanceRef THE_DATA_HANDLER)) + )) (net trg_data_valid_i (joined (portRef trg_data_valid_i) (portRef trg_data_valid_i (instanceRef THE_DATA_HANDLER)) )) - (net m128_0_03_1_1 (joined - (portRef m128_0_03_1_1 (instanceRef THE_DATA_HANDLER)) - (portRef m128_0_03_1_1) + (net fee_data_finished_i (joined + (portRef fee_data_finished_i) + (portRef fee_data_finished_i (instanceRef THE_DATA_HANDLER)) + )) + (net N_277 (joined + (portRef N_277 (instanceRef THE_DATA_HANDLER)) + (portRef N_277) )) (net fee_data_write_i (joined (portRef fee_data_write_i) @@ -595316,22 +595304,42 @@ (portRef m136_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m136_2_03_2_i_4) )) - (net fee_data_finished_i (joined - (portRef fee_data_finished_i) - (portRef fee_data_finished_i (instanceRef THE_DATA_HANDLER)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef reset_i_fast_r7 (instanceRef THE_DATA_HANDLER)) + (portRef A (instanceRef proc_trg_release_un4_reset_i)) + )) + (net trg_multiple_trg_i (joined + (portRef trg_multiple_trg_i) + (portRef trg_multiple_trg_i (instanceRef THE_DATA_HANDLER)) + )) + (net trg_spurious_trg_i (joined + (portRef trg_spurious_trg_i) + (portRef trg_spurious_trg_i (instanceRef THE_DATA_HANDLER)) + )) + (net trg_timeout_detected_i (joined + (portRef trg_timeout_detected_i) + (portRef trg_timeout_detected_i (instanceRef THE_DATA_HANDLER)) )) - (net DAT_DATA_READ_OUT_0_RNIPO254_0 (joined - (portRef (member dat_data_read_out_0_rnipo254 0) (instanceRef THE_IPU_HANDLER)) - (portRef (member dat_data_read_out_0_rnipo254 0)) + (net trg_notiming_valid_i (joined + (portRef trg_notiming_valid_i) + (portRef trg_notiming_valid_i (instanceRef THE_DATA_HANDLER)) + (portRef A (instanceRef proc_trg_release_un4_reset_i_o3)) + )) + (net trg_timing_valid_i (joined + (portRef trg_timing_valid_i) + (portRef trg_timing_valid_i (instanceRef THE_DATA_HANDLER)) + (portRef B (instanceRef proc_trg_release_un4_reset_i_o3)) + )) + (net trg_invalid_i (joined + (portRef trg_invalid_i) + (portRef trg_invalid_i (instanceRef THE_DATA_HANDLER)) + (portRef C (instanceRef proc_trg_release_un4_reset_i_o3)) )) (net port_select_int_0 (joined (portRef (member port_select_int 0)) (portRef (member port_select_int 0) (instanceRef THE_IPU_HANDLER)) )) - (net DAT_DATA_READ_OUT_0_0 (joined - (portRef (member dat_data_read_out_0 0) (instanceRef THE_IPU_HANDLER)) - (portRef (member dat_data_read_out_0 0)) - )) (net ipu_length_i_0 (joined (portRef (member ipu_length_i 15) (instanceRef THE_IPU_HANDLER)) (portRef (member ipu_length_i 15)) @@ -595396,6 +595404,10 @@ (portRef (member ipu_length_i 0) (instanceRef THE_IPU_HANDLER)) (portRef (member ipu_length_i 0)) )) + (net dat_fifo_select_0 (joined + (portRef (member dat_fifo_select 0) (instanceRef THE_IPU_HANDLER)) + (portRef (member dat_fifo_select 0)) + )) (net ipu_error_pattern_i_27 (joined (portRef (member ipu_error_pattern_i 0) (instanceRef THE_IPU_HANDLER)) (portRef (member ipu_error_pattern_i 0)) @@ -595528,58 +595540,32 @@ (portRef (member ipu_data_i 0) (instanceRef THE_IPU_HANDLER)) (portRef (member ipu_data_i 0)) )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0)) - (portRef (member buf_apl_dataready_in 0) (instanceRef THE_IPU_HANDLER)) - )) - (net waiting_word_RNIIPH92 (joined - (portRef waiting_word_RNIIPH92) - (portRef waiting_word_RNIIPH92 (instanceRef THE_IPU_HANDLER)) - (portRef A (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i)) - )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1) - (portRef m6_6_03_1_1 (instanceRef THE_IPU_HANDLER)) - )) - (net m1_6_03_1_0_0 (joined - (portRef m1_6_03_1_0_0) - (portRef m1_6_03_1_0_0 (instanceRef THE_IPU_HANDLER)) - )) - (net m1_6_03 (joined - (portRef m1_6_03) - (portRef m1_6_03 (instanceRef THE_IPU_HANDLER)) - )) - (net reset_i_fast_r8 (joined - (portRef reset_i_fast_r8) - (portRef reset_i_fast_r8 (instanceRef THE_IPU_HANDLER)) - )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef reset_i_rep1 (instanceRef THE_IPU_HANDLER)) + (net dat_fifo_finished_0 (joined + (portRef (member dat_fifo_finished 0) (instanceRef THE_IPU_HANDLER)) + (portRef (member dat_fifo_finished 0)) )) - (net N_4877 (joined - (portRef N_4877) - (portRef N_4877 (instanceRef THE_IPU_HANDLER)) + (net current_state_4 (joined + (portRef current_state_4 (instanceRef THE_IPU_HANDLER)) + (portRef B (instanceRef the_ipu_stat_proc_un4_status_ipu_handler_i_1)) + (portRef current_state_4) )) (net reset_i_rep2 (joined (portRef reset_i_rep2) (portRef reset_i_rep2 (instanceRef THE_IPU_HANDLER)) (portRef reset_i_rep2 (instanceRef THE_DATA_HANDLER)) )) + (net d_N_6 (joined + (portRef d_N_6 (instanceRef THE_IPU_HANDLER)) + (portRef d_N_6) + )) + (net m6_6_03_1_1 (joined + (portRef m6_6_03_1_1) + (portRef m6_6_03_1_1 (instanceRef THE_IPU_HANDLER)) + )) (net ipu_dataready_i (joined (portRef ipu_dataready_i (instanceRef THE_IPU_HANDLER)) (portRef ipu_dataready_i) )) - (net m169_2_03_4_i_4 (joined - (portRef m169_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m169_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m169_2_03_4_i_4) - )) - (net m168_2_03_4_i_4 (joined - (portRef m168_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m168_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m168_2_03_4_i_4) - )) (net m171_2_03_4_i_4 (joined (portRef m171_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m171_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) @@ -595590,19 +595576,24 @@ (portRef m170_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m170_2_03_4_i_4) )) - (net waiting_word (joined - (portRef waiting_word) - (portRef waiting_word (instanceRef THE_IPU_HANDLER)) + (net m169_2_03_4_i_4 (joined + (portRef m169_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m169_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m169_2_03_4_i_4) + )) + (net m168_2_03_4_i_4 (joined + (portRef m168_2_03_4_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m168_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m168_2_03_4_i_4) + )) + (net first_fifo_read_RNIS8RB (joined + (portRef first_fifo_read_RNIS8RB (instanceRef THE_IPU_HANDLER)) + (portRef first_fifo_read_RNIS8RB) )) (net ipu_start_readout_i (joined (portRef ipu_start_readout_i) (portRef ipu_start_readout_i (instanceRef THE_IPU_HANDLER)) )) - (net m177_2_03_2_i_4 (joined - (portRef m177_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m177_2_03_2_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m177_2_03_2_i_4) - )) (net m178_2_03_2_i_4 (joined (portRef m178_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m178_2_03_2_i_4 (instanceRef THE_IPU_HANDLER)) @@ -595618,66 +595609,63 @@ (portRef m180_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m180_2_03_1_i_4) )) - (net m182_2_03_1_i_4 (joined - (portRef m182_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m182_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m182_2_03_1_i_4) + (net m181_2_03_1_i_4 (joined + (portRef m181_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m181_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m181_2_03_1_i_4) )) (net m183_2_03_1_i_4 (joined (portRef m183_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m183_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m183_2_03_1_i_4) )) - (net m181_2_03_1_i_4 (joined - (portRef m181_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) - (portRef m181_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m181_2_03_1_i_4) + (net m182_2_03_1_i_4 (joined + (portRef m182_2_03_1_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m182_2_03_1_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m182_2_03_1_i_4) + )) + (net m177_2_03_2_i_4 (joined + (portRef m177_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) + (portRef m177_2_03_2_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m177_2_03_2_i_4) )) (net m176_2_03_2_i_4 (joined (portRef m176_2_03_2_i_4 (instanceRef THE_DATA_HANDLER)) (portRef m176_2_03_2_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m176_2_03_2_i_4) )) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0 (joined + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0 (instanceRef THE_IPU_HANDLER)) + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0) + )) + (net N_132 (joined + (portRef N_132 (instanceRef THE_IPU_HANDLER)) + (portRef A (instanceRef the_ipu_stat_proc_un27_status_ipu_handler_i_0)) + (portRef N_132) + )) (net m173_2_03_3_i_4 (joined (portRef m173_2_03_3_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m173_2_03_3_i_4) )) - (net first_fifo_read (joined - (portRef first_fifo_read (instanceRef THE_IPU_HANDLER)) - (portRef first_fifo_read) - )) (net ipu_readout_finished_i (joined (portRef ipu_readout_finished_i (instanceRef THE_IPU_HANDLER)) (portRef ipu_readout_finished_i) )) - (net suppress_output_fast (joined - (portRef suppress_output_fast (instanceRef THE_IPU_HANDLER)) - (portRef suppress_output_fast) - )) - (net m165_2_03_4_i_4 (joined - (portRef m165_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) - (portRef m165_2_03_4_i_4) + (net suppress_output (joined + (portRef suppress_output (instanceRef THE_IPU_HANDLER)) + (portRef suppress_output) )) (net m174_2_03_3_i_4 (joined (portRef m174_2_03_3_i_4 (instanceRef THE_IPU_HANDLER)) (portRef m174_2_03_3_i_4) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef reset_i_fast_r5 (instanceRef THE_IPU_HANDLER)) - (portRef reset_i_fast_r5 (instanceRef THE_DATA_HANDLER)) - )) - (net last_second_word_waiting (joined - (portRef last_second_word_waiting) - (portRef last_second_word_waiting (instanceRef THE_IPU_HANDLER)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef THE_IPU_HANDLER)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef reset_i_fast_r4 (instanceRef THE_IPU_HANDLER)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef reset_i_rep1_1 (instanceRef THE_IPU_HANDLER)) + (net m165_2_03_4_i_4 (joined + (portRef m165_2_03_4_i_4 (instanceRef THE_IPU_HANDLER)) + (portRef m165_2_03_4_i_4) )) ) ) @@ -595685,128 +595673,114 @@ (cell trb_net16_regio_bus_handlerZ0 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename dat_data_read_out_0_rnipo254 "DAT_DATA_READ_OUT_0_RNIPO254(0:0)") 1) (direction INPUT)) + (port (array (rename reg_enable_pattern "reg_enable_pattern(1:1)") 1) (direction INPUT)) + (port (array (rename buf_api_stat_fifo_to_int "buf_api_stat_fifo_to_int(46:46)") 1) (direction INPUT)) + (port (array (rename regio_addr_i_3 "regio_addr_i_3(0:0)") 1) (direction INPUT)) + (port current_state_3 (direction INPUT)) (port current_state_0 (direction INPUT)) - (port current_state_4 (direction INPUT)) - (port current_state_1 (direction INPUT)) (port (array (rename last_write_enable "last_write_enable(5:2)") 4) (direction INPUT)) - (port (array (rename trg_int_number_i "trg_int_number_i(2:0)") 3) (direction INPUT)) + (port stat_header_buffer_level_7 (direction INPUT)) + (port stat_header_buffer_level_4 (direction INPUT)) + (port stat_header_buffer_level_3 (direction INPUT)) + (port stat_header_buffer_level_2 (direction INPUT)) + (port stat_header_buffer_level_8 (direction INPUT)) + (port stat_header_buffer_level_9 (direction INPUT)) + (port stat_header_buffer_level_21 (direction INPUT)) + (port stat_header_buffer_level_16 (direction INPUT)) + (port stat_header_buffer_level_20 (direction INPUT)) + (port stat_header_buffer_level_18 (direction INPUT)) + (port stat_header_buffer_level_17 (direction INPUT)) + (port stat_header_buffer_level_22 (direction INPUT)) + (port stat_header_buffer_level_19 (direction INPUT)) + (port stat_header_buffer_level_5 (direction INPUT)) + (port stat_header_buffer_level_6 (direction INPUT)) + (port stat_header_buffer_level_0 (direction INPUT)) + (port stat_header_buffer_level_1 (direction INPUT)) (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction INPUT)) - (port dbuf_data_in_4 (direction INPUT)) - (port dbuf_data_in_22 (direction INPUT)) - (port dbuf_data_in_21 (direction INPUT)) - (port dbuf_data_in_18 (direction INPUT)) - (port dbuf_data_in_20 (direction INPUT)) - (port dbuf_data_in_19 (direction INPUT)) - (port dbuf_data_in_24 (direction INPUT)) + (port (array (rename trg_int_number_i "trg_int_number_i(3:1)") 3) (direction INPUT)) + (port dbuf_data_in_26 (direction INPUT)) + (port dbuf_data_in_13 (direction INPUT)) (port dbuf_data_in_0 (direction INPUT)) - (port dbuf_data_in_17 (direction INPUT)) - (port dbuf_data_in_27 (direction INPUT)) - (port dbuf_data_in_3 (direction INPUT)) - (port dbuf_data_in_11 (direction INPUT)) + (port dbuf_data_in_24 (direction INPUT)) + (port dbuf_data_in_19 (direction INPUT)) (port dbuf_data_in_25 (direction INPUT)) + (port dbuf_data_in_22 (direction INPUT)) + (port dbuf_data_in_20 (direction INPUT)) + (port dbuf_data_in_21 (direction INPUT)) (port dbuf_data_in_12 (direction INPUT)) + (port dbuf_data_in_17 (direction INPUT)) + (port dbuf_data_in_4 (direction INPUT)) (port dbuf_data_in_7 (direction INPUT)) - (port dbuf_data_in_1 (direction INPUT)) + (port dbuf_data_in_27 (direction INPUT)) (port dbuf_data_in_10 (direction INPUT)) (port dbuf_data_in_2 (direction INPUT)) - (port dbuf_data_in_26 (direction INPUT)) + (port dbuf_data_in_1 (direction INPUT)) + (port dbuf_data_in_3 (direction INPUT)) + (port dbuf_data_in_5 (direction INPUT)) (port dbuf_data_in_16 (direction INPUT)) - (port dbuf_data_in_13 (direction INPUT)) + (port dbuf_data_in_18 (direction INPUT)) + (port dbuf_data_in_11 (direction INPUT)) + (port dbuf_data_in_9 (direction INPUT)) (port dbuf_data_in_8 (direction INPUT)) (port dbuf_data_in_6 (direction INPUT)) - (port dbuf_data_in_5 (direction INPUT)) - (port dbuf_data_in_9 (direction INPUT)) (port (array (rename last_read_enable "last_read_enable(5:3)") 3) (direction INPUT)) (port (array (rename regio_data_in_i "regio_data_in_i(31:0)") 32) (direction OUTPUT)) - (port regio_addr_i_0 (direction INPUT)) - (port regio_addr_i_15 (direction INPUT)) - (port regio_addr_i_14 (direction INPUT)) - (port regio_addr_i_13 (direction INPUT)) - (port regio_addr_i_12 (direction INPUT)) - (port regio_addr_i_11 (direction INPUT)) - (port regio_addr_i_10 (direction INPUT)) - (port regio_addr_i_9 (direction INPUT)) - (port regio_addr_i_8 (direction INPUT)) - (port regio_addr_i_7 (direction INPUT)) - (port regio_addr_i_6 (direction INPUT)) - (port regio_addr_i_5 (direction INPUT)) - (port regio_addr_i_4 (direction INPUT)) - (port regio_addr_i_3 (direction INPUT)) - (port regio_addr_i_2_d0 (direction INPUT)) - (port (array (rename regio_addr_i_2 "regio_addr_i_2(1:1)") 1) (direction INPUT)) + (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:1)") 1) (direction INPUT)) (port (array (rename regio_addr_out "regio_addr_out(15:0)") 16) (direction OUTPUT)) - (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:0)") 2) (direction INPUT)) + (port (array (rename regio_addr_i_5 "regio_addr_i_5(0:0)") 1) (direction INPUT)) (port (array (rename regio_data_out "regio_data_out(31:0)") 32) (direction OUTPUT)) (port (array (rename regio_data_out_i "regio_data_out_i(31:0)") 32) (direction INPUT)) (port (array (rename buf_bus_read_out_q "buf_BUS_READ_OUT_Q(5:3)") 3) (direction OUTPUT)) (port (array (rename buf_bus_write_out_q "buf_BUS_WRITE_OUT_Q(5:2)") 4) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port stat_header_buffer_level_7 (direction INPUT)) - (port stat_header_buffer_level_3 (direction INPUT)) - (port stat_header_buffer_level_4 (direction INPUT)) - (port stat_header_buffer_level_9 (direction INPUT)) - (port stat_header_buffer_level_8 (direction INPUT)) - (port stat_header_buffer_level_20 (direction INPUT)) - (port stat_header_buffer_level_21 (direction INPUT)) - (port stat_header_buffer_level_17 (direction INPUT)) - (port stat_header_buffer_level_19 (direction INPUT)) - (port stat_header_buffer_level_22 (direction INPUT)) - (port stat_header_buffer_level_18 (direction INPUT)) - (port stat_header_buffer_level_16 (direction INPUT)) - (port stat_header_buffer_level_5 (direction INPUT)) - (port stat_header_buffer_level_6 (direction INPUT)) - (port stat_header_buffer_level_0 (direction INPUT)) - (port stat_header_buffer_level_1 (direction INPUT)) - (port stat_header_buffer_level_2 (direction INPUT)) + (port (array (rename dat_fifo_finished "dat_fifo_finished(0:0)") 1) (direction INPUT)) + (port (array (rename dat_fifo_select "dat_fifo_select(0:0)") 1) (direction INPUT)) (port (array (rename regio_data_in "regio_data_in(31:0)") 32) (direction INPUT)) (port port_select_int_0 (direction OUTPUT)) (port (array (rename stat_buffer_i "stat_buffer_i(23:0)") 24) (direction INPUT)) - (port (array (rename dat_data_read_out_0 "DAT_DATA_READ_OUT_0(0:0)") 1) (direction INPUT)) - (port regio_addr_i_0_rep1_1 (direction INPUT)) + (port (array (rename regio_addr_i "regio_addr_i(15:2)") 14) (direction INPUT)) (port m140_2_03 (direction INPUT)) (port m183_2_03_1_i_4 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) - (port d_m5_0_0 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port reset_i_fast_1 (direction INPUT)) + (port d_N_6 (direction INPUT)) + (port d_N_6_0 (direction INPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction INPUT)) (port m171_2_03_4_i_4 (direction INPUT)) - (port m177_2_03_2_i_4 (direction INPUT)) - (port m176_2_03_2_i_4 (direction INPUT)) (port m182_2_03_1_i_4 (direction INPUT)) (port m181_2_03_1_i_4 (direction INPUT)) (port m180_2_03_1_i_4 (direction INPUT)) (port m179_2_03_2_i_4 (direction INPUT)) (port m178_2_03_2_i_4 (direction INPUT)) - (port N_180 (direction INPUT)) - (port N_2135_2 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction INPUT)) - (port N_4877 (direction INPUT)) - (port m1_6_03_1_0_0 (direction OUTPUT)) - (port un12_dat_addr_in_2 (direction INPUT)) + (port m177_2_03_2_i_4 (direction INPUT)) + (port m176_2_03_2_i_4 (direction INPUT)) + (port N_5861 (direction INPUT)) + (port N_5867 (direction INPUT)) + (port N_228_1 (direction INPUT)) + (port N_132 (direction INPUT)) (port dbuf_unknown_addr (direction INPUT)) - (port m128_0_03_1_1 (direction INPUT)) + (port DAT_DATA_READ_OUT_0_m3_0_a2_0 (direction INPUT)) + (port N_277 (direction INPUT)) (port tbuf_dataready (direction INPUT)) (port stat_buffer_ready (direction INPUT)) (port stat_buffer_unknown (direction INPUT)) (port regio_unknown_addr_in (direction INPUT)) - (port m173_2_03_3_i_4 (direction INPUT)) - (port m141_2_03 (direction INPUT)) + (port m169_2_03_4_i_4 (direction INPUT)) + (port m137_2_03_2_i_4 (direction INPUT)) (port m168_2_03_4_i_4 (direction INPUT)) (port m136_2_03_2_i_4 (direction INPUT)) - (port m165_2_03_4_i_4 (direction INPUT)) + (port m166_2_03_4_i_4 (direction INPUT)) (port m174_2_03_3_i_4 (direction INPUT)) (port m142_4_03_1_i_4 (direction INPUT)) - (port m1_6_03 (direction OUTPUT)) - (port m169_2_03_4_i_4 (direction INPUT)) - (port m137_2_03_2_i_4 (direction INPUT)) - (port m166_2_03_4_i_4 (direction INPUT)) - (port first_fifo_read (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) (port m170_2_03_4_i_4 (direction INPUT)) (port m138_2_03_2_i_4 (direction INPUT)) + (port reset_i_fast_r8 (direction INPUT)) + (port m165_2_03_4_i_4 (direction INPUT)) + (port m173_2_03_3_i_4 (direction INPUT)) + (port m141_2_03 (direction INPUT)) (port dbuf_dataready (direction INPUT)) - (port waiting_word (direction INPUT)) (port m6_6_03_1_1 (direction OUTPUT)) + (port un14_dat_addr_in_4 (direction OUTPUT)) + (port un16_dat_addr_in_4 (direction OUTPUT)) (port regio_dataready_i (direction OUTPUT)) (port regio_nomoredata_i (direction OUTPUT)) (port regio_unknown_addr_i (direction OUTPUT)) @@ -595820,51 +595794,53 @@ (port regio_no_more_data_in (direction INPUT)) (port GND (direction INPUT)) (port regio_write_ack_in (direction INPUT)) - (port regio_write_enable_i (direction INPUT)) - (port un20_dat_addr_in_1 (direction INPUT)) (port regio_read_enable_i (direction INPUT)) + (port regio_write_enable_i (direction INPUT)) (port dbuf_read_enable_i (direction OUTPUT)) (port dbuf_read_enable (direction OUTPUT)) ) (contents (instance buf_BUS_READ_OUT_RNIBOQD_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance buf_BUS_READ_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance buf_BUS_WRITE_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) - (instance buf_BUS_WRITE_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance buf_BUS_READ_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance proc_port_select_gen_port_select_6_un23_dat_addr_in_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m13_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m20_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m20_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m31_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m17_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m30_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m13_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m15_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m30_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m17_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m6_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m28_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -595873,32 +595849,26 @@ (instance proc_reg_output_signals_un45_dat_data_out_m29_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m15_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m6_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m5_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m31_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) (instance DAT_WRITE_ACK_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) @@ -595909,11 +595879,8 @@ (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) ) (instance port_select_int_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) @@ -596117,9 +596084,12 @@ ) (instance DAT_DATAREADY_OUT (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_port_select_gen_port_select_3_un16_dat_addr_in_4_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) @@ -596138,35 +596108,35 @@ (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) (instance DAT_WRITE_ACK_OUTc_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) + (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance proc_reg_output_signals_DAT_DATAREADY_OUT_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m9_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m6_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (!B A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m138_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (!B !A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m5_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m8_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (!B A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m6_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m9_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (!B A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m8_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m141_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m133_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (!B A))")) ) (instance buf_BUS_ADDR_OUT_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m13_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C (!B A))")) + (instance proc_reg_output_signals_un45_dat_data_out_m138_4_03 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A))")) ) (instance proc_port_select_gen_port_select_6_un23_dat_addr_in_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) @@ -596174,34 +596144,25 @@ (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) - (instance proc_port_select_gen_port_select_4_un18_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) (instance buf_BUS_ADDR_OUT_1_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m26_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (B A)+C B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m14_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (C (B+A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m5_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m3_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -596210,60 +596171,42 @@ (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m14_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (C (B+A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m5_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m27_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m6_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A))+D (!C (B A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m13_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (B A)+C B))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m12_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m25_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m11_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m3_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C (B A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m27_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m17_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m24_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m12_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m20_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) - ) (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) @@ -596274,6 +596217,12 @@ (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance proc_reg_output_signals_un45_dat_data_out_m25_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) + ) (instance proc_reg_output_signals_DAT_DATAREADY_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B A)+D (!C (B+A)+C (!B A)))")) ) @@ -596285,23 +596234,29 @@ ) (instance proc_reg_output_signals_DAT_DATAREADY_OUT_4_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance proc_reg_output_signals_un45_dat_data_out_m128_4_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A))+D (!C+(B+A)))")) + (property lut_function (string "(!D (!C+(B+A))+D (C (B+A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m24_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (B !A)+C B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A))+D (C (!B+!A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m13_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B+A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m26_6_03_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) (instance proc_port_select_gen_port_select_3_un16_dat_addr_in_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) + (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) @@ -596311,35 +596266,41 @@ (instance proc_port_select_gen_port_select_6_un23_dat_addr_in_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) + (instance proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + ) (instance proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B !A))+D (!C !B))")) + (instance proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) (instance proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) @@ -596352,53 +596313,47 @@ (property lut_function (string "(!D C+D (C+(B !A)))")) ) (instance proc_port_select_gen_port_select_1_un12_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) + (property lut_function (string "(C (B A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !C+D (!C (!B+A)))")) ) (instance proc_reg_output_signals_DAT_DATAREADY_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance proc_rw_signals_buf_BUS_READ_OUT_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (property lut_function (string "(C (B A))")) ) - (instance proc_rw_signals_buf_BUS_READ_OUT_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance next_port_select_int_5_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A))")) ) - (instance proc_rw_signals_port_select_int_3_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance proc_port_select_gen_port_select_3_un16_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance next_port_select_int_5_sqmuxa_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A)))")) + (instance proc_port_select_gen_port_select_2_un14_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) (instance proc_port_select_gen_port_select_5_un20_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) - ) - (instance proc_port_select_gen_port_select_4_un18_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance proc_port_select_gen_port_select_3_un16_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_port_select_gen_port_select_4_un18_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance proc_port_select_gen_port_select_2_un14_dat_addr_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_m4_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B !A)+D (!C (!B !A)))")) ) (instance proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance proc_reg_output_signals_un45_dat_data_out_OUT16_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_OUT17_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) + ) (instance proc_reg_output_signals_un45_dat_data_out_OUT18_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) + (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_OUT19_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) @@ -596413,7 +596368,7 @@ (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_OUT5_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C+(!B A)))")) + (property lut_function (string "(!D (C+(!B+A))+D (!B+A))")) ) (instance proc_reg_output_signals_un45_dat_data_out_OUT6_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C+(!B A)))")) @@ -596424,14 +596379,8 @@ (instance proc_reg_output_signals_un45_dat_data_out_OUT9_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C+(!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT16_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (C+(!B+A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT17_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance proc_rw_signals_buf_BUS_WRITE_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance proc_rw_signals_buf_BUS_WRITE_OUT_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) @@ -596442,6 +596391,9 @@ (instance proc_rw_signals_buf_BUS_WRITE_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance proc_rw_signals_buf_BUS_READ_OUT_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) @@ -596451,8 +596403,11 @@ (instance proc_rw_signals_buf_BUS_READ_OUT_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) (instance DAT_DATA_OUT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B A)))")) + (property lut_function (string "(!D (C+(B+A))+D (C+B))")) ) (instance DAT_DATA_OUT_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) @@ -596460,23 +596415,17 @@ (instance DAT_DATA_OUT_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A))+D (C+B))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT4_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B A))+D (!C+B))")) - ) (instance proc_reg_output_signals_un45_dat_data_out_OUT0_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B+A))+D (!B+A))")) ) (instance next_port_select_int_5_sqmuxa_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance proc_reg_output_signals_un45_dat_data_out_OUT7_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (!B+!A))+D (C+(B+!A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_OUT4_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (!C+(!B+A)))")) ) (instance proc_reg_output_signals_un45_dat_data_out_OUT1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (C+B))")) + (property lut_function (string "(!D (!C !B+C (!B+A))+D (!C+(!B+A)))")) ) (instance proc_rw_signals_port_select_int_3_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (!B A)))")) @@ -596484,14 +596433,20 @@ (instance proc_rw_signals_port_select_int_3_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (!B !A)))")) ) - (instance proc_rw_signals_port_select_int_3_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance proc_rw_signals_buf_BUS_WRITE_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B+!A))+D (!C !B+C (B !A)))")) ) - (instance proc_rw_signals_buf_BUS_READ_OUT_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance proc_reg_output_signals_un45_dat_data_out_OUT7_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C !B+C (B A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C (B !A)+C (!B !A)))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_OUT2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B+!A))+D (C+(!B+A)))")) ) (instance DAT_DATA_OUT_RNO_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+!B)+D (!C !A+C (!B !A)))")) @@ -596517,6 +596472,12 @@ (instance proc_reg_output_signals_un45_dat_data_out_OUT3_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C !B+C (!B+!A)))")) ) + (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B+C (!B !A))+D (!C !B))")) + ) + (instance proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B A)))")) + ) (instance proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B+!A))+D (!C !B))")) ) @@ -596526,58 +596487,105 @@ (instance port_select_inte_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance port_select_inte_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) (instance port_select_inte_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_port_select_gen_port_select_2_un14_dat_addr_in_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance port_select_inte_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) (instance proc_port_select_gen_port_select_1_un12_dat_addr_in_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) + (instance proc_reg_output_signals_un45_dat_data_out_m10_6_03_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) + ) + (instance proc_rw_signals_port_select_int_3_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + ) + (instance proc_rw_signals_port_select_int_3_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D A)")) + ) (net dbuf_read_enable_i (joined (portRef Z (instanceRef buf_BUS_READ_OUT_RNIBOQD_1)) (portRef dbuf_read_enable_i) )) - (net un20_dat_addr_in_14 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) - (portRef C (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef C (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) - (portRef C (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) - (portRef B (instanceRef buf_BUS_WRITE_OUT_RNO_5)) - (portRef B (instanceRef buf_BUS_READ_OUT_RNO_5)) + (net next_port_select_int_5_sqmuxa_1_2 (joined + (portRef Z (instanceRef next_port_select_int_5_sqmuxa_1_2)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) + (portRef B (instanceRef buf_BUS_WRITE_OUT_RNO_7)) )) - (net un20_dat_addr_in_9 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) - (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - (portRef B (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef B (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) - (portRef C (instanceRef buf_BUS_WRITE_OUT_RNO_5)) - (portRef C (instanceRef buf_BUS_READ_OUT_RNO_5)) + (net un16_dat_addr_in (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) + (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_RNO_7)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_3)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_3)) + (portRef C (instanceRef buf_BUS_WRITE_OUT_RNO_7)) )) - (net buf_BUS_READ_OUT_4_5 (joined - (portRef Z (instanceRef buf_BUS_READ_OUT_RNO_5)) - (portRef D (instanceRef buf_BUS_READ_OUT_5)) + (net un14_dat_addr_in (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) + (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_RNO_7)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_2)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_2)) + (portRef D (instanceRef buf_BUS_WRITE_OUT_RNO_7)) )) - (net un20_dat_addr_in_1 (joined - (portRef un20_dat_addr_in_1) - (portRef A (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) - (portRef D (instanceRef buf_BUS_WRITE_OUT_RNO_5)) - (portRef D (instanceRef buf_BUS_READ_OUT_RNO_5)) + (net buf_BUS_WRITE_OUT_4_7 (joined + (portRef Z (instanceRef buf_BUS_WRITE_OUT_RNO_7)) + (portRef D (instanceRef buf_BUS_WRITE_OUT_7)) )) - (net buf_BUS_WRITE_OUT_4_5 (joined - (portRef Z (instanceRef buf_BUS_WRITE_OUT_RNO_5)) - (portRef D (instanceRef buf_BUS_WRITE_OUT_5)) + (net un12_dat_addr_in_9 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) + (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) + (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) + (portRef B (instanceRef buf_BUS_READ_OUT_RNO_1)) + )) + (net un12_dat_addr_in_8 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) + (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) + (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) + (portRef C (instanceRef buf_BUS_READ_OUT_RNO_1)) + )) + (net un12_dat_addr_in_0 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) + (portRef D (instanceRef buf_BUS_READ_OUT_RNO_1)) + )) + (net buf_BUS_READ_OUT_4_1 (joined + (portRef Z (instanceRef buf_BUS_READ_OUT_RNO_1)) + (portRef D (instanceRef buf_BUS_READ_OUT_1)) + )) + (net un23_dat_addr_in_2 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + (portRef A (instanceRef next_port_select_int_5_sqmuxa_1_0)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) )) - (net m1_6_03_m7_0_a2_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) + (net regio_addr_i_6 (joined + (portRef (member regio_addr_i 9)) + (portRef D (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) + (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_6)) + (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + (portRef A (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + )) + (net regio_addr_iZ0Z_5 (joined + (portRef (member regio_addr_i 10)) + (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_5)) + (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + (portRef B (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + )) + (net stat_buffer_i_10 (joined + (portRef (member stat_buffer_i 13)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) )) (net port_select_int_2 (joined (portRef Q (instanceRef port_select_int_2)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) (portRef B (instanceRef port_select_inte_2)) (portRef C (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_bm)) (portRef A (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_am)) @@ -596591,36 +596599,33 @@ (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_2_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_3_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0)) (portRef B (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) (portRef B (instanceRef DAT_WRITE_ACK_OUT_RNO)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m29_6_03_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m28_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m30_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) )) (net port_select_int_1 (joined (portRef Q (instanceRef port_select_int_1)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) (portRef B (instanceRef port_select_inte_1)) (portRef C0 (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6)) (portRef C0 (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_3)) @@ -596630,145 +596635,148 @@ (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_2_1)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1_1)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_3_1)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0)) (portRef C (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) (portRef C (instanceRef DAT_WRITE_ACK_OUT_RNO)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m29_6_03_0)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m28_6_03_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m30_6_03_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_3)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) - )) - (net m1_6_03_m7_0_a2_3 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - )) - (net stat_buffer_i_13 (joined - (portRef (member stat_buffer_i 10)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) )) - (net m13_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) - (portRef D (instanceRef DAT_DATA_OUT_RNO_13)) + (net m10_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_10)) )) - (net stat_buffer_i_22 (joined - (portRef (member stat_buffer_i 1)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) + (net stat_buffer_i_20 (joined + (portRef (member stat_buffer_i 3)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) )) (net port_select_int_0 (joined (portRef Q (instanceRef port_select_int_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) (portRef B (instanceRef port_select_inte_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i_1)) (portRef B (instanceRef DAT_DATA_OUT_RNO_14)) (portRef B (instanceRef DAT_DATA_OUT_RNO_12)) (portRef B (instanceRef DAT_DATA_OUT_RNO_23)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) (portRef SD (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_7)) (portRef C (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_7)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) (portRef A (instanceRef DAT_DATA_OUT_RNO_15)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_4_03)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_4_03)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_4_03)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_4_03)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m133_4_03)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m141_4_03)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_4_03)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_4_03)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_3_0)) (portRef CD (instanceRef DAT_NO_MORE_DATA_OUT)) (portRef CD (instanceRef DAT_WRITE_ACK_OUT)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m29_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m28_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m30_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) (portRef port_select_int_0) )) + (net m20_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + )) + (net stat_buffer_i_19 (joined + (portRef (member stat_buffer_i 4)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + )) + (net m19_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) + )) + (net stat_buffer_i_22 (joined + (portRef (member stat_buffer_i 1)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) + )) (net m22_6_03_2 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) @@ -596781,29 +596789,29 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) )) - (net stat_buffer_i_18 (joined - (portRef (member stat_buffer_i 5)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (net stat_buffer_i_17 (joined + (portRef (member stat_buffer_i 6)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) )) - (net m18_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) + (net m17_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) )) - (net stat_buffer_i_20 (joined - (portRef (member stat_buffer_i 3)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) + (net stat_buffer_i_13 (joined + (portRef (member stat_buffer_i 10)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) )) - (net m20_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (net m13_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_3)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_13)) )) - (net regio_data_in_31 (joined - (portRef (member regio_data_in 0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (net stat_buffer_i_8 (joined + (portRef (member stat_buffer_i 15)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) )) - (net m31_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) - (portRef D (instanceRef DAT_DATA_OUT_31)) + (net m8_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) )) (net regio_data_in_30 (joined (portRef (member regio_data_in 1)) @@ -596813,29 +596821,13 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m30_6_03_0)) (portRef D (instanceRef DAT_DATA_OUT_30)) )) - (net stat_buffer_i_19 (joined - (portRef (member stat_buffer_i 4)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) - )) - (net m19_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) - )) - (net regio_data_in_15 (joined - (portRef (member regio_data_in 16)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - )) - (net m15_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_15)) - )) - (net stat_buffer_i_17 (joined - (portRef (member stat_buffer_i 6)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) + (net stat_buffer_i_6 (joined + (portRef (member stat_buffer_i 17)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) )) - (net m17_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) + (net m6_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0)) )) (net regio_data_in_28 (joined (portRef (member regio_data_in 3)) @@ -596853,29 +596845,21 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m29_6_03_0)) (portRef D (instanceRef DAT_DATA_OUT_29)) )) - (net stat_buffer_i_11 (joined - (portRef (member stat_buffer_i 12)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) - )) - (net m11_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_11)) - )) - (net stat_buffer_i_8 (joined - (portRef (member stat_buffer_i 15)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) + (net regio_data_in_15 (joined + (portRef (member regio_data_in 16)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) )) - (net m8_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) + (net m15_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m15_6_03_0)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_15)) )) - (net stat_buffer_i_6 (joined - (portRef (member stat_buffer_i 17)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) + (net stat_buffer_i_9 (joined + (portRef (member stat_buffer_i 14)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) )) - (net m6_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0)) + (net m9_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) )) (net stat_buffer_i_5 (joined (portRef (member stat_buffer_i 18)) @@ -596885,38 +596869,6 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_3)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0_0)) )) - (net stat_buffer_i_4 (joined - (portRef (member stat_buffer_i 19)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) - )) - (net m4_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) - )) - (net stat_buffer_i_10 (joined - (portRef (member stat_buffer_i 13)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - )) - (net m10_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_3)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_10)) - )) - (net stat_buffer_i_2 (joined - (portRef (member stat_buffer_i 21)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - )) - (net m2_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_3)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) - )) - (net stat_buffer_i_9 (joined - (portRef (member stat_buffer_i 14)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - )) - (net m9_6_03_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) - )) (net stat_buffer_i_16 (joined (portRef (member stat_buffer_i 7)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) @@ -596925,6 +596877,30 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_3)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) )) + (net stat_buffer_i_11 (joined + (portRef (member stat_buffer_i 12)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + )) + (net m11_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_3)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_11)) + )) + (net stat_buffer_i_18 (joined + (portRef (member stat_buffer_i 5)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + )) + (net m18_6_03_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_3)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) + )) + (net regio_data_in_31 (joined + (portRef (member regio_data_in 0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + )) + (net m31_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m31_6_03_0)) + (portRef D (instanceRef DAT_DATA_OUT_31)) + )) (net DAT_WRITE_ACK_OUTc (joined (portRef Z (instanceRef DAT_WRITE_ACK_OUT_RNO)) (portRef D (instanceRef DAT_WRITE_ACK_OUT)) @@ -596940,43 +596916,30 @@ (portRef Z (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) (portRef D (instanceRef DAT_NO_MORE_DATA_OUT)) )) - (net m16_6_03_2_0 (joined + (net m18_6_03_2_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_2_0)) (portRef C (instanceRef DAT_DATA_OUT_RNO_23)) (portRef C (instanceRef DAT_DATA_OUT_RNO_11)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT22_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT20_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT19_0_i)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) )) - (net m12_6_03_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) - (portRef PD (instanceRef DAT_DATA_OUT_31)) - (portRef PD (instanceRef DAT_DATA_OUT_30)) - (portRef PD (instanceRef DAT_DATA_OUT_29)) - (portRef PD (instanceRef DAT_DATA_OUT_28)) - (portRef PD (instanceRef DAT_DATA_OUT_27)) - (portRef PD (instanceRef DAT_DATA_OUT_26)) - (portRef PD (instanceRef DAT_DATA_OUT_25)) - (portRef PD (instanceRef DAT_DATA_OUT_24)) - (portRef PD (instanceRef DAT_DATA_OUT_23)) - (portRef PD (instanceRef DAT_DATA_OUT_15)) - (portRef PD (instanceRef DAT_DATA_OUT_14)) - (portRef PD (instanceRef DAT_DATA_OUT_13)) - (portRef PD (instanceRef DAT_DATA_OUT_12)) - (portRef PD (instanceRef DAT_DATA_OUT_11)) - (portRef PD (instanceRef DAT_DATA_OUT_10)) + (net dat_fifo_select_0 (joined + (portRef (member dat_fifo_select 0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) )) - (net stat_header_buffer_level_2 (joined - (portRef stat_header_buffer_level_2) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) + (net dat_fifo_finished_0 (joined + (portRef (member dat_fifo_finished 0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) )) - (net m2_6_03_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + (net m4_6_03_m6_0_a2_3 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_3)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) )) (net port_select_inte_0_0 (joined (portRef Z (instanceRef port_select_inte_0)) @@ -597146,14 +597109,14 @@ (portRef Q (instanceRef buf_BUS_WRITE_OUT_4)) (portRef (member buf_bus_write_out_q 1)) )) + (net buf_BUS_WRITE_OUT_4_5 (joined + (portRef Z (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_5)) + (portRef D (instanceRef buf_BUS_WRITE_OUT_5)) + )) (net buf_BUS_WRITE_OUT_Q_5 (joined (portRef Q (instanceRef buf_BUS_WRITE_OUT_5)) (portRef (member buf_bus_write_out_q 0)) )) - (net buf_BUS_WRITE_OUT_4_7 (joined - (portRef Z (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) - (portRef D (instanceRef buf_BUS_WRITE_OUT_7)) - )) (net buf_BUS_WRITE_OUT_7 (joined (portRef Q (instanceRef buf_BUS_WRITE_OUT_7)) (portRef B (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_bm)) @@ -597166,10 +597129,6 @@ (portRef Q (instanceRef buf_BUS_READ_OUT_0)) (portRef regio_read_enable_out) )) - (net buf_BUS_READ_OUT_4_1 (joined - (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_1)) - (portRef D (instanceRef buf_BUS_READ_OUT_1)) - )) (net dbuf_read_enable (joined (portRef Q (instanceRef buf_BUS_READ_OUT_1)) (portRef A (instanceRef buf_BUS_READ_OUT_RNIBOQD_1)) @@ -597199,6 +597158,10 @@ (portRef Q (instanceRef buf_BUS_READ_OUT_4)) (portRef (member buf_bus_read_out_q 1)) )) + (net buf_BUS_READ_OUT_4_5 (joined + (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_5)) + (portRef D (instanceRef buf_BUS_READ_OUT_5)) + )) (net buf_BUS_READ_OUT_Q_5 (joined (portRef Q (instanceRef buf_BUS_READ_OUT_5)) (portRef (member buf_bus_read_out_q 0)) @@ -597526,8 +597489,8 @@ (portRef Q (instanceRef buf_BUS_DATA_OUT_31)) (portRef (member regio_data_out 0)) )) - (net regio_addr_i_1_0 (joined - (portRef (member regio_addr_i_1 1)) + (net regio_addr_i_5_0 (joined + (portRef (member regio_addr_i_5 0)) (portRef D (instanceRef buf_BUS_ADDR_OUT_0)) )) (net regio_addr_out_0 (joined @@ -597538,6 +597501,10 @@ (portRef Q (instanceRef buf_BUS_ADDR_OUT_1)) (portRef (member regio_addr_out 14)) )) + (net regio_addr_i_2 (joined + (portRef (member regio_addr_i 13)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_2)) + )) (net regio_addr_out_2 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_2)) (portRef (member regio_addr_out 13)) @@ -597546,6 +597513,13 @@ (portRef Q (instanceRef buf_BUS_ADDR_OUT_3)) (portRef (member regio_addr_out 12)) )) + (net regio_addr_i_4 (joined + (portRef (member regio_addr_i 11)) + (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_4)) + (portRef B (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_4_0_a2)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_4)) + (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + )) (net regio_addr_out_4 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_4)) (portRef (member regio_addr_out 11)) @@ -597558,6 +597532,13 @@ (portRef Q (instanceRef buf_BUS_ADDR_OUT_6)) (portRef (member regio_addr_out 9)) )) + (net regio_addr_i_7 (joined + (portRef (member regio_addr_i 8)) + (portRef B (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) + (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_7)) + (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_0)) + )) (net regio_addr_out_7 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_7)) (portRef (member regio_addr_out 8)) @@ -597570,10 +597551,24 @@ (portRef Q (instanceRef buf_BUS_ADDR_OUT_9)) (portRef (member regio_addr_out 6)) )) + (net regio_addr_i_10 (joined + (portRef (member regio_addr_i 5)) + (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) + (portRef D (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_10)) + (portRef D (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + )) (net regio_addr_out_10 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_10)) (portRef (member regio_addr_out 5)) )) + (net regio_addr_i_11 (joined + (portRef (member regio_addr_i 4)) + (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) + (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_6)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_11)) + (portRef C (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_2)) + )) (net regio_addr_out_11 (joined (portRef Q (instanceRef buf_BUS_ADDR_OUT_11)) (portRef (member regio_addr_out 4)) @@ -597690,15 +597685,33 @@ (portRef Q (instanceRef DAT_DATA_OUT_9)) (portRef (member regio_data_in_i 22)) )) - (net DAT_DATA_OUTs_0_i (joined + (net DAT_DATA_OUTs_1_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_10)) (portRef D (instanceRef DAT_DATA_OUT_10)) )) + (net m12_6_03_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_1)) + (portRef PD (instanceRef DAT_DATA_OUT_31)) + (portRef PD (instanceRef DAT_DATA_OUT_30)) + (portRef PD (instanceRef DAT_DATA_OUT_29)) + (portRef PD (instanceRef DAT_DATA_OUT_28)) + (portRef PD (instanceRef DAT_DATA_OUT_27)) + (portRef PD (instanceRef DAT_DATA_OUT_26)) + (portRef PD (instanceRef DAT_DATA_OUT_25)) + (portRef PD (instanceRef DAT_DATA_OUT_24)) + (portRef PD (instanceRef DAT_DATA_OUT_23)) + (portRef PD (instanceRef DAT_DATA_OUT_15)) + (portRef PD (instanceRef DAT_DATA_OUT_14)) + (portRef PD (instanceRef DAT_DATA_OUT_13)) + (portRef PD (instanceRef DAT_DATA_OUT_12)) + (portRef PD (instanceRef DAT_DATA_OUT_11)) + (portRef PD (instanceRef DAT_DATA_OUT_10)) + )) (net regio_data_in_i_10 (joined (portRef Q (instanceRef DAT_DATA_OUT_10)) (portRef (member regio_data_in_i 21)) )) - (net DAT_DATA_OUTs_1_i (joined + (net DAT_DATA_OUTs_2_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_11)) (portRef D (instanceRef DAT_DATA_OUT_11)) )) @@ -597706,7 +597719,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_11)) (portRef (member regio_data_in_i 20)) )) - (net DAT_DATA_OUTs_2_i (joined + (net DAT_DATA_OUTs_3_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_12)) (portRef D (instanceRef DAT_DATA_OUT_12)) )) @@ -597714,7 +597727,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_12)) (portRef (member regio_data_in_i 19)) )) - (net DAT_DATA_OUTs_3_i (joined + (net DAT_DATA_OUTs_4_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_13)) (portRef D (instanceRef DAT_DATA_OUT_13)) )) @@ -597722,7 +597735,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_13)) (portRef (member regio_data_in_i 18)) )) - (net DAT_DATA_OUTs_4_i (joined + (net DAT_DATA_OUTs_5_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_14)) (portRef D (instanceRef DAT_DATA_OUT_14)) )) @@ -597730,7 +597743,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_14)) (portRef (member regio_data_in_i 17)) )) - (net DAT_DATA_OUTs_5_i (joined + (net DAT_DATA_OUTs_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_15)) (portRef D (instanceRef DAT_DATA_OUT_15)) )) @@ -597794,7 +597807,7 @@ (portRef Q (instanceRef DAT_DATA_OUT_22)) (portRef (member regio_data_in_i 9)) )) - (net DAT_DATA_OUTs_i (joined + (net DAT_DATA_OUTs_0_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_23)) (portRef D (instanceRef DAT_DATA_OUT_23)) )) @@ -597858,36 +597871,17 @@ (portRef Q (instanceRef DAT_DATAREADY_OUT)) (portRef regio_dataready_i) )) - (net regio_addr_i_5 (joined - (portRef regio_addr_i_5) - (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) - (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_5)) - )) - (net regio_addr_i_6 (joined - (portRef regio_addr_i_6) - (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) - (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_6)) - )) - (net un23_dat_addr_in_1 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_1)) - (portRef D (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) - (portRef B (instanceRef next_port_select_int_5_sqmuxa_1_0)) - (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) - (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) - )) - (net regio_addr_i_11 (joined - (portRef regio_addr_i_11) - (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) - (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_6)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_11)) + (net regio_addr_iZ0Z_3 (joined + (portRef (member regio_addr_i 12)) + (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_4)) + (portRef A (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_4_0_a2)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_3)) )) (net regio_addr_i_15 (joined - (portRef regio_addr_i_15) + (portRef (member regio_addr_i 0)) + (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) - (portRef D (instanceRef next_port_select_int_5_sqmuxa_1_0)) - (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef C (instanceRef next_port_select_int_5_sqmuxa_1_0)) (portRef D (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0)) (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0)) @@ -597900,91 +597894,99 @@ )) (net regio_write_enable_i (joined (portRef regio_write_enable_i) - (portRef D (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_2)) (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_3)) (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_4)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_5)) (portRef B (instanceRef buf_BUS_ADDR_OUT_1_sqmuxa_i)) (portRef B (instanceRef buf_BUS_ADDR_OUT_0_sqmuxa)) (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0)) - (portRef A (instanceRef buf_BUS_WRITE_OUT_RNO_5)) + (portRef A (instanceRef buf_BUS_WRITE_OUT_RNO_7)) )) (net regio_read_enable_i (joined (portRef regio_read_enable_i) - (portRef D (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) + (portRef C (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_2)) (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_3)) (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_4)) - (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_1)) - (portRef D (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_5)) + (portRef C (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) (portRef A (instanceRef buf_BUS_ADDR_OUT_1_sqmuxa_i)) (portRef A (instanceRef buf_BUS_ADDR_OUT_0_sqmuxa)) (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0)) - (portRef A (instanceRef buf_BUS_READ_OUT_RNO_5)) + (portRef A (instanceRef buf_BUS_READ_OUT_RNO_1)) )) (net m5_6_03_2_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_3_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i)) (portRef B (instanceRef DAT_DATA_OUT_RNO_0_14)) (portRef A (instanceRef DAT_DATA_OUT_RNO_0_12)) (portRef B (instanceRef DAT_DATA_OUT_RNO_0_23)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) (portRef B (instanceRef DAT_DATA_OUT_RNO_15)) )) (net m6_6_03_0_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) )) - (net d_m5_0_a4_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_0)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) - )) (net DAT_WRITE_ACK_OUTc_1 (joined (portRef Z (instanceRef DAT_WRITE_ACK_OUTc_1)) (portRef A (instanceRef DAT_DATA_OUT_RNO_0_14)) (portRef A (instanceRef DAT_DATA_OUT_RNO_0_23)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_13)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT6_0_i)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + )) + (net stat_buffer_i_1 (joined + (portRef (member stat_buffer_i 22)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_3_0)) + )) + (net m1_6_03_3_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_3_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) )) (net dbuf_dataready (joined (portRef dbuf_dataready) @@ -597994,46 +597996,10 @@ (portRef (member last_read_enable 0)) (portRef C (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_4)) )) - (net N_4420 (joined + (net N_4428 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_4)) (portRef A (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_6)) )) - (net dbuf_data_in_9 (joined - (portRef dbuf_data_in_9) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) - )) - (net regio_data_in_9 (joined - (portRef (member regio_data_in 22)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) - )) - (net m9_4_03_4 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) - )) - (net m138_2_03_2_i_4 (joined - (portRef m138_2_03_2_i_4) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) - )) - (net m170_2_03_4_i_4 (joined - (portRef m170_2_03_4_i_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) - )) - (net m138_4_03_4 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) - (portRef D (instanceRef DAT_DATA_OUT_RNO_10)) - )) - (net dbuf_data_in_5 (joined - (portRef dbuf_data_in_5) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_4_03)) - )) - (net regio_data_in_5 (joined - (portRef (member regio_data_in 26)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_4_03)) - )) - (net m5_4_03_4 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_4_03)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) - )) (net dbuf_data_in_6 (joined (portRef dbuf_data_in_6) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_4_03)) @@ -598058,38 +598024,74 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_4_03)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) )) - (net dbuf_data_in_13 (joined - (portRef dbuf_data_in_13) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_4_03)) + (net dbuf_data_in_9 (joined + (portRef dbuf_data_in_9) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) )) - (net regio_data_in_13 (joined - (portRef (member regio_data_in 18)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_4_03)) + (net regio_data_in_9 (joined + (portRef (member regio_data_in 22)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) )) - (net m13_4_03_4 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_4_03)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_13)) + (net m9_4_03_4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_4_03)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) + )) + (net m141_2_03 (joined + (portRef m141_2_03) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m141_4_03)) + )) + (net m173_2_03_3_i_4 (joined + (portRef m173_2_03_3_i_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m141_4_03)) + )) + (net m141_4_03_4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m141_4_03)) + (portRef D (instanceRef DAT_DATA_OUT_RNO_13)) + )) + (net m165_2_03_4_i_4 (joined + (portRef m165_2_03_4_i_4) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m133_4_03)) + )) + (net trg_int_number_i_1 (joined + (portRef (member trg_int_number_i 2)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m133_4_03)) + )) + (net m133_4_03_4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m133_4_03)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) + )) + (net m138_2_03_2_i_4 (joined + (portRef m138_2_03_2_i_4) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) + )) + (net m170_2_03_4_i_4 (joined + (portRef m170_2_03_4_i_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) + )) + (net m138_4_03_4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m138_4_03)) + (portRef D (instanceRef DAT_DATA_OUT_RNO_10)) )) (net regio_addr_i_9 (joined - (portRef regio_addr_i_9) + (portRef (member regio_addr_i 6)) (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) (portRef A (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_7)) (portRef D (instanceRef buf_BUS_ADDR_OUT_9)) )) (net regio_addr_i_12 (joined - (portRef regio_addr_i_12) + (portRef (member regio_addr_i 3)) (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) (portRef B (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_7)) (portRef D (instanceRef buf_BUS_ADDR_OUT_12)) )) (net regio_addr_i_13 (joined - (portRef regio_addr_i_13) + (portRef (member regio_addr_i 2)) (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) (portRef C (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_7)) (portRef D (instanceRef buf_BUS_ADDR_OUT_13)) )) (net regio_addr_i_14 (joined - (portRef regio_addr_i_14) + (portRef (member regio_addr_i 1)) (portRef D (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) (portRef D (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_7)) (portRef D (instanceRef buf_BUS_ADDR_OUT_14)) @@ -598100,83 +598102,47 @@ (portRef B (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) )) (net regio_addr_i_8 (joined - (portRef regio_addr_i_8) + (portRef (member regio_addr_i 7)) (portRef C (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) (portRef C (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) (portRef D (instanceRef buf_BUS_ADDR_OUT_8)) )) - (net un12_dat_addr_in_8 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_8)) - (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - (portRef B (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) - )) - (net regio_addr_i_2_d0 (joined - (portRef regio_addr_i_2_d0) - (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_2)) - )) - (net regio_addr_i_3 (joined - (portRef regio_addr_i_3) - (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_3)) - )) - (net regio_addr_i_4 (joined - (portRef regio_addr_i_4) - (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_4)) - )) - (net un14_dat_addr_in_1 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - )) - (net regio_addr_i_0 (joined - (portRef regio_addr_i_0) - (portRef A (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in_1)) - )) - (net regio_addr_i_1_1 (joined - (portRef (member regio_addr_i_1 0)) - (portRef B (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in_1)) - (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) - )) - (net regio_addr_i_7 (joined - (portRef regio_addr_i_7) - (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) - (portRef B (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) - (portRef C (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in_1)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_7)) - )) - (net un18_dat_addr_in_1 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in_1)) - (portRef B (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) - )) - (net waiting_word (joined - (portRef waiting_word) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_0)) - )) - (net m166_2_03_4_i_4 (joined - (portRef m166_2_03_4_i_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) - )) - (net m4_6_03_a0_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_1)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) + (net reset_i_fast_r8 (joined + (portRef reset_i_fast_r8) (portRef C (instanceRef buf_BUS_ADDR_OUT_1_sqmuxa_i)) (portRef C (instanceRef buf_BUS_ADDR_OUT_0_sqmuxa)) )) (net buf_BUS_ADDR_OUT_1_sqmuxa_i (joined (portRef Z (instanceRef buf_BUS_ADDR_OUT_1_sqmuxa_i)) - (portRef A (instanceRef port_select_inte_2)) (portRef A (instanceRef port_select_inte_0)) + (portRef A (instanceRef port_select_inte_2)) (portRef A (instanceRef port_select_inte_1)) )) + (net dbuf_data_in_11 (joined + (portRef dbuf_data_in_11) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + )) + (net regio_data_in_11 (joined + (portRef (member regio_data_in 20)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + )) + (net m11_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + (portRef A (instanceRef DAT_DATA_OUT_RNO_11)) + )) + (net dbuf_data_in_18 (joined + (portRef dbuf_data_in_18) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + )) + (net regio_data_in_18 (joined + (portRef (member regio_data_in 13)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + )) + (net m18_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) + )) (net dbuf_data_in_16 (joined (portRef dbuf_data_in_16) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0)) @@ -598189,66 +598155,56 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) )) - (net dbuf_data_in_26 (joined - (portRef dbuf_data_in_26) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) - )) - (net regio_data_in_26 (joined - (portRef (member regio_data_in 5)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) + (net m142_4_03_1_i_4 (joined + (portRef m142_4_03_1_i_4) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) )) (net m6_6_03_1_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_2_1)) (portRef B (instanceRef DAT_DATA_OUT_RNO_0_12)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) (portRef A (instanceRef DAT_DATA_OUT_RNO_10)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) + (portRef A (instanceRef DAT_DATA_OUT_RNO_13)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) (portRef m6_6_03_1_1) )) - (net m137_2_03_2_i_4 (joined - (portRef m137_2_03_2_i_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) - )) - (net m169_2_03_4_i_4 (joined - (portRef m169_2_03_4_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) + (net m174_2_03_3_i_4 (joined + (portRef m174_2_03_3_i_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) )) - (net m9_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) + (net m14_6_03_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_14)) )) - (net dbuf_data_in_2 (joined - (portRef dbuf_data_in_2) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) + (net dbuf_data_in_5 (joined + (portRef dbuf_data_in_5) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) )) - (net regio_data_in_2 (joined - (portRef (member regio_data_in 29)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) + (net regio_data_in_5 (joined + (portRef (member regio_data_in 26)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) )) - (net m2_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + (net m5_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) )) - (net dbuf_data_in_10 (joined - (portRef dbuf_data_in_10) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) + (net dbuf_data_in_3 (joined + (portRef dbuf_data_in_3) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) )) - (net regio_data_in_10 (joined - (portRef (member regio_data_in 21)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) + (net regio_data_in_3 (joined + (portRef (member regio_data_in 28)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) )) - (net m10_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_10)) + (net m3_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i_1)) )) (net dbuf_data_in_1 (joined (portRef dbuf_data_in_1) @@ -598258,6 +598214,10 @@ (portRef (member regio_data_in 30)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) )) + (net m1_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) + )) (net stat_handler_i_1 (joined (portRef (member stat_handler_i 0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) @@ -598268,52 +598228,52 @@ )) (net m1_6_03_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) )) - (net m142_4_03_1_i_4 (joined - (portRef m142_4_03_1_i_4) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) + (net dbuf_data_in_2 (joined + (portRef dbuf_data_in_2) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) )) - (net m174_2_03_3_i_4 (joined - (portRef m174_2_03_3_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) + (net regio_data_in_2 (joined + (portRef (member regio_data_in 29)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) )) - (net m14_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m14_6_03_2)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_14)) + (net m2_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) )) - (net m165_2_03_4_i_4 (joined - (portRef m165_2_03_4_i_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) + (net dbuf_data_in_10 (joined + (portRef dbuf_data_in_10) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) )) - (net trg_int_number_i_1 (joined - (portRef (member trg_int_number_i 1)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) + (net regio_data_in_10 (joined + (portRef (member regio_data_in 21)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) )) - (net m5_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) + (net m10_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m10_6_03_0)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_10)) + )) + (net dbuf_data_in_27 (joined + (portRef dbuf_data_in_27) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) + )) + (net regio_data_in_27 (joined + (portRef (member regio_data_in 4)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) + )) + (net m166_2_03_4_i_4 (joined + (portRef m166_2_03_4_i_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) )) (net trg_int_number_i_2 (joined - (portRef (member trg_int_number_i 0)) + (portRef (member trg_int_number_i 1)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) )) (net m6_6_03_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m6_6_03_2)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT6_0_i)) )) - (net dbuf_data_in_7 (joined - (portRef dbuf_data_in_7) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) - )) - (net regio_data_in_7 (joined - (portRef (member regio_data_in 24)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) - )) - (net m7_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) - )) (net m136_2_03_2_i_4 (joined (portRef m136_2_03_2_i_4) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) @@ -598326,69 +598286,41 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_2)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) )) - (net m141_2_03 (joined - (portRef m141_2_03) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) - )) - (net m173_2_03_3_i_4 (joined - (portRef m173_2_03_3_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) - )) - (net m13_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_2)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_13)) - )) - (net dbuf_data_in_12 (joined - (portRef dbuf_data_in_12) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) - )) - (net regio_data_in_12 (joined - (portRef (member regio_data_in 19)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) - )) - (net m12_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_12)) - )) - (net dbuf_data_in_25 (joined - (portRef dbuf_data_in_25) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) - )) - (net regio_data_in_25 (joined - (portRef (member regio_data_in 6)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + (net dbuf_data_in_7 (joined + (portRef dbuf_data_in_7) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) )) - (net dbuf_data_in_11 (joined - (portRef dbuf_data_in_11) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + (net regio_data_in_7 (joined + (portRef (member regio_data_in 24)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) )) - (net regio_data_in_11 (joined - (portRef (member regio_data_in 20)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) + (net m7_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) )) - (net m11_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m11_6_03_0)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_11)) + (net m137_2_03_2_i_4 (joined + (portRef m137_2_03_2_i_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) )) - (net dbuf_data_in_3 (joined - (portRef dbuf_data_in_3) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (net m169_2_03_4_i_4 (joined + (portRef m169_2_03_4_i_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) )) - (net regio_data_in_3 (joined - (portRef (member regio_data_in 28)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) + (net m9_6_03_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_2)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) )) - (net m3_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m3_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i_1)) + (net dbuf_data_in_4 (joined + (portRef dbuf_data_in_4) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) )) - (net dbuf_data_in_27 (joined - (portRef dbuf_data_in_27) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) + (net regio_data_in_4 (joined + (portRef (member regio_data_in 27)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) )) - (net regio_data_in_27 (joined - (portRef (member regio_data_in 4)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m27_6_03_0)) + (net m4_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) )) (net dbuf_data_in_17 (joined (portRef dbuf_data_in_17) @@ -598400,39 +598332,31 @@ )) (net m17_6_03 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) - )) - (net dbuf_data_in_0 (joined - (portRef dbuf_data_in_0) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) - )) - (net regio_data_in_0 (joined - (portRef (member regio_data_in 31)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) )) - (net m0_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) + (net dbuf_data_in_12 (joined + (portRef dbuf_data_in_12) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) )) - (net dbuf_data_in_24 (joined - (portRef dbuf_data_in_24) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + (net regio_data_in_12 (joined + (portRef (member regio_data_in 19)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) )) - (net regio_data_in_24 (joined - (portRef (member regio_data_in 7)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + (net m12_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m12_6_03_0)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_12)) )) - (net dbuf_data_in_19 (joined - (portRef dbuf_data_in_19) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + (net dbuf_data_in_21 (joined + (portRef dbuf_data_in_21) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) )) - (net regio_data_in_19 (joined - (portRef (member regio_data_in 12)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + (net regio_data_in_21 (joined + (portRef (member regio_data_in 10)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) )) - (net m19_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT19_0_i)) + (net m21_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) )) (net dbuf_data_in_20 (joined (portRef dbuf_data_in_20) @@ -598446,30 +598370,6 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT20_0_i)) )) - (net dbuf_data_in_18 (joined - (portRef dbuf_data_in_18) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - )) - (net regio_data_in_18 (joined - (portRef (member regio_data_in 13)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - )) - (net m18_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) - )) - (net dbuf_data_in_21 (joined - (portRef dbuf_data_in_21) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - )) - (net regio_data_in_21 (joined - (portRef (member regio_data_in 10)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - )) - (net m21_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) - )) (net dbuf_data_in_22 (joined (portRef dbuf_data_in_22) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0)) @@ -598506,15 +598406,35 @@ (portRef Z (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_3_bm)) (portRef ALUT (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_3)) )) - (net N_4423 (joined + (net N_4431 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_3)) (portRef D0 (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_7)) )) + (net dbuf_data_in_25 (joined + (portRef dbuf_data_in_25) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + )) + (net regio_data_in_25 (joined + (portRef (member regio_data_in 6)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m25_6_03_0)) + )) + (net dbuf_data_in_19 (joined + (portRef dbuf_data_in_19) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + )) + (net regio_data_in_19 (joined + (portRef (member regio_data_in 12)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + )) + (net m19_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT19_0_i)) + )) (net last_read_enable_3 (joined (portRef (member last_read_enable 2)) (portRef D (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_6)) )) - (net N_2326 (joined + (net N_2333 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_6)) (portRef A (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_7)) )) @@ -598543,22 +598463,34 @@ (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_3_bm)) (portRef ALUT (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_3)) )) - (net N_4419 (joined + (net N_4427 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_3)) (portRef B (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_4_7)) )) - (net current_state_4 (joined - (portRef current_state_4) + (net current_state_0 (joined + (portRef current_state_0) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) + )) + (net current_state_3 (joined + (portRef current_state_3) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) )) - (net m128_0_03_1_1 (joined - (portRef m128_0_03_1_1) + (net N_277 (joined + (portRef N_277) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) )) (net m128_4_03_1_1 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) )) + (net dbuf_data_in_24 (joined + (portRef dbuf_data_in_24) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + )) + (net regio_data_in_24 (joined + (portRef (member regio_data_in 7)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m24_6_03_0)) + )) (net stat_handler_i_0 (joined (portRef (member stat_handler_i 1)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1)) @@ -598569,57 +598501,55 @@ )) (net m0_6_03_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_1)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) )) - (net dbuf_data_in_4 (joined - (portRef dbuf_data_in_4) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (net dbuf_data_in_0 (joined + (portRef dbuf_data_in_0) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) )) - (net regio_data_in_4 (joined - (portRef (member regio_data_in 27)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) + (net regio_data_in_0 (joined + (portRef (member regio_data_in 31)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) )) - (net m4_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) + (net m0_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) )) - (net DAT_DATA_READ_OUT_0_0 (joined - (portRef (member dat_data_read_out_0 0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_3)) + (net dbuf_data_in_13 (joined + (portRef dbuf_data_in_13) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) )) - (net first_fifo_read (joined - (portRef first_fifo_read) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2_2)) + (net regio_data_in_13 (joined + (portRef (member regio_data_in 18)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) )) - (net trg_int_number_i_0 (joined - (portRef (member trg_int_number_i 2)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) + (net m13_6_03 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m13_6_03_0)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_13)) )) - (net m4_6_03_0_1_tz (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_4_tz)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) + (net dbuf_data_in_26 (joined + (portRef dbuf_data_in_26) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) )) - (net current_state_0 (joined - (portRef current_state_0) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) + (net regio_data_in_26 (joined + (portRef (member regio_data_in 5)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m26_6_03_0)) )) - (net current_state_1 (joined - (portRef current_state_1) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m128_4_03_1)) + (net un20_dat_addr_in_14 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) + (portRef C (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) + (portRef C (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) + (portRef D (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) )) - (net m2_6_03_1 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_2)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + (net regio_addr_i_3_0 (joined + (portRef (member regio_addr_i_3 0)) + (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) )) - (net regio_addr_i_10 (joined - (portRef regio_addr_i_10) - (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) - (portRef D (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_14)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_10)) + (net un20_dat_addr_in_9 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (portRef B (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) + (portRef D (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) + (portRef C (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) )) (net stat_header_buffer_level_6 (joined (portRef stat_header_buffer_level_6) @@ -598635,36 +598565,35 @@ )) (net m5_6_03_0_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m5_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT5_0_i)) )) (net un23_dat_addr_in_3 (joined (portRef Z (instanceRef proc_port_select_gen_port_select_6_un23_dat_addr_in_3)) - (portRef C (instanceRef next_port_select_int_5_sqmuxa_1_0)) - (portRef C (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) - )) - (net stat_header_buffer_level_16 (joined - (portRef stat_header_buffer_level_16) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef B (instanceRef next_port_select_int_5_sqmuxa_1_0)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) )) - (net m16_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) + (net un14_dat_addr_in_1 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_1)) + (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) )) - (net stat_header_buffer_level_18 (joined - (portRef stat_header_buffer_level_18) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) + (net m4_6_03_m6_0_a2_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) )) - (net m18_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef (member buf_api_stat_fifo_to_int 0)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) )) - (net stat_header_buffer_level_22 (joined - (portRef stat_header_buffer_level_22) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0 (joined + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_m6_0_a2_1_0)) )) - (net m22_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT22_0_i)) + (net m1_6_03_m6_0_a2_2 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m6_0_a2_2)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) )) (net stat_header_buffer_level_19 (joined (portRef stat_header_buffer_level_19) @@ -598674,21 +598603,29 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m19_6_03_0_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT19_0_i)) )) + (net stat_header_buffer_level_22 (joined + (portRef stat_header_buffer_level_22) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) + )) + (net m22_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m22_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT22_0_i)) + )) (net stat_header_buffer_level_17 (joined (portRef stat_header_buffer_level_17) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) )) (net m17_6_03_0_0 (joined (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m17_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) )) - (net stat_header_buffer_level_21 (joined - (portRef stat_header_buffer_level_21) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + (net stat_header_buffer_level_18 (joined + (portRef stat_header_buffer_level_18) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) )) - (net m21_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) + (net m18_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m18_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT18_0_i)) )) (net stat_header_buffer_level_20 (joined (portRef stat_header_buffer_level_20) @@ -598698,13 +598635,21 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m20_6_03_0_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT20_0_i)) )) - (net stat_header_buffer_level_8 (joined - (portRef stat_header_buffer_level_8) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) + (net stat_header_buffer_level_16 (joined + (portRef stat_header_buffer_level_16) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) )) - (net m8_6_03_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) + (net m16_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m16_6_03_0_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) + )) + (net stat_header_buffer_level_21 (joined + (portRef stat_header_buffer_level_21) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + )) + (net m21_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m21_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT21_0_i)) )) (net stat_header_buffer_level_9 (joined (portRef stat_header_buffer_level_9) @@ -598714,13 +598659,13 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m9_6_03_0_0)) (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT9_0_i)) )) - (net stat_header_buffer_level_4 (joined - (portRef stat_header_buffer_level_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) + (net stat_header_buffer_level_8 (joined + (portRef stat_header_buffer_level_8) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) )) - (net m4_6_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) + (net m8_6_03_0_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m8_6_03_0_0)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT8_0_i)) )) (net dbuf_unknown_addr (joined (portRef dbuf_unknown_addr) @@ -598742,7 +598687,7 @@ (portRef Z (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6_bm)) (portRef ALUT (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6)) )) - (net N_4426 (joined + (net N_4434 (joined (portRef Z (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_6)) (portRef D1 (instanceRef proc_reg_output_signals_DAT_UNKNOWN_ADDR_OUT_4_7)) )) @@ -598750,24 +598695,9 @@ (portRef (member stat_buffer_i 8)) (portRef D (instanceRef DAT_DATA_OUT_RNO_15)) )) - (net un12_dat_addr_in_2 (joined - (portRef un12_dat_addr_in_2) - (portRef A (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) - )) - (net un12_dat_addr_in_9 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in_9)) - (portRef B (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - (portRef C (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) - )) (net un12_dat_addr_in (joined (portRef Z (instanceRef proc_port_select_gen_port_select_1_un12_dat_addr_in)) (portRef B (instanceRef next_port_select_int_5_sqmuxa_1_2)) - (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_1)) - )) - (net stat_buffer_i_1 (joined - (portRef (member stat_buffer_i 22)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) )) (net stat_buffer_i_0 (joined (portRef (member stat_buffer_i 23)) @@ -598777,39 +598707,57 @@ (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m0_6_03_0_0)) (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT0_0_i)) )) - (net m7_6_03_m5_0_a2_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) - )) - (net m4_6_03_a0_3 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_a0_3)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) - )) - (net port_select_int_3_0_a3_0_2 (joined - (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) - (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) - (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) + (net N_132 (joined + (portRef N_132) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) )) - (net N_2135_2 (joined - (portRef N_2135_2) - (portRef A (instanceRef next_port_select_int_5_sqmuxa_1_0)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_6)) + (net m2_6_03_1_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m2_6_03_1_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) )) (net next_port_select_int_5_sqmuxa_1_0 (joined (portRef Z (instanceRef next_port_select_int_5_sqmuxa_1_0)) (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) (portRef A (instanceRef next_port_select_int_5_sqmuxa_1_2)) )) + (net un16_dat_addr_in_4 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in_4_0_a2)) + (portRef B (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) + (portRef un16_dat_addr_in_4) + )) + (net N_228_1 (joined + (portRef N_228_1) + (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) + (portRef A (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) + )) + (net un14_dat_addr_in_4 (joined + (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_4)) + (portRef C (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) + (portRef un14_dat_addr_in_4) + )) + (net N_5867 (joined + (portRef N_5867) + (portRef A (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) + )) + (net regio_addr_i_1_1 (joined + (portRef (member regio_addr_i_1 0)) + (portRef D (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) + (portRef D (instanceRef buf_BUS_ADDR_OUT_1)) + )) (net un20_dat_addr_in (joined (portRef Z (instanceRef proc_port_select_gen_port_select_5_un20_dat_addr_in)) (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) (portRef D (instanceRef next_port_select_int_5_sqmuxa_1_2)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_5)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_5)) + )) + (net N_5861 (joined + (portRef N_5861) + (portRef A (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) + )) + (net reg_enable_pattern_1 (joined + (portRef (member reg_enable_pattern 0)) + (portRef B (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) )) (net un18_dat_addr_in (joined (portRef Z (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) @@ -598819,36 +598767,17 @@ (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_4)) (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_4)) )) - (net N_180 (joined - (portRef N_180) - (portRef A (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef A (instanceRef proc_port_select_gen_port_select_4_un18_dat_addr_in)) - )) - (net regio_addr_i_2_1 (joined - (portRef (member regio_addr_i_2 0)) - (portRef D (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef D (instanceRef buf_BUS_ADDR_OUT_1)) - )) - (net un16_dat_addr_in (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_3_un16_dat_addr_in)) - (portRef C (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) - (portRef C (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) - (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_3)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_3)) + (net m1_6_03_1_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) )) - (net un14_dat_addr_in (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in)) - (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) - (portRef B (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) - (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) - (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_2)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_2)) + (net m176_2_03_2_i_4 (joined + (portRef m176_2_03_2_i_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) )) - (net m4_6_2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_2)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) + (net m177_2_03_2_i_4 (joined + (portRef m177_2_03_2_i_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) )) (net m178_2_03_2_i_4 (joined (portRef m178_2_03_2_i_4) @@ -598870,68 +598799,44 @@ (portRef m182_2_03_1_i_4) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT22_0_i)) )) - (net m176_2_03_2_i_4 (joined - (portRef m176_2_03_2_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT16_0_i)) - )) - (net m177_2_03_2_i_4 (joined - (portRef m177_2_03_2_i_4) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT17_0_i)) + (net N_3681 (joined + (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_RNO_7)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) )) (net m171_2_03_4_i_4 (joined (portRef m171_2_03_4_i_4) (portRef D (instanceRef DAT_DATA_OUT_RNO_11)) )) - (net m1_6_03 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_0)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - (portRef m1_6_03) - )) - (net m1_6_03_1_0_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_1_0_0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - (portRef m1_6_03_1_0_0) + (net m4_6_03_1_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) )) - (net m1_6_03_m7_0_a2 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m1_6_03_m7_0_a2)) - (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) + (net d_N_6_0 (joined + (portRef d_N_6_0) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) )) - (net N_4877 (joined - (portRef N_4877) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_m5_0_a2_2)) )) - (net next_port_select_int_5_sqmuxa_1_2 (joined - (portRef Z (instanceRef next_port_select_int_5_sqmuxa_1_2)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_7)) - (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_7)) - )) - (net m7_6_03_1_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) - (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) - )) - (net d_m5_0_0 (joined - (portRef d_m5_0_0) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) - )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) + (net d_N_6 (joined + (portRef d_N_6) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) - (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT4_0_i)) - )) - (net DAT_DATA_READ_OUT_0_RNIPO254_0 (joined - (portRef (member dat_data_read_out_0_rnipo254 0)) - (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT1_0_i)) )) (net port_select_int_3_0_i_0 (joined (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) (portRef C (instanceRef port_select_inte_0)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (net port_select_int_3_0_a3_0_2 (joined + (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_a3_0_1)) + (portRef B (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (portRef C (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) + )) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef A (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) (portRef D (instanceRef proc_rw_signals_port_select_int_3_0_i_0)) )) @@ -598939,9 +598844,29 @@ (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_i_1)) (portRef C (instanceRef port_select_inte_1)) )) - (net port_select_int_3_0_i_2 (joined - (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) - (portRef C (instanceRef port_select_inte_2)) + (net trg_int_number_i_3 (joined + (portRef (member trg_int_number_i 0)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) + )) + (net OUT7_0_i_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i_1)) + (portRef C (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) + )) + (net m7_6_03_1_0 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT7_0_i)) + )) + (net stat_buffer_i_2 (joined + (portRef (member stat_buffer_i 21)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) + )) + (net OUT2_0_i_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i_1)) + (portRef A (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) + )) + (net stat_header_buffer_level_2 (joined + (portRef stat_header_buffer_level_2) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT2_0_i)) )) (net stat_buffer_i_23 (joined (portRef (member stat_buffer_i 0)) @@ -598951,7 +598876,7 @@ (portRef (member regio_data_in 8)) (portRef D (instanceRef DAT_DATA_OUT_RNO_0_23)) )) - (net DAT_DATA_OUTs_i_1 (joined + (net DAT_DATA_OUTs_0_i_1 (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_0_23)) (portRef A (instanceRef DAT_DATA_OUT_RNO_23)) )) @@ -598967,7 +598892,7 @@ (portRef (member stat_buffer_i 11)) (portRef D (instanceRef DAT_DATA_OUT_RNO_0_12)) )) - (net DAT_DATA_OUTs_2_i_1 (joined + (net DAT_DATA_OUTs_3_i_1 (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_0_12)) (portRef A (instanceRef DAT_DATA_OUT_RNO_12)) )) @@ -598979,7 +598904,7 @@ (portRef (member regio_data_in 17)) (portRef D (instanceRef DAT_DATA_OUT_RNO_0_14)) )) - (net DAT_DATA_OUTs_4_i_1 (joined + (net DAT_DATA_OUTs_5_i_1 (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_0_14)) (portRef A (instanceRef DAT_DATA_OUT_RNO_14)) )) @@ -598995,6 +598920,18 @@ (portRef (member stat_buffer_i 20)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_OUT3_0_i)) )) + (net stat_header_buffer_level_4 (joined + (portRef stat_header_buffer_level_4) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) + )) + (net m4_6_03_1_0_1 (joined + (portRef Z (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0_1)) + (portRef B (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) + )) + (net stat_buffer_i_4 (joined + (portRef (member stat_buffer_i 19)) + (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m4_6_03_1_0)) + )) (net stat_header_buffer_level_7 (joined (portRef stat_header_buffer_level_7) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0_1)) @@ -599007,9 +598944,9 @@ (portRef (member stat_buffer_i 16)) (portRef D (instanceRef proc_reg_output_signals_un45_dat_data_out_m7_6_03_1_0)) )) - (net regio_addr_i_0_rep1_1 (joined - (portRef regio_addr_i_0_rep1_1) - (portRef A (instanceRef proc_port_select_gen_port_select_2_un14_dat_addr_in_9)) + (net port_select_int_3_0_i_2 (joined + (portRef Z (instanceRef proc_rw_signals_port_select_int_3_0_i_2)) + (portRef C (instanceRef port_select_inte_2)) )) ) (property HGROUP (string "Bus_handler_group")) @@ -599020,42 +598957,52 @@ (interface (port (array (rename stat_handler_i "stat_handler_i(1:0)") 2) (direction INPUT)) (port (array (rename lvl1_error_pattern_i "lvl1_error_pattern_i(21:20)") 2) (direction INPUT)) + (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) (port (array (rename med_packet_num_in "med_packet_num_in(2:0)") 3) (direction INPUT)) (port (array (rename med_data_in "med_data_in(15:0)") 16) (direction INPUT)) (port (array (rename med_data_out "med_data_out(15:0)") 16) (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) (port med_packet_num_out_2 (direction OUTPUT)) (port (array (rename un1_the_endpoint_1_0 "un1_THE_ENDPOINT_1_0(2:2)") 1) (direction OUTPUT)) - (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:0)") 2) (direction OUTPUT)) - (port regio_addr_i_7 (direction OUTPUT)) - (port regio_addr_i_6 (direction OUTPUT)) - (port regio_addr_i_5 (direction OUTPUT)) - (port regio_addr_i_4 (direction OUTPUT)) - (port regio_addr_i_3 (direction OUTPUT)) - (port regio_addr_i_2_d0 (direction OUTPUT)) - (port regio_addr_i_0 (direction OUTPUT)) - (port regio_addr_i_8 (direction OUTPUT)) - (port regio_addr_i_9 (direction OUTPUT)) - (port regio_addr_i_10 (direction OUTPUT)) - (port regio_addr_i_11 (direction OUTPUT)) - (port regio_addr_i_12 (direction OUTPUT)) - (port regio_addr_i_13 (direction OUTPUT)) - (port regio_addr_i_14 (direction OUTPUT)) - (port regio_addr_i_15 (direction OUTPUT)) - (port stat_reg_60 (direction INPUT)) + (port (array (rename regio_addr_i "regio_addr_i(15:2)") 14) (direction OUTPUT)) + (port (array (rename reg_enable_pattern "reg_enable_pattern(1:1)") 1) (direction OUTPUT)) + (port common_stat_reg_i_57 (direction INPUT)) + (port common_stat_reg_i_58 (direction INPUT)) + (port common_stat_reg_i_59 (direction INPUT)) + (port common_stat_reg_i_55 (direction INPUT)) + (port common_stat_reg_i_53 (direction INPUT)) + (port common_stat_reg_i_54 (direction INPUT)) + (port common_stat_reg_i_61 (direction INPUT)) + (port common_stat_reg_i_62 (direction INPUT)) + (port common_stat_reg_i_51 (direction INPUT)) + (port common_stat_reg_i_0 (direction INPUT)) + (port common_stat_reg_i_2 (direction INPUT)) + (port common_stat_reg_i_48 (direction INPUT)) + (port common_stat_reg_i_49 (direction INPUT)) + (port common_stat_reg_i_50 (direction INPUT)) + (port common_stat_reg_i_47 (direction INPUT)) + (port common_stat_reg_i_60 (direction INPUT)) + (port common_stat_reg_i_56 (direction INPUT)) + (port common_stat_reg_i_52 (direction INPUT)) + (port stat_reg_63 (direction INPUT)) + (port stat_reg_61 (direction INPUT)) (port stat_reg_62 (direction INPUT)) - (port stat_reg_488 (direction INPUT)) - (port stat_reg_456 (direction INPUT)) - (port stat_reg_424 (direction INPUT)) - (port stat_reg_392 (direction INPUT)) - (port stat_reg_490 (direction INPUT)) - (port stat_reg_458 (direction INPUT)) - (port stat_reg_426 (direction INPUT)) - (port stat_reg_394 (direction INPUT)) - (port stat_reg_496 (direction INPUT)) - (port stat_reg_464 (direction INPUT)) - (port stat_reg_432 (direction INPUT)) - (port stat_reg_400 (direction INPUT)) + (port stat_reg_43 (direction INPUT)) + (port stat_reg_56 (direction INPUT)) + (port stat_reg_58 (direction INPUT)) + (port stat_reg_57 (direction INPUT)) + (port stat_reg_500 (direction INPUT)) + (port stat_reg_468 (direction INPUT)) + (port stat_reg_436 (direction INPUT)) + (port stat_reg_404 (direction INPUT)) + (port stat_reg_494 (direction INPUT)) + (port stat_reg_462 (direction INPUT)) + (port stat_reg_430 (direction INPUT)) + (port stat_reg_398 (direction INPUT)) + (port stat_reg_484 (direction INPUT)) + (port stat_reg_452 (direction INPUT)) + (port stat_reg_420 (direction INPUT)) + (port stat_reg_388 (direction INPUT)) (port stat_reg_487 (direction INPUT)) (port stat_reg_455 (direction INPUT)) (port stat_reg_423 (direction INPUT)) @@ -599068,8 +599015,8 @@ (port stat_reg_630 (direction INPUT)) (port stat_reg_599 (direction INPUT)) (port stat_reg_631 (direction INPUT)) - (port stat_reg_532 (direction INPUT)) - (port stat_reg_564 (direction INPUT)) + (port stat_reg_592 (direction INPUT)) + (port stat_reg_624 (direction INPUT)) (port stat_reg_595 (direction INPUT)) (port stat_reg_627 (direction INPUT)) (port stat_reg_597 (direction INPUT)) @@ -599080,63 +599027,42 @@ (port stat_reg_626 (direction INPUT)) (port stat_reg_588 (direction INPUT)) (port stat_reg_620 (direction INPUT)) + (port stat_reg_584 (direction INPUT)) + (port stat_reg_616 (direction INPUT)) (port stat_reg_582 (direction INPUT)) (port stat_reg_614 (direction INPUT)) (port stat_reg_204 (direction INPUT)) (port stat_reg_236 (direction INPUT)) - (port stat_reg_590 (direction INPUT)) - (port stat_reg_622 (direction INPUT)) + (port stat_reg_585 (direction INPUT)) + (port stat_reg_617 (direction INPUT)) (port stat_reg_206 (direction INPUT)) (port stat_reg_238 (direction INPUT)) - (port stat_reg_583 (direction INPUT)) - (port stat_reg_615 (direction INPUT)) (port stat_reg_576 (direction INPUT)) (port stat_reg_608 (direction INPUT)) - (port stat_reg_516 (direction INPUT)) - (port stat_reg_548 (direction INPUT)) + (port stat_reg_203 (direction INPUT)) + (port stat_reg_235 (direction INPUT)) (port stat_reg_579 (direction INPUT)) (port stat_reg_611 (direction INPUT)) (port stat_reg_586 (direction INPUT)) (port stat_reg_618 (direction INPUT)) (port stat_reg_591 (direction INPUT)) (port stat_reg_623 (direction INPUT)) + (port stat_reg_4 (direction INPUT)) + (port stat_reg_36 (direction INPUT)) (port stat_reg_581 (direction INPUT)) (port stat_reg_613 (direction INPUT)) (port stat_reg_577 (direction INPUT)) (port stat_reg_609 (direction INPUT)) + (port stat_reg_205 (direction INPUT)) + (port stat_reg_237 (direction INPUT)) (port stat_reg_578 (direction INPUT)) (port stat_reg_610 (direction INPUT)) + (port stat_reg_589 (direction INPUT)) + (port stat_reg_621 (direction INPUT)) (port stat_reg_207 (direction INPUT)) (port stat_reg_239 (direction INPUT)) - (port stat_reg_45 (direction INPUT)) - (port stat_reg_43 (direction INPUT)) - (port stat_reg_261 (direction INPUT)) - (port stat_reg_293 (direction INPUT)) - (port stat_reg_517 (direction INPUT)) - (port stat_reg_549 (direction INPUT)) - (port stat_reg_137 (direction INPUT)) - (port stat_reg_169 (direction INPUT)) - (port stat_reg_201 (direction INPUT)) - (port stat_reg_233 (direction INPUT)) - (port stat_reg_265 (direction INPUT)) - (port stat_reg_297 (direction INPUT)) - (port stat_reg_329 (direction INPUT)) - (port stat_reg_361 (direction INPUT)) - (port stat_reg_144 (direction INPUT)) - (port stat_reg_176 (direction INPUT)) - (port stat_reg_208 (direction INPUT)) - (port stat_reg_240 (direction INPUT)) - (port stat_reg_336 (direction INPUT)) - (port stat_reg_368 (direction INPUT)) - (port stat_reg_259 (direction INPUT)) - (port stat_reg_291 (direction INPUT)) - (port stat_reg_323 (direction INPUT)) - (port stat_reg_355 (direction INPUT)) - (port stat_reg_521 (direction INPUT)) - (port stat_reg_553 (direction INPUT)) - (port stat_reg_585 (direction INPUT)) - (port stat_reg_617 (direction INPUT)) - (port stat_reg_57 (direction INPUT)) + (port stat_reg_587 (direction INPUT)) + (port stat_reg_619 (direction INPUT)) (port stat_reg_535 (direction INPUT)) (port stat_reg_567 (direction INPUT)) (port stat_reg_471 (direction INPUT)) @@ -599181,10 +599107,8 @@ (port stat_reg_179 (direction INPUT)) (port stat_reg_596 (direction INPUT)) (port stat_reg_628 (direction INPUT)) - (port stat_reg_404 (direction INPUT)) - (port stat_reg_436 (direction INPUT)) - (port stat_reg_468 (direction INPUT)) - (port stat_reg_500 (direction INPUT)) + (port stat_reg_532 (direction INPUT)) + (port stat_reg_564 (direction INPUT)) (port stat_reg_340 (direction INPUT)) (port stat_reg_372 (direction INPUT)) (port stat_reg_276 (direction INPUT)) @@ -599207,12 +599131,20 @@ (port stat_reg_245 (direction INPUT)) (port stat_reg_149 (direction INPUT)) (port stat_reg_181 (direction INPUT)) + (port stat_reg_336 (direction INPUT)) + (port stat_reg_368 (direction INPUT)) (port stat_reg_272 (direction INPUT)) (port stat_reg_304 (direction INPUT)) - (port stat_reg_592 (direction INPUT)) - (port stat_reg_624 (direction INPUT)) (port stat_reg_528 (direction INPUT)) (port stat_reg_560 (direction INPUT)) + (port stat_reg_464 (direction INPUT)) + (port stat_reg_496 (direction INPUT)) + (port stat_reg_400 (direction INPUT)) + (port stat_reg_432 (direction INPUT)) + (port stat_reg_208 (direction INPUT)) + (port stat_reg_240 (direction INPUT)) + (port stat_reg_144 (direction INPUT)) + (port stat_reg_176 (direction INPUT)) (port stat_reg_529 (direction INPUT)) (port stat_reg_561 (direction INPUT)) (port stat_reg_465 (direction INPUT)) @@ -599241,12 +599173,22 @@ (port stat_reg_242 (direction INPUT)) (port stat_reg_146 (direction INPUT)) (port stat_reg_178 (direction INPUT)) - (port stat_reg_58 (direction INPUT)) - (port stat_reg_56 (direction INPUT)) + (port stat_reg_521 (direction INPUT)) + (port stat_reg_553 (direction INPUT)) + (port stat_reg_329 (direction INPUT)) + (port stat_reg_361 (direction INPUT)) + (port stat_reg_265 (direction INPUT)) + (port stat_reg_297 (direction INPUT)) + (port stat_reg_201 (direction INPUT)) + (port stat_reg_233 (direction INPUT)) + (port stat_reg_137 (direction INPUT)) + (port stat_reg_169 (direction INPUT)) (port stat_reg_457 (direction INPUT)) (port stat_reg_489 (direction INPUT)) (port stat_reg_393 (direction INPUT)) (port stat_reg_425 (direction INPUT)) + (port stat_reg_583 (direction INPUT)) + (port stat_reg_615 (direction INPUT)) (port stat_reg_519 (direction INPUT)) (port stat_reg_551 (direction INPUT)) (port stat_reg_327 (direction INPUT)) @@ -599277,6 +599219,10 @@ (port stat_reg_483 (direction INPUT)) (port stat_reg_387 (direction INPUT)) (port stat_reg_419 (direction INPUT)) + (port stat_reg_323 (direction INPUT)) + (port stat_reg_355 (direction INPUT)) + (port stat_reg_259 (direction INPUT)) + (port stat_reg_291 (direction INPUT)) (port stat_reg_195 (direction INPUT)) (port stat_reg_227 (direction INPUT)) (port stat_reg_131 (direction INPUT)) @@ -599285,10 +599231,8 @@ (port stat_reg_35 (direction INPUT)) (port stat_reg_580 (direction INPUT)) (port stat_reg_612 (direction INPUT)) - (port stat_reg_388 (direction INPUT)) - (port stat_reg_420 (direction INPUT)) - (port stat_reg_452 (direction INPUT)) - (port stat_reg_484 (direction INPUT)) + (port stat_reg_516 (direction INPUT)) + (port stat_reg_548 (direction INPUT)) (port stat_reg_324 (direction INPUT)) (port stat_reg_356 (direction INPUT)) (port stat_reg_260 (direction INPUT)) @@ -599297,14 +599241,16 @@ (port stat_reg_228 (direction INPUT)) (port stat_reg_132 (direction INPUT)) (port stat_reg_164 (direction INPUT)) - (port stat_reg_4 (direction INPUT)) - (port stat_reg_36 (direction INPUT)) + (port stat_reg_517 (direction INPUT)) + (port stat_reg_549 (direction INPUT)) (port stat_reg_453 (direction INPUT)) (port stat_reg_485 (direction INPUT)) (port stat_reg_389 (direction INPUT)) (port stat_reg_421 (direction INPUT)) (port stat_reg_325 (direction INPUT)) (port stat_reg_357 (direction INPUT)) + (port stat_reg_261 (direction INPUT)) + (port stat_reg_293 (direction INPUT)) (port stat_reg_197 (direction INPUT)) (port stat_reg_229 (direction INPUT)) (port stat_reg_133 (direction INPUT)) @@ -599359,8 +599305,6 @@ (port stat_reg_34 (direction INPUT)) (port stat_reg_397 (direction INPUT)) (port stat_reg_429 (direction INPUT)) - (port stat_reg_589 (direction INPUT)) - (port stat_reg_621 (direction INPUT)) (port stat_reg_525 (direction INPUT)) (port stat_reg_557 (direction INPUT)) (port stat_reg_461 (direction INPUT)) @@ -599369,10 +599313,10 @@ (port stat_reg_365 (direction INPUT)) (port stat_reg_269 (direction INPUT)) (port stat_reg_301 (direction INPUT)) - (port stat_reg_205 (direction INPUT)) - (port stat_reg_237 (direction INPUT)) (port stat_reg_141 (direction INPUT)) (port stat_reg_173 (direction INPUT)) + (port stat_reg_590 (direction INPUT)) + (port stat_reg_622 (direction INPUT)) (port stat_reg_526 (direction INPUT)) (port stat_reg_558 (direction INPUT)) (port stat_reg_142 (direction INPUT)) @@ -599391,6 +599335,10 @@ (port stat_reg_175 (direction INPUT)) (port stat_reg_522 (direction INPUT)) (port stat_reg_554 (direction INPUT)) + (port stat_reg_458 (direction INPUT)) + (port stat_reg_490 (direction INPUT)) + (port stat_reg_394 (direction INPUT)) + (port stat_reg_426 (direction INPUT)) (port stat_reg_330 (direction INPUT)) (port stat_reg_362 (direction INPUT)) (port stat_reg_266 (direction INPUT)) @@ -599401,8 +599349,6 @@ (port stat_reg_170 (direction INPUT)) (port stat_reg_395 (direction INPUT)) (port stat_reg_427 (direction INPUT)) - (port stat_reg_587 (direction INPUT)) - (port stat_reg_619 (direction INPUT)) (port stat_reg_523 (direction INPUT)) (port stat_reg_555 (direction INPUT)) (port stat_reg_459 (direction INPUT)) @@ -599411,8 +599357,6 @@ (port stat_reg_363 (direction INPUT)) (port stat_reg_267 (direction INPUT)) (port stat_reg_299 (direction INPUT)) - (port stat_reg_203 (direction INPUT)) - (port stat_reg_235 (direction INPUT)) (port stat_reg_139 (direction INPUT)) (port stat_reg_171 (direction INPUT)) (port stat_reg_524 (direction INPUT)) @@ -599427,10 +599371,12 @@ (port stat_reg_300 (direction INPUT)) (port stat_reg_140 (direction INPUT)) (port stat_reg_172 (direction INPUT)) - (port stat_reg_584 (direction INPUT)) - (port stat_reg_616 (direction INPUT)) (port stat_reg_520 (direction INPUT)) (port stat_reg_552 (direction INPUT)) + (port stat_reg_456 (direction INPUT)) + (port stat_reg_488 (direction INPUT)) + (port stat_reg_392 (direction INPUT)) + (port stat_reg_424 (direction INPUT)) (port stat_reg_328 (direction INPUT)) (port stat_reg_360 (direction INPUT)) (port stat_reg_264 (direction INPUT)) @@ -599439,61 +599385,83 @@ (port stat_reg_232 (direction INPUT)) (port stat_reg_136 (direction INPUT)) (port stat_reg_168 (direction INPUT)) - (port stat_reg_462 (direction INPUT)) - (port stat_reg_494 (direction INPUT)) - (port stat_reg_398 (direction INPUT)) - (port stat_reg_430 (direction INPUT)) (port stat_reg_334 (direction INPUT)) (port stat_reg_366 (direction INPUT)) (port stat_reg_270 (direction INPUT)) (port stat_reg_302 (direction INPUT)) (port stat_reg_663 (direction INPUT)) (port stat_reg_659 (direction INPUT)) - (port stat_reg_660 (direction INPUT)) + (port stat_reg_656 (direction INPUT)) (port stat_reg_657 (direction INPUT)) (port stat_reg_658 (direction INPUT)) - (port stat_reg_653 (direction INPUT)) - (port stat_reg_651 (direction INPUT)) - (port stat_reg_49 (direction INPUT)) - (port stat_reg_38 (direction INPUT)) - (port stat_reg_55 (direction INPUT)) - (port stat_reg_39 (direction INPUT)) - (port stat_reg_42 (direction INPUT)) - (port stat_reg_50 (direction INPUT)) + (port stat_reg_52 (direction INPUT)) (port stat_reg_53 (direction INPUT)) - (port stat_reg_51 (direction INPUT)) - (port stat_reg_37 (direction INPUT)) - (port stat_reg_48 (direction INPUT)) (port stat_reg_41 (direction INPUT)) + (port stat_reg_39 (direction INPUT)) (port stat_reg_54 (direction INPUT)) - (port stat_reg_52 (direction INPUT)) - (port stat_reg_655 (direction INPUT)) + (port stat_reg_51 (direction INPUT)) + (port stat_reg_40 (direction INPUT)) + (port stat_reg_48 (direction INPUT)) + (port stat_reg_42 (direction INPUT)) + (port stat_reg_38 (direction INPUT)) + (port stat_reg_55 (direction INPUT)) + (port stat_reg_37 (direction INPUT)) + (port stat_reg_50 (direction INPUT)) + (port stat_reg_49 (direction INPUT)) + (port stat_reg_647 (direction INPUT)) + (port stat_reg_646 (direction INPUT)) (port stat_reg_644 (direction INPUT)) - (port stat_reg_643 (direction INPUT)) - (port stat_reg_47 (direction INPUT)) - (port stat_reg_645 (direction INPUT)) - (port stat_reg_648 (direction INPUT)) + (port stat_reg_661 (direction INPUT)) + (port stat_reg_45 (direction INPUT)) (port stat_reg_652 (direction INPUT)) - (port stat_reg_646 (direction INPUT)) + (port stat_reg_645 (direction INPUT)) + (port stat_reg_650 (direction INPUT)) (port stat_reg_641 (direction INPUT)) + (port stat_reg_47 (direction INPUT)) + (port stat_reg_44 (direction INPUT)) + (port stat_reg_648 (direction INPUT)) (port stat_reg_654 (direction INPUT)) + (port stat_reg_655 (direction INPUT)) + (port stat_reg_660 (direction INPUT)) (port stat_reg_649 (direction INPUT)) - (port stat_reg_647 (direction INPUT)) (port stat_reg_662 (direction INPUT)) - (port stat_reg_650 (direction INPUT)) - (port stat_reg_46 (direction INPUT)) - (port stat_reg_656 (direction INPUT)) - (port stat_reg_661 (direction INPUT)) (port stat_reg_642 (direction INPUT)) - (port stat_reg_44 (direction INPUT)) (port stat_reg_640 (direction INPUT)) + (port stat_reg_46 (direction INPUT)) + (port stat_reg_643 (direction INPUT)) + (port stat_reg_651 (direction INPUT)) + (port stat_reg_653 (direction INPUT)) + (port stat_reg_60 (direction INPUT)) (port stat_reg_59 (direction INPUT)) - (port stat_reg_63 (direction INPUT)) - (port stat_reg_61 (direction INPUT)) - (port stat_reg_40 (direction INPUT)) - (port (array (rename regio_addr_i_2 "regio_addr_i_2(1:1)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i_5 "regio_addr_i_5(0:0)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i_3 "regio_addr_i_3(0:0)") 1) (direction OUTPUT)) + (port (array (rename regio_addr_i_1 "regio_addr_i_1(1:1)") 1) (direction OUTPUT)) (port timer_ticks_0 (direction OUTPUT)) (port (array (rename regio_data_out_i "regio_data_out_i(31:0)") 32) (direction OUTPUT)) + (port ctrl_reg_83 (direction OUTPUT)) + (port ctrl_reg_82 (direction OUTPUT)) + (port ctrl_reg_81 (direction OUTPUT)) + (port ctrl_reg_80 (direction OUTPUT)) + (port ctrl_reg_79 (direction OUTPUT)) + (port ctrl_reg_78 (direction OUTPUT)) + (port ctrl_reg_77 (direction OUTPUT)) + (port ctrl_reg_76 (direction OUTPUT)) + (port ctrl_reg_75 (direction OUTPUT)) + (port ctrl_reg_74 (direction OUTPUT)) + (port ctrl_reg_73 (direction OUTPUT)) + (port ctrl_reg_72 (direction OUTPUT)) + (port ctrl_reg_71 (direction OUTPUT)) + (port ctrl_reg_70 (direction OUTPUT)) + (port ctrl_reg_69 (direction OUTPUT)) + (port ctrl_reg_68 (direction OUTPUT)) + (port ctrl_reg_67 (direction OUTPUT)) + (port ctrl_reg_66 (direction OUTPUT)) + (port ctrl_reg_65 (direction OUTPUT)) + (port ctrl_reg_64 (direction OUTPUT)) + (port ctrl_reg_63 (direction OUTPUT)) + (port ctrl_reg_62 (direction OUTPUT)) + (port ctrl_reg_61 (direction OUTPUT)) + (port ctrl_reg_60 (direction OUTPUT)) (port ctrl_reg_59 (direction OUTPUT)) (port ctrl_reg_58 (direction OUTPUT)) (port ctrl_reg_57 (direction OUTPUT)) @@ -599501,12 +599469,7 @@ (port ctrl_reg_55 (direction OUTPUT)) (port ctrl_reg_54 (direction OUTPUT)) (port ctrl_reg_53 (direction OUTPUT)) - (port ctrl_reg_52 (direction OUTPUT)) (port ctrl_reg_51 (direction OUTPUT)) - (port ctrl_reg_50 (direction OUTPUT)) - (port ctrl_reg_49 (direction OUTPUT)) - (port ctrl_reg_48 (direction OUTPUT)) - (port ctrl_reg_47 (direction OUTPUT)) (port ctrl_reg_46 (direction OUTPUT)) (port ctrl_reg_45 (direction OUTPUT)) (port ctrl_reg_44 (direction OUTPUT)) @@ -599518,54 +599481,17 @@ (port ctrl_reg_38 (direction OUTPUT)) (port ctrl_reg_37 (direction OUTPUT)) (port ctrl_reg_36 (direction OUTPUT)) - (port ctrl_reg_35 (direction OUTPUT)) - (port ctrl_reg_34 (direction OUTPUT)) - (port ctrl_reg_33 (direction OUTPUT)) - (port ctrl_reg_32 (direction OUTPUT)) - (port ctrl_reg_31 (direction OUTPUT)) (port ctrl_reg_30 (direction OUTPUT)) (port ctrl_reg_29 (direction OUTPUT)) + (port ctrl_reg_28 (direction OUTPUT)) (port ctrl_reg_27 (direction OUTPUT)) - (port ctrl_reg_22 (direction OUTPUT)) - (port ctrl_reg_21 (direction OUTPUT)) - (port ctrl_reg_20 (direction OUTPUT)) - (port ctrl_reg_19 (direction OUTPUT)) - (port ctrl_reg_18 (direction OUTPUT)) - (port ctrl_reg_17 (direction OUTPUT)) - (port ctrl_reg_16 (direction OUTPUT)) - (port ctrl_reg_15 (direction OUTPUT)) - (port ctrl_reg_14 (direction OUTPUT)) - (port ctrl_reg_13 (direction OUTPUT)) - (port ctrl_reg_12 (direction OUTPUT)) - (port ctrl_reg_6 (direction OUTPUT)) - (port ctrl_reg_5 (direction OUTPUT)) - (port ctrl_reg_4 (direction OUTPUT)) - (port ctrl_reg_3 (direction OUTPUT)) - (port ctrl_reg_2 (direction OUTPUT)) - (port ctrl_reg_1 (direction OUTPUT)) + (port ctrl_reg_26 (direction OUTPUT)) + (port ctrl_reg_25 (direction OUTPUT)) + (port ctrl_reg_24 (direction OUTPUT)) (port ctrl_reg_0 (direction OUTPUT)) (port (array (rename regio_data_in_i "regio_data_in_i(31:0)") 32) (direction INPUT)) - (port common_stat_reg_i_58 (direction INPUT)) - (port common_stat_reg_i_62 (direction INPUT)) - (port common_stat_reg_i_59 (direction INPUT)) - (port common_stat_reg_i_54 (direction INPUT)) - (port common_stat_reg_i_53 (direction INPUT)) - (port common_stat_reg_i_55 (direction INPUT)) - (port common_stat_reg_i_61 (direction INPUT)) - (port common_stat_reg_i_51 (direction INPUT)) - (port common_stat_reg_i_50 (direction INPUT)) - (port common_stat_reg_i_49 (direction INPUT)) - (port common_stat_reg_i_47 (direction INPUT)) - (port common_stat_reg_i_48 (direction INPUT)) - (port common_stat_reg_i_60 (direction INPUT)) - (port common_stat_reg_i_52 (direction INPUT)) - (port common_stat_reg_i_56 (direction INPUT)) - (port common_stat_reg_i_57 (direction INPUT)) - (port common_stat_reg_i_2 (direction INPUT)) - (port common_stat_reg_i_0 (direction INPUT)) (port (array (rename trg_int_number_i "trg_int_number_i(15:0)") 16) (direction OUTPUT)) - (port (array (rename un14_i_a3_1 "un14_i_a3_1(1:1)") 1) (direction INPUT)) - (port (array (rename port_select_int "port_select_int(0:0)") 1) (direction INPUT)) + (port (array (rename un14_i_a3_0 "un14_i_a3_0(1:1)") 1) (direction INPUT)) (port (array (rename ipu_data_i "ipu_data_i(31:0)") 32) (direction INPUT)) (port (array (rename ipu_number_i "ipu_number_i(15:0)") 16) (direction OUTPUT)) (port (array (rename ipu_error_pattern_i "ipu_error_pattern_i(27:27)") 1) (direction INPUT)) @@ -599574,7 +599500,7 @@ (port trg_information_i_0 (direction OUTPUT)) (port (array (rename trg_code_i "trg_code_i(7:0)") 8) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port (array (rename buf_apl_dataready_in "buf_APL_DATAREADY_IN(1:1)") 1) (direction OUTPUT)) + (port buf_api_stat_fifo_to_int_8 (direction OUTPUT)) (port (array (rename current_state "CURRENT_STATE(2:0)") 3) (direction INPUT)) (port (array (rename code_line_c "CODE_LINE_c(1:0)") 2) (direction INPUT)) (port common_ctrl_reg_1 (direction OUTPUT)) @@ -599587,64 +599513,58 @@ (port int_lvl1_long_trg (direction OUTPUT)) (port trg_timeout_detected_i (direction OUTPUT)) (port trg_notiming_valid_i (direction OUTPUT)) - (port LVL1_TRG_RELEASE_OUT (direction INPUT)) + (port LVL1_TRG_RELEASE_OUT_0_a2 (direction INPUT)) (port TRIGGER_LEFT_c (direction INPUT)) - (port d_m5_0_0 (direction OUTPUT)) + (port m6_6_03_1_1 (direction INPUT)) + (port first_fifo_read_RNIS8RB (direction INPUT)) + (port d_N_6_0 (direction OUTPUT)) (port med_dataready_in (direction INPUT)) (port med_dataready_out (direction OUTPUT)) - (port N_538_i (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) + (port N_465_i (direction INPUT)) (port TEMPSENS_in (direction INPUT)) - (port regio_unknown_addr_i (direction INPUT)) - (port regio_nomoredata_i (direction INPUT)) - (port regio_dataready_i (direction INPUT)) - (port N_180 (direction OUTPUT)) - (port regio_write_ack_i (direction INPUT)) + (port reset_i_rep2_1 (direction INPUT)) + (port N_5867 (direction OUTPUT)) (port regio_write_enable_i (direction OUTPUT)) (port regio_read_enable_i (direction OUTPUT)) - (port regio_addr_i_0_rep1_1 (direction OUTPUT)) - (port un12_dat_addr_in_2 (direction OUTPUT)) - (port N_2135_2 (direction OUTPUT)) - (port N_1700 (direction INPUT)) - (port un20_dat_addr_in_1 (direction OUTPUT)) + (port regio_dataready_i (direction INPUT)) + (port regio_nomoredata_i (direction INPUT)) + (port regio_unknown_addr_i (direction INPUT)) + (port N_5861 (direction OUTPUT)) + (port regio_write_ack_i (direction INPUT)) + (port N_228_1 (direction OUTPUT)) + (port un16_dat_addr_in_4 (direction INPUT)) + (port un14_dat_addr_in_4 (direction INPUT)) (port trg_timing_valid_i (direction OUTPUT)) (port ipu_start_readout_i (direction OUTPUT)) (port ipu_dataready_i (direction INPUT)) - (port waiting_word_RNIIPH92 (direction OUTPUT)) (port m166_2_03_4_i_4 (direction INPUT)) (port m161_2_03 (direction INPUT)) - (port suppress_output_fast (direction INPUT)) - (port reset_i_fast_r2 (direction INPUT)) - (port m6_6_03_1_1 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (direction OUTPUT)) - (port N_4877 (direction OUTPUT)) + (port suppress_output (direction INPUT)) + (port reset_i_fast_r3 (direction INPUT)) (port ipu_readout_finished_i (direction INPUT)) (port reset_i_fast_1_i (direction INPUT)) - (port last_second_word_waiting (direction OUTPUT)) (port m173_2_03_3_i_4 (direction INPUT)) (port m174_2_03_3_i_4 (direction INPUT)) - (port reset_i_fast_r8 (direction INPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port reset_i_fast_r9 (direction INPUT)) - (port buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (direction OUTPUT)) - (port reset_i_fast_r3 (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) + (port last_second_word_waiting_RNIKQVT1 (direction OUTPUT)) + (port reset_i_fast_r2 (direction INPUT)) (port signal_sync_1 (direction OUTPUT)) (port signal_sync_0 (direction OUTPUT)) (port signal_sync (direction OUTPUT)) - (port reset_i_fast_r7 (direction INPUT)) + (port reset_i_fast_r6 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_i_rep1 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_fast_r9 (direction INPUT)) + (port reset_i_fast_r8 (direction INPUT)) (port reset_i_19 (direction INPUT)) - (port waiting_word (direction OUTPUT)) + (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port reset_i_rep1 (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port N_300 (direction INPUT)) - (port N_560 (direction INPUT)) + (port N_484 (direction INPUT)) (port N_299 (direction INPUT)) (port reset_i_rep2 (direction INPUT)) - (port reset_i_fast_r6 (direction INPUT)) (port reset_i_fast_r5 (direction INPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port trb_reset_buffer (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -599742,9 +599662,6 @@ (instance buf_IDRAM_DATA_IN_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance make_trbnet_reset_RNIU0MD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) (instance REG_LINK_ERROR_un8_med_stat_op_in_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) @@ -599754,6 +599671,9 @@ (instance buf_IDRAM_DATA_IN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) + (instance link_and_reset_statuse_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) (instance reset_no_link_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -599763,12 +599683,12 @@ (instance link_and_reset_status_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance link_and_reset_status_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+!A))")) - ) (instance link_and_reset_status_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C+(!B+!A)))")) ) + (instance link_and_reset_status_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+!A))")) + ) (instance REG_LINK_ERROR_un8_med_stat_op_in_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) @@ -599823,15 +599743,15 @@ (net link_and_reset_status_0 (joined (portRef Q (instanceRef link_and_reset_status_0)) (portRef (member link_and_reset_status 3) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef A (instanceRef link_and_reset_status_RNO_3)) (portRef A (instanceRef link_and_reset_status_RNO_2)) + (portRef A (instanceRef link_and_reset_status_RNO_3)) (portRef A (instanceRef link_and_reset_status_RNO_1)) (portRef A (instanceRef link_and_reset_status_0__fb)) )) (net common_ctrl_reg_5 (joined (portRef common_ctrl_reg_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef common_ctrl_reg_1 (instanceRef THE_LVL1_HANDLER)) - (portRef B (instanceRef make_trbnet_reset_RNIU0MD)) + (portRef B (instanceRef link_and_reset_statuse_0_i)) (portRef CD (instanceRef link_and_reset_status_3)) (portRef CD (instanceRef link_and_reset_status_2)) (portRef CD (instanceRef link_and_reset_status_1)) @@ -599840,7 +599760,7 @@ )) (net make_trbnet_reset (joined (portRef Q (instanceRef make_trbnet_reset)) - (portRef A (instanceRef make_trbnet_reset_RNIU0MD)) + (portRef A (instanceRef link_and_reset_statuse_0_i)) (portRef C (instanceRef link_and_reset_status_0__fb)) )) (net fb (joined @@ -599976,12 +599896,12 @@ (portRef CD (instanceRef lvl1_tmg_trg_missing_flag)) (portRef common_ctrl_reg_3) )) - (net link_and_reset_statusc_i (joined + (net link_and_reset_statusc_0_i (joined (portRef Z (instanceRef link_and_reset_status_RNO_1)) (portRef D (instanceRef link_and_reset_status_1)) )) - (net N_21 (joined - (portRef Z (instanceRef make_trbnet_reset_RNIU0MD)) + (net link_and_reset_statuse_0_i (joined + (portRef Z (instanceRef link_and_reset_statuse_0_i)) (portRef SP (instanceRef link_and_reset_status_3)) (portRef SP (instanceRef link_and_reset_status_2)) (portRef SP (instanceRef link_and_reset_status_1)) @@ -599989,19 +599909,19 @@ (net link_and_reset_status_1 (joined (portRef Q (instanceRef link_and_reset_status_1)) (portRef (member link_and_reset_status 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef B (instanceRef link_and_reset_status_RNO_3)) (portRef B (instanceRef link_and_reset_status_RNO_2)) + (portRef B (instanceRef link_and_reset_status_RNO_3)) (portRef B (instanceRef link_and_reset_status_RNO_1)) )) - (net link_and_reset_statusc_0_i (joined + (net link_and_reset_statusc_i (joined (portRef Z (instanceRef link_and_reset_status_RNO_2)) (portRef D (instanceRef link_and_reset_status_2)) )) (net link_and_reset_status_2 (joined (portRef Q (instanceRef link_and_reset_status_2)) (portRef (member link_and_reset_status 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef C (instanceRef link_and_reset_status_RNO_3)) (portRef C (instanceRef link_and_reset_status_RNO_2)) + (portRef C (instanceRef link_and_reset_status_RNO_3)) )) (net N_13_i_i (joined (portRef Z (instanceRef link_and_reset_status_RNO_3)) @@ -600035,12 +599955,11 @@ (portRef (member onewire_addr 0) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef A (instanceRef buf_IDRAM_ADDR_IN_1)) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef reset_i_fast_r5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_fast_r5 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef reset_i_fast_r5 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef reset_i_fast_r5 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (net reset_i_fast_r7 (joined + (portRef reset_i_fast_r7) + (portRef reset_i_fast_r7 (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef reset_i_fast_r7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef reset_i_fast_r7 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) (portRef B (instanceRef buf_IDRAM_ADDR_IN_1)) (portRef B (instanceRef buf_IDRAM_ADDR_IN_0)) )) @@ -600060,8 +599979,8 @@ (portRef (member onewire_data 2) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef A (instanceRef buf_IDRAM_DATA_IN_13)) )) - (net reset_i_fast_r6 (joined - (portRef reset_i_fast_r6) + (net reset_i_fast_r5 (joined + (portRef reset_i_fast_r5) (portRef C (instanceRef buf_IDRAM_DATA_IN_1)) (portRef C (instanceRef buf_IDRAM_DATA_IN_0)) (portRef B (instanceRef buf_IDRAM_DATA_IN_11)) @@ -600223,7 +600142,6 @@ (portRef reset_i_rep2) (portRef reset_i_rep2 (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef reset_i_rep2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_rep2 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) (portRef reset_i_rep2 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef B (instanceRef reset_trg_logic_1_0_i)) (portRef B (instanceRef reset_no_link_1_0_i)) @@ -600244,8 +600162,8 @@ (portRef Z (instanceRef REG_LINK_ERROR_un8_med_stat_op_in_3)) (portRef B (instanceRef REG_LINK_ERROR_un8_med_stat_op_in)) )) - (net N_560 (joined - (portRef N_560) + (net N_484 (joined + (portRef N_484) (portRef A (instanceRef REG_LINK_ERROR_un8_med_stat_op_in)) )) (net CURRENT_STATE_1 (joined @@ -600665,11 +600583,11 @@ (portRef MED_IO_PACKET_NUM_OUT_8 (instanceRef MPLEX)) )) (net buf_api_stat_fifo_to_int_38 (joined - (portRef buf_api_stat_fifo_to_int_3 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef buf_api_stat_fifo_to_int_0 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_api_stat_fifo_to_int 1) (instanceRef genbuffers_1_geniobuf_IOBUF)) )) (net buf_api_stat_fifo_to_int_39 (joined - (portRef buf_api_stat_fifo_to_int_4 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef buf_api_stat_fifo_to_int_1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef genbuffers_1_geniobuf_IOBUF)) ) ) @@ -601041,20 +600959,20 @@ (portRef MED_IO_PACKET_NUM_OUT_20 (instanceRef MPLEX)) )) (net current_output_data_buffer_0 (joined - (portRef (member current_output_data_buffer 3) (instanceRef genbuffers_3_geniobuf_IOBUF)) - (portRef (member current_output_data_buffer 3) (instanceRef MPLEX)) + (portRef current_output_data_buffer_0 (instanceRef genbuffers_3_geniobuf_IOBUF)) + (portRef current_output_data_buffer_0 (instanceRef MPLEX)) )) - (net current_output_data_buffer_1 (joined - (portRef (member current_output_data_buffer 2) (instanceRef genbuffers_3_geniobuf_IOBUF)) - (portRef (member current_output_data_buffer 2) (instanceRef MPLEX)) + (net current_output_data_buffer_3 (joined + (portRef current_output_data_buffer_3 (instanceRef genbuffers_3_geniobuf_IOBUF)) + (portRef current_output_data_buffer_3 (instanceRef MPLEX)) )) - (net current_output_data_buffer_2 (joined - (portRef (member current_output_data_buffer 1) (instanceRef genbuffers_3_geniobuf_IOBUF)) - (portRef (member current_output_data_buffer 1) (instanceRef MPLEX)) + (net current_output_data_buffer_mb_1 (joined + (portRef (member current_output_data_buffer_mb 1) (instanceRef genbuffers_3_geniobuf_IOBUF)) + (portRef (member current_output_data_buffer_mb 1) (instanceRef MPLEX)) )) - (net current_output_data_buffer_3 (joined - (portRef (member current_output_data_buffer 0) (instanceRef genbuffers_3_geniobuf_IOBUF)) - (portRef (member current_output_data_buffer 0) (instanceRef MPLEX)) + (net current_output_data_buffer_mb_2 (joined + (portRef (member current_output_data_buffer_mb 0) (instanceRef genbuffers_3_geniobuf_IOBUF)) + (portRef (member current_output_data_buffer_mb 0) (instanceRef MPLEX)) )) (net buf_api_stat_fifo_to_int_102 (joined (portRef buf_api_stat_fifo_to_int_3 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) @@ -601472,14 +601390,6 @@ (portRef (member buf_apl_data_in 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) (portRef (member buf_apl_data_in 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net state_0 (joined - (portRef (member state 1) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef (member state 1) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - )) - (net state_1 (joined - (portRef (member state 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef (member state 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - )) (net buf_APL_DATA_OUT_16 (joined (portRef (member buf_apl_data_out 15) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_apl_data_out 15) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) @@ -601577,14 +601487,6 @@ (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_api_stat_fifo_to_int_35 (joined - (portRef buf_api_stat_fifo_to_int_0 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef buf_api_stat_fifo_to_int_0 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - )) - (net buf_api_stat_fifo_to_int_46 (joined - (portRef buf_api_stat_fifo_to_int_11 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef buf_api_stat_fifo_to_int_11 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - )) (net MY_ADDRESS_0 (joined (portRef (member my_address 15) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef (member my_address 15) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) @@ -601794,9 +601696,9 @@ (portRef (member common_ctrl_reg 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member common_ctrl_reg 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net FF_23_RNICS201 (joined - (portRef FF_23_RNICS201 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef FF_23_RNICS201 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (net N_5723_i (joined + (portRef N_5723_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef N_5723_i (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net buf_APL_PACKET_NUM_IN_11 (joined (portRef buf_APL_PACKET_NUM_IN_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -601806,69 +601708,98 @@ (portRef buf_APL_PACKET_NUM_IN_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef buf_APL_PACKET_NUM_IN_0 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_48 (joined - (portRef (member buf_apl_data_in 15) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 15) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_API_DATA_OUT_RNIGU9Q_9 (joined + (portRef (member buf_api_data_out_rnigu9q 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member buf_api_data_out_rnigu9q 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_49 (joined - (portRef (member buf_apl_data_in 14) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 14) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_63 (joined + (portRef buf_APL_DATA_IN_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_15 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_50 (joined - (portRef (member buf_apl_data_in 13) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 13) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_62 (joined + (portRef buf_APL_DATA_IN_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_14 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_51 (joined - (portRef (member buf_apl_data_in 12) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 12) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_61 (joined + (portRef buf_APL_DATA_IN_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_13 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_52 (joined - (portRef (member buf_apl_data_in 11) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 11) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_60 (joined + (portRef buf_APL_DATA_IN_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_12 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_53 (joined - (portRef (member buf_apl_data_in 10) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 10) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_59 (joined + (portRef buf_APL_DATA_IN_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_11 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_54 (joined - (portRef (member buf_apl_data_in 9) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 9) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_58 (joined + (portRef buf_APL_DATA_IN_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_10 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + )) + (net buf_APL_DATA_IN_56 (joined + (portRef buf_APL_DATA_IN_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_8 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net buf_APL_DATA_IN_55 (joined - (portRef (member buf_apl_data_in 8) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 8) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef buf_APL_DATA_IN_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_7 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_56 (joined - (portRef (member buf_apl_data_in 7) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 7) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_54 (joined + (portRef buf_APL_DATA_IN_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_6 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_57 (joined - (portRef (member buf_apl_data_in 6) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 6) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_53 (joined + (portRef buf_APL_DATA_IN_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_5 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_58 (joined - (portRef (member buf_apl_data_in 5) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 5) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_52 (joined + (portRef buf_APL_DATA_IN_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_4 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_59 (joined - (portRef (member buf_apl_data_in 4) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 4) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_51 (joined + (portRef buf_APL_DATA_IN_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_3 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_60 (joined - (portRef (member buf_apl_data_in 3) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 3) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_50 (joined + (portRef buf_APL_DATA_IN_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_2 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_61 (joined - (portRef (member buf_apl_data_in 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_49 (joined + (portRef buf_APL_DATA_IN_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_62 (joined - (portRef (member buf_apl_data_in 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_DATA_IN_48 (joined + (portRef buf_APL_DATA_IN_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_APL_DATA_IN_0 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_APL_DATA_IN_63 (joined - (portRef (member buf_apl_data_in 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_data_in 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_APL_TYP_OUT_0_0 (joined + (portRef (member buf_apl_typ_out 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_typ_out 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_APL_TYP_OUT_0_1 (joined + (portRef (member buf_apl_typ_out 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_typ_out 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_APL_TYP_OUT_0_2 (joined + (portRef (member buf_apl_typ_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_typ_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_api_stat_fifo_to_apl_106 (joined + (portRef buf_api_stat_fifo_to_apl_2 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + ) + ) + (net buf_APL_PACKET_NUM_OUT_9 (joined + (portRef (member buf_apl_packet_num_out 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_packet_num_out 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_APL_PACKET_NUM_OUT_10 (joined + (portRef (member buf_apl_packet_num_out 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_packet_num_out 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net buf_APL_PACKET_NUM_OUT_11 (joined + (portRef (member buf_apl_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_apl_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net buf_APL_DATA_OUT_48 (joined (portRef (member buf_apl_data_out 15) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) @@ -601934,6 +601865,10 @@ (portRef (member buf_apl_data_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_apl_data_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net buf_APL_READ_IN_3 (joined + (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + )) (net ADR_PACKET_NUM_OUT_2 (joined (portRef (member adr_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef (member adr_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) @@ -601942,39 +601877,10 @@ (portRef buf_api_stat_fifo_to_int_11 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net buf_APL_READ_IN_3 (joined - (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member buf_apl_read_in 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - )) - (net buf_APL_PACKET_NUM_OUT_9 (joined - (portRef (member buf_apl_packet_num_out 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_packet_num_out 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_PACKET_NUM_OUT_10 (joined - (portRef (member buf_apl_packet_num_out 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_packet_num_out 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_PACKET_NUM_OUT_11 (joined - (portRef (member buf_apl_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_packet_num_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_TYP_OUT_0_0 (joined - (portRef (member buf_apl_typ_out 2) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_typ_out 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_TYP_OUT_0_1 (joined - (portRef (member buf_apl_typ_out 1) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_typ_out 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net buf_APL_TYP_OUT_0_2 (joined - (portRef (member buf_apl_typ_out 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_typ_out 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_THE_ENDPOINT_6 (joined + (portRef (member un1_the_endpoint 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member un1_the_endpoint 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_api_stat_fifo_to_apl_106 (joined - (portRef buf_api_stat_fifo_to_apl_7 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_api_stat_fifo_to_apl 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - ) - ) (net buf_APL_ERROR_PATTERN_IN_114 (joined (portRef buf_APL_ERROR_PATTERN_IN_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef buf_APL_ERROR_PATTERN_IN_14 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) @@ -602012,46 +601918,52 @@ (portRef (member final_reset_iso 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) (portRef (member final_reset_iso 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net un1_THE_ENDPOINT_6 (joined - (portRef (member un1_the_endpoint 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member un1_the_endpoint 0) (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef reset_i_20 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net reset_i_19 (joined (portRef reset_i_19) (portRef reset_i_19 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef reset_i_19 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net N_93 (joined + (portRef N_93 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_93 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef reset_i_rep1_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_rep1_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef reset_i_rep1_1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef reset_i_rep1_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef reset_i_rep1_1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (net N_87 (joined + (portRef N_87 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_87 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net N_2069_0_1 (joined - (portRef N_2069_0_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef N_2069_0_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net buf_API_SHORT_TRANSFER_OUT_Q (joined + (portRef buf_API_SHORT_TRANSFER_OUT_Q (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef buf_API_SHORT_TRANSFER_OUT_Q (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net buf_API_DATAREADY_OUT (joined (portRef buf_API_DATAREADY_OUT (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef buf_API_DATAREADY_OUT (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net N_1956 (joined - (portRef N_1956 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef N_1956 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net N_1958 (joined + (portRef N_1958 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_1958 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + )) + (net reset_i_rep1 (joined + (portRef reset_i_rep1) + (portRef reset_i_rep1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_rep1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (portRef reset_i_rep1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef reset_i_rep1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net regio_timeout_out (joined (portRef regio_timeout_out (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef regio_timeout_out (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) )) - (net buf_API_SHORT_TRANSFER_OUT_Q (joined - (portRef buf_API_SHORT_TRANSFER_OUT_Q (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef buf_API_SHORT_TRANSFER_OUT_Q (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef reset_i_rep1_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_rep1_1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (portRef reset_i_rep1_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef reset_i_rep1_1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) )) (net stat_lvl1_handler_11 (joined (portRef stat_lvl1_handler_11 (instanceRef THE_LVL1_HANDLER)) @@ -602199,19 +602111,9 @@ (portRef (member trg_number_i 0) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) (portRef (member trg_number_i 0) (instanceRef THE_LVL1_HANDLER)) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef reset_i_fast_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_fast_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef reset_i_fast_1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef reset_i_fast_1 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) - )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef reset_i_rep1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_rep1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef reset_i_rep1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef reset_i_rep1 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (net reset_i_fast_r6 (joined + (portRef reset_i_fast_r6) + (portRef reset_i_fast_r6 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) )) (net buf_LVL1_TRG_RELEASE_IN (joined (portRef buf_LVL1_TRG_RELEASE_IN (instanceRef THE_LVL1_HANDLER)) @@ -602221,9 +602123,15 @@ (portRef reg_TRG_RECEIVED_OUT_Q (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) (portRef reg_TRG_RECEIVED_OUT_Q (instanceRef THE_LVL1_HANDLER)) )) - (net reset_i_fast_r3 (joined - (portRef reset_i_fast_r3) - (portRef reset_i_fast_r3 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef reset_i_fast_r4 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_fast_r4 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (portRef reset_i_fast_r4 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + )) + (net reset_i_fast_r2 (joined + (portRef reset_i_fast_r2) + (portRef reset_i_fast_r2 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) )) (net ipu_length_i_0 (joined (portRef (member ipu_length_i 15)) @@ -602485,28 +602393,31 @@ (portRef (member ipu_data_i 0)) (portRef (member ipu_data_i 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef (member buf_apl_dataready_in 0) (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef (member buf_apl_dataready_in 0)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i) - )) - (net reset_i_fast_r9 (joined - (portRef reset_i_fast_r9) - (portRef reset_i_fast_r9 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (net buf_api_stat_fifo_to_int_8 (joined + (portRef buf_api_stat_fifo_to_int_8 (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef buf_api_stat_fifo_to_int_8) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef reset_i_fast_r10 (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef reset_i_fast_r10 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef reset_i_fast_r10 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef reset_i_fast_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef reset_i_fast_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_fast_1 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) )) (net reset_i_fast_r8 (joined (portRef reset_i_fast_r8) (portRef reset_i_fast_r8 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_fast_r8 (instanceRef genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API)) + )) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef last_second_word_waiting_RNIKQVT1) + )) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef reset_i_fast_r9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef reset_i_fast_r9 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef reset_i_fast_r9 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) )) (net m174_2_03_3_i_4 (joined (portRef m174_2_03_3_i_4) @@ -602516,38 +602427,17 @@ (portRef m173_2_03_3_i_4) (portRef m173_2_03_3_i_4 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net last_second_word_waiting (joined - (portRef last_second_word_waiting (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef last_second_word_waiting) - )) (net ipu_readout_finished_i (joined (portRef ipu_readout_finished_i) (portRef ipu_readout_finished_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net N_4877 (joined - (portRef N_4877 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef N_4877) - )) - (net d_N_9 (joined - (portRef d_N_9 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef d_N_9 (instanceRef THE_LVL1_HANDLER)) - )) - (net waiting_word (joined - (portRef waiting_word (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef waiting_word (instanceRef genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API)) - (portRef waiting_word) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0) - )) - (net reset_i_fast_r2 (joined - (portRef reset_i_fast_r2) - (portRef reset_i_fast_r2 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (net reset_i_fast_r3 (joined + (portRef reset_i_fast_r3) + (portRef reset_i_fast_r3 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net suppress_output_fast (joined - (portRef suppress_output_fast) - (portRef suppress_output_fast (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (net suppress_output (joined + (portRef suppress_output) + (portRef suppress_output (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) (net m161_2_03 (joined (portRef m161_2_03) @@ -602557,10 +602447,6 @@ (portRef m166_2_03_4_i_4) (portRef m166_2_03_4_i_4 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) - (net waiting_word_RNIIPH92 (joined - (portRef waiting_word_RNIIPH92 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) - (portRef waiting_word_RNIIPH92) - )) (net ipu_dataready_i (joined (portRef ipu_dataready_i) (portRef ipu_dataready_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) @@ -602569,9 +602455,9 @@ (portRef ipu_start_readout_i (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) (portRef ipu_start_readout_i) )) - (net un14_i_a3_1_1 (joined - (portRef (member un14_i_a3_1 0)) - (portRef (member un14_i_a3_1 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un14_i_a3_0_1 (joined + (portRef (member un14_i_a3_0 0)) + (portRef (member un14_i_a3_0 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net trg_type_i_0 (joined (portRef (member trg_type_i 3) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) @@ -602594,11 +602480,6 @@ (portRef (member trg_type_i 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef (member trg_type_i 0)) )) - (net trg_information_i_0 (joined - (portRef (member trg_information_i 15) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) - (portRef (member trg_information_i 15) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef trg_information_i_0) - )) (net trg_code_i_0 (joined (portRef (member trg_code_i 7) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) (portRef (member trg_code_i 7) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -602639,125 +602520,10 @@ (portRef (member trg_code_i 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef (member trg_code_i 0)) )) - (net common_stat_reg_i_0 (joined - (portRef common_stat_reg_i_0) - (portRef common_stat_reg_i_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_2 (joined - (portRef common_stat_reg_i_2) - (portRef common_stat_reg_i_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_57 (joined - (portRef common_stat_reg_i_57) - (portRef common_stat_reg_i_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_56 (joined - (portRef common_stat_reg_i_56) - (portRef common_stat_reg_i_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_52 (joined - (portRef common_stat_reg_i_52) - (portRef common_stat_reg_i_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_60 (joined - (portRef common_stat_reg_i_60) - (portRef common_stat_reg_i_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_48 (joined - (portRef common_stat_reg_i_48) - (portRef common_stat_reg_i_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_47 (joined - (portRef common_stat_reg_i_47) - (portRef common_stat_reg_i_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_49 (joined - (portRef common_stat_reg_i_49) - (portRef common_stat_reg_i_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_50 (joined - (portRef common_stat_reg_i_50) - (portRef common_stat_reg_i_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_51 (joined - (portRef common_stat_reg_i_51) - (portRef common_stat_reg_i_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_61 (joined - (portRef common_stat_reg_i_61) - (portRef common_stat_reg_i_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_55 (joined - (portRef common_stat_reg_i_55) - (portRef common_stat_reg_i_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_53 (joined - (portRef common_stat_reg_i_53) - (portRef common_stat_reg_i_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_54 (joined - (portRef common_stat_reg_i_54) - (portRef common_stat_reg_i_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_59 (joined - (portRef common_stat_reg_i_59) - (portRef common_stat_reg_i_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_62 (joined - (portRef common_stat_reg_i_62) - (portRef common_stat_reg_i_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net common_stat_reg_i_58 (joined - (portRef common_stat_reg_i_58) - (portRef common_stat_reg_i_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_0 (joined - (portRef (member temperature 11) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 11) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_1 (joined - (portRef (member temperature 10) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 10) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_2 (joined - (portRef (member temperature 9) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 9) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_3 (joined - (portRef (member temperature 8) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 8) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_4 (joined - (portRef (member temperature 7) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 7) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_5 (joined - (portRef (member temperature 6) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 6) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_6 (joined - (portRef (member temperature 5) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 5) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_7 (joined - (portRef (member temperature 4) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 4) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_8 (joined - (portRef (member temperature 3) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 3) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_9 (joined - (portRef (member temperature 2) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_10 (joined - (portRef (member temperature 1) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net temperature_11 (joined - (portRef (member temperature 0) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - (portRef (member temperature 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net trg_information_i_0 (joined + (portRef (member trg_information_i 15) (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (portRef (member trg_information_i 15) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef trg_information_i_0) )) (net COMMON_CTRL_REG_STROBE_1 (joined (portRef (member common_ctrl_reg_strobe 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -602892,288 +602658,208 @@ (portRef (member regio_data_in_i 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net ctrl_reg_0 (joined - (portRef ctrl_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef ctrl_reg_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef ctrl_reg_0) )) - (net ctrl_reg_1 (joined + (net ctrl_reg_24 (joined + (portRef ctrl_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef ctrl_reg_24) + )) + (net ctrl_reg_25 (joined (portRef ctrl_reg_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_1) + (portRef ctrl_reg_25) )) - (net ctrl_reg_2 (joined + (net ctrl_reg_26 (joined (portRef ctrl_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_2) + (portRef ctrl_reg_26) )) - (net ctrl_reg_3 (joined + (net ctrl_reg_27 (joined (portRef ctrl_reg_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_3) + (portRef ctrl_reg_27) )) - (net ctrl_reg_4 (joined + (net ctrl_reg_28 (joined (portRef ctrl_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_4) + (portRef ctrl_reg_28) )) - (net ctrl_reg_5 (joined + (net ctrl_reg_29 (joined (portRef ctrl_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_5) + (portRef ctrl_reg_29) )) - (net ctrl_reg_6 (joined + (net ctrl_reg_30 (joined (portRef ctrl_reg_42 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_6) + (portRef ctrl_reg_30) )) - (net ctrl_reg_12 (joined + (net ctrl_reg_36 (joined (portRef ctrl_reg_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_12) + (portRef ctrl_reg_36) )) - (net ctrl_reg_13 (joined + (net ctrl_reg_37 (joined (portRef ctrl_reg_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_13) + (portRef ctrl_reg_37) )) - (net ctrl_reg_14 (joined + (net ctrl_reg_38 (joined (portRef ctrl_reg_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_14) + (portRef ctrl_reg_38) )) - (net ctrl_reg_15 (joined + (net ctrl_reg_39 (joined (portRef ctrl_reg_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_15) + (portRef ctrl_reg_39) )) - (net ctrl_reg_16 (joined + (net ctrl_reg_40 (joined (portRef ctrl_reg_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_16) + (portRef ctrl_reg_40) )) - (net ctrl_reg_17 (joined + (net ctrl_reg_41 (joined (portRef ctrl_reg_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_17) + (portRef ctrl_reg_41) )) - (net ctrl_reg_18 (joined + (net ctrl_reg_42 (joined (portRef ctrl_reg_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_18) + (portRef ctrl_reg_42) )) - (net ctrl_reg_19 (joined + (net ctrl_reg_43 (joined (portRef ctrl_reg_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_19) + (portRef ctrl_reg_43) )) - (net ctrl_reg_20 (joined + (net ctrl_reg_44 (joined (portRef ctrl_reg_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_20) + (portRef ctrl_reg_44) )) - (net ctrl_reg_21 (joined + (net ctrl_reg_45 (joined (portRef ctrl_reg_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_21) + (portRef ctrl_reg_45) )) - (net ctrl_reg_22 (joined + (net ctrl_reg_46 (joined (portRef ctrl_reg_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_22) + (portRef ctrl_reg_46) )) - (net ctrl_reg_27 (joined + (net ctrl_reg_51 (joined (portRef ctrl_reg_63 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_27) + (portRef ctrl_reg_51) )) - (net ctrl_reg_29 (joined + (net ctrl_reg_53 (joined (portRef ctrl_reg_65 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_29) + (portRef ctrl_reg_53) )) - (net ctrl_reg_30 (joined + (net ctrl_reg_54 (joined (portRef ctrl_reg_66 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_30) + (portRef ctrl_reg_54) )) - (net ctrl_reg_31 (joined + (net ctrl_reg_55 (joined (portRef ctrl_reg_67 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_31) + (portRef ctrl_reg_55) )) - (net ctrl_reg_32 (joined + (net ctrl_reg_56 (joined (portRef ctrl_reg_68 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_32) + (portRef ctrl_reg_56) )) - (net ctrl_reg_33 (joined + (net ctrl_reg_57 (joined (portRef ctrl_reg_69 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_33) + (portRef ctrl_reg_57) )) - (net ctrl_reg_34 (joined + (net ctrl_reg_58 (joined (portRef ctrl_reg_70 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_34) + (portRef ctrl_reg_58) )) - (net ctrl_reg_35 (joined + (net ctrl_reg_59 (joined (portRef ctrl_reg_71 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_35) + (portRef ctrl_reg_59) )) - (net ctrl_reg_36 (joined + (net ctrl_reg_60 (joined (portRef ctrl_reg_72 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_36) + (portRef ctrl_reg_60) )) - (net ctrl_reg_37 (joined + (net ctrl_reg_61 (joined (portRef ctrl_reg_73 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_37) + (portRef ctrl_reg_61) )) - (net ctrl_reg_38 (joined + (net ctrl_reg_62 (joined (portRef ctrl_reg_74 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_38) + (portRef ctrl_reg_62) )) - (net ctrl_reg_39 (joined + (net ctrl_reg_63 (joined (portRef ctrl_reg_75 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_39) + (portRef ctrl_reg_63) )) - (net ctrl_reg_40 (joined + (net ctrl_reg_64 (joined (portRef ctrl_reg_76 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_40) + (portRef ctrl_reg_64) )) - (net ctrl_reg_41 (joined + (net ctrl_reg_65 (joined (portRef ctrl_reg_77 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_41) + (portRef ctrl_reg_65) )) - (net ctrl_reg_42 (joined + (net ctrl_reg_66 (joined (portRef ctrl_reg_78 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_42) + (portRef ctrl_reg_66) )) - (net ctrl_reg_43 (joined + (net ctrl_reg_67 (joined (portRef ctrl_reg_79 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_43) + (portRef ctrl_reg_67) )) - (net ctrl_reg_44 (joined + (net ctrl_reg_68 (joined (portRef ctrl_reg_80 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_44) + (portRef ctrl_reg_68) )) - (net ctrl_reg_45 (joined + (net ctrl_reg_69 (joined (portRef ctrl_reg_81 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_45) + (portRef ctrl_reg_69) )) - (net ctrl_reg_46 (joined + (net ctrl_reg_70 (joined (portRef ctrl_reg_82 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_46) + (portRef ctrl_reg_70) )) - (net ctrl_reg_47 (joined + (net ctrl_reg_71 (joined (portRef ctrl_reg_83 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_47) + (portRef ctrl_reg_71) )) - (net ctrl_reg_48 (joined + (net ctrl_reg_72 (joined (portRef ctrl_reg_84 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_48) + (portRef ctrl_reg_72) )) - (net ctrl_reg_49 (joined + (net ctrl_reg_73 (joined (portRef ctrl_reg_85 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_49) + (portRef ctrl_reg_73) )) - (net ctrl_reg_50 (joined + (net ctrl_reg_74 (joined (portRef ctrl_reg_86 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_50) + (portRef ctrl_reg_74) )) - (net ctrl_reg_51 (joined + (net ctrl_reg_75 (joined (portRef ctrl_reg_87 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_51) + (portRef ctrl_reg_75) )) - (net ctrl_reg_52 (joined + (net ctrl_reg_76 (joined (portRef ctrl_reg_88 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_52) + (portRef ctrl_reg_76) )) - (net ctrl_reg_53 (joined + (net ctrl_reg_77 (joined (portRef ctrl_reg_89 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_53) + (portRef ctrl_reg_77) )) - (net ctrl_reg_54 (joined + (net ctrl_reg_78 (joined (portRef ctrl_reg_90 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_54) + (portRef ctrl_reg_78) )) - (net ctrl_reg_55 (joined + (net ctrl_reg_79 (joined (portRef ctrl_reg_91 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_55) + (portRef ctrl_reg_79) )) - (net ctrl_reg_56 (joined + (net ctrl_reg_80 (joined (portRef ctrl_reg_92 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_56) + (portRef ctrl_reg_80) )) - (net ctrl_reg_57 (joined + (net ctrl_reg_81 (joined (portRef ctrl_reg_93 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_57) + (portRef ctrl_reg_81) )) - (net ctrl_reg_58 (joined + (net ctrl_reg_82 (joined (portRef ctrl_reg_94 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_58) + (portRef ctrl_reg_82) )) - (net ctrl_reg_59 (joined + (net ctrl_reg_83 (joined (portRef ctrl_reg_95 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef ctrl_reg_59) - )) - (net common_ctrl_reg_32 (joined - (portRef common_ctrl_reg_32 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_28 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_33 (joined - (portRef common_ctrl_reg_33 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_29 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_34 (joined - (portRef common_ctrl_reg_34 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_30 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_35 (joined - (portRef common_ctrl_reg_35 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_31 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_36 (joined - (portRef common_ctrl_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_32 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_37 (joined - (portRef common_ctrl_reg_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_33 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_38 (joined - (portRef common_ctrl_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_34 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_39 (joined - (portRef common_ctrl_reg_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_35 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_40 (joined - (portRef common_ctrl_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_36 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_41 (joined - (portRef common_ctrl_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_37 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_42 (joined - (portRef common_ctrl_reg_42 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_38 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_43 (joined - (portRef common_ctrl_reg_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_39 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_44 (joined - (portRef common_ctrl_reg_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_40 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_45 (joined - (portRef common_ctrl_reg_45 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_41 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_46 (joined - (portRef common_ctrl_reg_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_42 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_47 (joined - (portRef common_ctrl_reg_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_43 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_93 (joined - (portRef common_ctrl_reg_93 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_89 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_95 (joined - (portRef common_ctrl_reg_95 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_91 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_16 (joined - (portRef common_ctrl_reg_16 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_12 (instanceRef THE_LVL1_HANDLER)) - )) - (net common_ctrl_reg_14 (joined - (portRef common_ctrl_reg_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_14) - )) - (net common_ctrl_reg_1 (joined - (portRef common_ctrl_reg_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef common_ctrl_reg_1) + (portRef ctrl_reg_83) )) (net regio_data_out_i_0 (joined (portRef (member regio_data_out_i 31) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603307,37 +602993,33 @@ (portRef (member timer_ticks 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef timer_ticks_0) )) - (net stat_lvl1_handler_61 (joined - (portRef stat_lvl1_handler_61 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_lvl1_handler_40 (joined (portRef stat_lvl1_handler_40 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_lvl1_handler_35 (joined + (portRef stat_lvl1_handler_35 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_35 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_lvl1_handler_63 (joined (portRef stat_lvl1_handler_63 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_63 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_59 (joined - (portRef stat_lvl1_handler_59 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_62 (joined + (portRef stat_lvl1_handler_62 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_60 (joined (portRef stat_lvl1_handler_60 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_35 (joined - (portRef stat_lvl1_handler_35 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_35 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_41 (joined - (portRef stat_lvl1_handler_41 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_61 (joined + (portRef stat_lvl1_handler_61 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_62 (joined - (portRef stat_lvl1_handler_62 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_59 (joined + (portRef stat_lvl1_handler_59 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_42 (joined (portRef stat_lvl1_handler_42 (instanceRef THE_LVL1_HANDLER)) @@ -603351,6 +603033,14 @@ (portRef stat_lvl1_handler_15 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_lvl1_handler_32 (joined + (portRef stat_lvl1_handler_32 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_32 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_0 (joined + (portRef stat_lvl1_handler_0 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_lvl1_handler_44 (joined (portRef stat_lvl1_handler_44 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603359,13 +603049,13 @@ (portRef stat_lvl1_handler_12 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_39 (joined - (portRef stat_lvl1_handler_39 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_46 (joined + (portRef stat_lvl1_handler_46 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_7 (joined - (portRef stat_lvl1_handler_7 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_14 (joined + (portRef stat_lvl1_handler_14 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_45 (joined (portRef stat_lvl1_handler_45 (instanceRef THE_LVL1_HANDLER)) @@ -603375,18 +603065,6 @@ (portRef stat_lvl1_handler_13 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_38 (joined - (portRef stat_lvl1_handler_38 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_6 (joined - (portRef stat_lvl1_handler_6 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_43 (joined - (portRef stat_lvl1_handler_43 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_lvl1_handler_50 (joined (portRef stat_lvl1_handler_50 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603411,14 +603089,6 @@ (portRef stat_lvl1_handler_19 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_19 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_55 (joined - (portRef stat_lvl1_handler_55 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_23 (joined - (portRef stat_lvl1_handler_23 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_23 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_lvl1_handler_49 (joined (portRef stat_lvl1_handler_49 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603459,21 +603129,33 @@ (portRef stat_lvl1_handler_25 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_25 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_46 (joined - (portRef stat_lvl1_handler_46 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_39 (joined + (portRef stat_lvl1_handler_39 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_14 (joined - (portRef stat_lvl1_handler_14 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_7 (joined + (portRef stat_lvl1_handler_7 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_32 (joined - (portRef stat_lvl1_handler_32 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_32 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_43 (joined + (portRef stat_lvl1_handler_43 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_0 (joined - (portRef stat_lvl1_handler_0 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_38 (joined + (portRef stat_lvl1_handler_38 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_6 (joined + (portRef stat_lvl1_handler_6 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_36 (joined + (portRef stat_lvl1_handler_36 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_4 (joined + (portRef stat_lvl1_handler_4 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_34 (joined (portRef stat_lvl1_handler_34 (instanceRef THE_LVL1_HANDLER)) @@ -603499,6 +603181,14 @@ (portRef stat_lvl1_handler_20 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_20 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_lvl1_handler_55 (joined + (portRef stat_lvl1_handler_55 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_lvl1_handler_23 (joined + (portRef stat_lvl1_handler_23 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_23 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_lvl1_handler_58 (joined (portRef stat_lvl1_handler_58 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603507,13 +603197,9 @@ (portRef stat_lvl1_handler_26 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_26 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_lvl1_handler_36 (joined - (portRef stat_lvl1_handler_36 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_lvl1_handler_4 (joined - (portRef stat_lvl1_handler_4 (instanceRef THE_LVL1_HANDLER)) - (portRef stat_lvl1_handler_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_lvl1_handler_41 (joined + (portRef stat_lvl1_handler_41 (instanceRef THE_LVL1_HANDLER)) + (portRef stat_lvl1_handler_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_lvl1_handler_5 (joined (portRef stat_lvl1_handler_5 (instanceRef THE_LVL1_HANDLER)) @@ -603523,165 +603209,257 @@ (portRef stat_lvl1_handler_37 (instanceRef THE_LVL1_HANDLER)) (portRef stat_lvl1_handler_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_addr_i_2_1 (joined - (portRef (member regio_addr_i_2 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member regio_addr_i_2 0)) + (net regio_addr_i_1_1 (joined + (portRef regio_addr_i_1_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i_1 0)) )) - (net stat_reg_40 (joined - (portRef stat_reg_40) - (portRef stat_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net common_ctrl_reg_32 (joined + (portRef common_ctrl_reg_32 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_28 (instanceRef THE_LVL1_HANDLER)) )) - (net stat_reg_61 (joined - (portRef stat_reg_61) - (portRef stat_reg_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net common_ctrl_reg_33 (joined + (portRef common_ctrl_reg_33 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_29 (instanceRef THE_LVL1_HANDLER)) )) - (net stat_reg_63 (joined - (portRef stat_reg_63) - (portRef stat_reg_63 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net common_ctrl_reg_34 (joined + (portRef common_ctrl_reg_34 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_30 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_35 (joined + (portRef common_ctrl_reg_35 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_31 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_36 (joined + (portRef common_ctrl_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_32 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_37 (joined + (portRef common_ctrl_reg_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_33 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_38 (joined + (portRef common_ctrl_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_34 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_39 (joined + (portRef common_ctrl_reg_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_35 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_40 (joined + (portRef common_ctrl_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_36 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_41 (joined + (portRef common_ctrl_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_37 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_42 (joined + (portRef common_ctrl_reg_42 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_38 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_43 (joined + (portRef common_ctrl_reg_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_39 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_44 (joined + (portRef common_ctrl_reg_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_40 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_45 (joined + (portRef common_ctrl_reg_45 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_41 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_46 (joined + (portRef common_ctrl_reg_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_42 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_47 (joined + (portRef common_ctrl_reg_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_43 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_93 (joined + (portRef common_ctrl_reg_93 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_89 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_95 (joined + (portRef common_ctrl_reg_95 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_91 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_16 (joined + (portRef common_ctrl_reg_16 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_12 (instanceRef THE_LVL1_HANDLER)) + )) + (net common_ctrl_reg_14 (joined + (portRef common_ctrl_reg_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_14) + )) + (net common_ctrl_reg_1 (joined + (portRef common_ctrl_reg_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef common_ctrl_reg_1) + )) + (net regio_addr_i_3_0 (joined + (portRef (member regio_addr_i_3 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i_3 0)) + )) + (net regio_addr_i_5_0 (joined + (portRef (member regio_addr_i_5 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i_5 0)) )) (net stat_reg_59 (joined (portRef stat_reg_59) (portRef stat_reg_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_640 (joined - (portRef stat_reg_640) - (portRef stat_reg_640 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_44 (joined - (portRef stat_reg_44) - (portRef stat_reg_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_60 (joined + (portRef stat_reg_60) + (portRef stat_reg_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_642 (joined - (portRef stat_reg_642) - (portRef stat_reg_642 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_653 (joined + (portRef stat_reg_653) + (portRef stat_reg_653 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_661 (joined - (portRef stat_reg_661) - (portRef stat_reg_661 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_651 (joined + (portRef stat_reg_651) + (portRef stat_reg_651 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_656 (joined - (portRef stat_reg_656) - (portRef stat_reg_656 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_643 (joined + (portRef stat_reg_643) + (portRef stat_reg_643 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_46 (joined (portRef stat_reg_46) (portRef stat_reg_46 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_650 (joined - (portRef stat_reg_650) - (portRef stat_reg_650 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_640 (joined + (portRef stat_reg_640) + (portRef stat_reg_640 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_642 (joined + (portRef stat_reg_642) + (portRef stat_reg_642 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_662 (joined (portRef stat_reg_662) (portRef stat_reg_662 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_647 (joined - (portRef stat_reg_647) - (portRef stat_reg_647 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_649 (joined (portRef stat_reg_649) (portRef stat_reg_649 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_660 (joined + (portRef stat_reg_660) + (portRef stat_reg_660 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_655 (joined + (portRef stat_reg_655) + (portRef stat_reg_655 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_654 (joined (portRef stat_reg_654) (portRef stat_reg_654 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_648 (joined + (portRef stat_reg_648) + (portRef stat_reg_648 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_44 (joined + (portRef stat_reg_44) + (portRef stat_reg_44 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_47 (joined + (portRef stat_reg_47) + (portRef stat_reg_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_641 (joined (portRef stat_reg_641) (portRef stat_reg_641 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_646 (joined - (portRef stat_reg_646) - (portRef stat_reg_646 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_652 (joined - (portRef stat_reg_652) - (portRef stat_reg_652 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_648 (joined - (portRef stat_reg_648) - (portRef stat_reg_648 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_650 (joined + (portRef stat_reg_650) + (portRef stat_reg_650 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_645 (joined (portRef stat_reg_645) (portRef stat_reg_645 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_47 (joined - (portRef stat_reg_47) - (portRef stat_reg_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_652 (joined + (portRef stat_reg_652) + (portRef stat_reg_652 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_643 (joined - (portRef stat_reg_643) - (portRef stat_reg_643 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_45 (joined + (portRef stat_reg_45) + (portRef stat_reg_45 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_661 (joined + (portRef stat_reg_661) + (portRef stat_reg_661 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_644 (joined (portRef stat_reg_644) (portRef stat_reg_644 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_655 (joined - (portRef stat_reg_655) - (portRef stat_reg_655 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_52 (joined - (portRef stat_reg_52) - (portRef stat_reg_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_646 (joined + (portRef stat_reg_646) + (portRef stat_reg_646 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_54 (joined - (portRef stat_reg_54) - (portRef stat_reg_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_647 (joined + (portRef stat_reg_647) + (portRef stat_reg_647 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_41 (joined - (portRef stat_reg_41) - (portRef stat_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_49 (joined + (portRef stat_reg_49) + (portRef stat_reg_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_48 (joined - (portRef stat_reg_48) - (portRef stat_reg_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_50 (joined + (portRef stat_reg_50) + (portRef stat_reg_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_37 (joined (portRef stat_reg_37) (portRef stat_reg_37 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_51 (joined - (portRef stat_reg_51) - (portRef stat_reg_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_53 (joined - (portRef stat_reg_53) - (portRef stat_reg_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_55 (joined + (portRef stat_reg_55) + (portRef stat_reg_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_50 (joined - (portRef stat_reg_50) - (portRef stat_reg_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_38 (joined + (portRef stat_reg_38) + (portRef stat_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_42 (joined (portRef stat_reg_42) (portRef stat_reg_42 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_48 (joined + (portRef stat_reg_48) + (portRef stat_reg_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_40 (joined + (portRef stat_reg_40) + (portRef stat_reg_40 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_51 (joined + (portRef stat_reg_51) + (portRef stat_reg_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_54 (joined + (portRef stat_reg_54) + (portRef stat_reg_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_39 (joined (portRef stat_reg_39) (portRef stat_reg_39 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_55 (joined - (portRef stat_reg_55) - (portRef stat_reg_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_38 (joined - (portRef stat_reg_38) - (portRef stat_reg_38 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_49 (joined - (portRef stat_reg_49) - (portRef stat_reg_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_41 (joined + (portRef stat_reg_41) + (portRef stat_reg_41 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_651 (joined - (portRef stat_reg_651) - (portRef stat_reg_651 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_53 (joined + (portRef stat_reg_53) + (portRef stat_reg_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_653 (joined - (portRef stat_reg_653) - (portRef stat_reg_653 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_52 (joined + (portRef stat_reg_52) + (portRef stat_reg_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_658 (joined (portRef stat_reg_658) @@ -603691,9 +603469,9 @@ (portRef stat_reg_657) (portRef stat_reg_657 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_660 (joined - (portRef stat_reg_660) - (portRef stat_reg_660 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_656 (joined + (portRef stat_reg_656) + (portRef stat_reg_656 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_659 (joined (portRef stat_reg_659) @@ -603719,22 +603497,6 @@ (portRef stat_reg_334) (portRef stat_reg_334 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_430 (joined - (portRef stat_reg_430) - (portRef stat_reg_430 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_398 (joined - (portRef stat_reg_398) - (portRef stat_reg_398 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_494 (joined - (portRef stat_reg_494) - (portRef stat_reg_494 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_462 (joined - (portRef stat_reg_462) - (portRef stat_reg_462 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_168 (joined (portRef stat_reg_168) (portRef stat_reg_168 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603767,6 +603529,22 @@ (portRef stat_reg_328) (portRef stat_reg_328 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_424 (joined + (portRef stat_reg_424) + (portRef stat_reg_424 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_392 (joined + (portRef stat_reg_392) + (portRef stat_reg_392 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_488 (joined + (portRef stat_reg_488) + (portRef stat_reg_488 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_456 (joined + (portRef stat_reg_456) + (portRef stat_reg_456 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_552 (joined (portRef stat_reg_552) (portRef stat_reg_552 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603775,14 +603553,6 @@ (portRef stat_reg_520) (portRef stat_reg_520 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_616 (joined - (portRef stat_reg_616) - (portRef stat_reg_616 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_584 (joined - (portRef stat_reg_584) - (portRef stat_reg_584 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_172 (joined (portRef stat_reg_172) (portRef stat_reg_172 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603839,14 +603609,6 @@ (portRef stat_reg_139) (portRef stat_reg_139 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_235 (joined - (portRef stat_reg_235) - (portRef stat_reg_235 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_203 (joined - (portRef stat_reg_203) - (portRef stat_reg_203 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_299 (joined (portRef stat_reg_299) (portRef stat_reg_299 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603879,14 +603641,6 @@ (portRef stat_reg_523) (portRef stat_reg_523 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_619 (joined - (portRef stat_reg_619) - (portRef stat_reg_619 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_587 (joined - (portRef stat_reg_587) - (portRef stat_reg_587 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_427 (joined (portRef stat_reg_427) (portRef stat_reg_427 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603927,6 +603681,22 @@ (portRef stat_reg_330) (portRef stat_reg_330 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_426 (joined + (portRef stat_reg_426) + (portRef stat_reg_426 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_394 (joined + (portRef stat_reg_394) + (portRef stat_reg_394 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_490 (joined + (portRef stat_reg_490) + (portRef stat_reg_490 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_458 (joined + (portRef stat_reg_458) + (portRef stat_reg_458 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_554 (joined (portRef stat_reg_554) (portRef stat_reg_554 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -603999,6 +603769,14 @@ (portRef stat_reg_526) (portRef stat_reg_526 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_622 (joined + (portRef stat_reg_622) + (portRef stat_reg_622 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_590 (joined + (portRef stat_reg_590) + (portRef stat_reg_590 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_173 (joined (portRef stat_reg_173) (portRef stat_reg_173 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604007,14 +603785,6 @@ (portRef stat_reg_141) (portRef stat_reg_141 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_237 (joined - (portRef stat_reg_237) - (portRef stat_reg_237 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_205 (joined - (portRef stat_reg_205) - (portRef stat_reg_205 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_301 (joined (portRef stat_reg_301) (portRef stat_reg_301 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604047,14 +603817,6 @@ (portRef stat_reg_525) (portRef stat_reg_525 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_621 (joined - (portRef stat_reg_621) - (portRef stat_reg_621 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_589 (joined - (portRef stat_reg_589) - (portRef stat_reg_589 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_429 (joined (portRef stat_reg_429) (portRef stat_reg_429 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604271,6 +604033,14 @@ (portRef stat_reg_197) (portRef stat_reg_197 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_293 (joined + (portRef stat_reg_293) + (portRef stat_reg_293 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_261 (joined + (portRef stat_reg_261) + (portRef stat_reg_261 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_357 (joined (portRef stat_reg_357) (portRef stat_reg_357 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604295,13 +604065,13 @@ (portRef stat_reg_453) (portRef stat_reg_453 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_36 (joined - (portRef stat_reg_36) - (portRef stat_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_549 (joined + (portRef stat_reg_549) + (portRef stat_reg_549 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_4 (joined - (portRef stat_reg_4) - (portRef stat_reg_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_517 (joined + (portRef stat_reg_517) + (portRef stat_reg_517 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_164 (joined (portRef stat_reg_164) @@ -604335,21 +604105,13 @@ (portRef stat_reg_324) (portRef stat_reg_324 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_484 (joined - (portRef stat_reg_484) - (portRef stat_reg_484 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_452 (joined - (portRef stat_reg_452) - (portRef stat_reg_452 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_420 (joined - (portRef stat_reg_420) - (portRef stat_reg_420 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_548 (joined + (portRef stat_reg_548) + (portRef stat_reg_548 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_388 (joined - (portRef stat_reg_388) - (portRef stat_reg_388 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_516 (joined + (portRef stat_reg_516) + (portRef stat_reg_516 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_612 (joined (portRef stat_reg_612) @@ -604383,6 +604145,22 @@ (portRef stat_reg_195) (portRef stat_reg_195 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_291 (joined + (portRef stat_reg_291) + (portRef stat_reg_291 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_259 (joined + (portRef stat_reg_259) + (portRef stat_reg_259 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_355 (joined + (portRef stat_reg_355) + (portRef stat_reg_355 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_323 (joined + (portRef stat_reg_323) + (portRef stat_reg_323 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_419 (joined (portRef stat_reg_419) (portRef stat_reg_419 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604503,6 +604281,14 @@ (portRef stat_reg_519) (portRef stat_reg_519 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_615 (joined + (portRef stat_reg_615) + (portRef stat_reg_615 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_583 (joined + (portRef stat_reg_583) + (portRef stat_reg_583 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_425 (joined (portRef stat_reg_425) (portRef stat_reg_425 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604519,13 +604305,45 @@ (portRef stat_reg_457) (portRef stat_reg_457 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_56 (joined - (portRef stat_reg_56) - (portRef stat_reg_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_169 (joined + (portRef stat_reg_169) + (portRef stat_reg_169 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_58 (joined - (portRef stat_reg_58) - (portRef stat_reg_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_137 (joined + (portRef stat_reg_137) + (portRef stat_reg_137 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_233 (joined + (portRef stat_reg_233) + (portRef stat_reg_233 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_201 (joined + (portRef stat_reg_201) + (portRef stat_reg_201 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_297 (joined + (portRef stat_reg_297) + (portRef stat_reg_297 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_265 (joined + (portRef stat_reg_265) + (portRef stat_reg_265 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_361 (joined + (portRef stat_reg_361) + (portRef stat_reg_361 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_329 (joined + (portRef stat_reg_329) + (portRef stat_reg_329 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_553 (joined + (portRef stat_reg_553) + (portRef stat_reg_553 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_521 (joined + (portRef stat_reg_521) + (portRef stat_reg_521 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_178 (joined (portRef stat_reg_178) @@ -604639,6 +604457,38 @@ (portRef stat_reg_529) (portRef stat_reg_529 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_176 (joined + (portRef stat_reg_176) + (portRef stat_reg_176 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_144 (joined + (portRef stat_reg_144) + (portRef stat_reg_144 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_240 (joined + (portRef stat_reg_240) + (portRef stat_reg_240 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_208 (joined + (portRef stat_reg_208) + (portRef stat_reg_208 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_432 (joined + (portRef stat_reg_432) + (portRef stat_reg_432 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_400 (joined + (portRef stat_reg_400) + (portRef stat_reg_400 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_496 (joined + (portRef stat_reg_496) + (portRef stat_reg_496 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_464 (joined + (portRef stat_reg_464) + (portRef stat_reg_464 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_560 (joined (portRef stat_reg_560) (portRef stat_reg_560 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604647,14 +604497,6 @@ (portRef stat_reg_528) (portRef stat_reg_528 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_624 (joined - (portRef stat_reg_624) - (portRef stat_reg_624 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_592 (joined - (portRef stat_reg_592) - (portRef stat_reg_592 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_304 (joined (portRef stat_reg_304) (portRef stat_reg_304 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604663,6 +604505,14 @@ (portRef stat_reg_272) (portRef stat_reg_272 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_368 (joined + (portRef stat_reg_368) + (portRef stat_reg_368 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_336 (joined + (portRef stat_reg_336) + (portRef stat_reg_336 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_181 (joined (portRef stat_reg_181) (portRef stat_reg_181 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -604751,21 +604601,13 @@ (portRef stat_reg_340) (portRef stat_reg_340 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_500 (joined - (portRef stat_reg_500) - (portRef stat_reg_500 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_468 (joined - (portRef stat_reg_468) - (portRef stat_reg_468 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_436 (joined - (portRef stat_reg_436) - (portRef stat_reg_436 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_564 (joined + (portRef stat_reg_564) + (portRef stat_reg_564 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_404 (joined - (portRef stat_reg_404) - (portRef stat_reg_404 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_532 (joined + (portRef stat_reg_532) + (portRef stat_reg_532 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_628 (joined (portRef stat_reg_628) @@ -604943,121 +604785,13 @@ (portRef stat_reg_535) (portRef stat_reg_535 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_57 (joined - (portRef stat_reg_57) - (portRef stat_reg_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_617 (joined - (portRef stat_reg_617) - (portRef stat_reg_617 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_585 (joined - (portRef stat_reg_585) - (portRef stat_reg_585 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_553 (joined - (portRef stat_reg_553) - (portRef stat_reg_553 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_521 (joined - (portRef stat_reg_521) - (portRef stat_reg_521 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_355 (joined - (portRef stat_reg_355) - (portRef stat_reg_355 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_323 (joined - (portRef stat_reg_323) - (portRef stat_reg_323 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_291 (joined - (portRef stat_reg_291) - (portRef stat_reg_291 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_259 (joined - (portRef stat_reg_259) - (portRef stat_reg_259 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_368 (joined - (portRef stat_reg_368) - (portRef stat_reg_368 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_336 (joined - (portRef stat_reg_336) - (portRef stat_reg_336 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_240 (joined - (portRef stat_reg_240) - (portRef stat_reg_240 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_208 (joined - (portRef stat_reg_208) - (portRef stat_reg_208 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_176 (joined - (portRef stat_reg_176) - (portRef stat_reg_176 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_144 (joined - (portRef stat_reg_144) - (portRef stat_reg_144 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_361 (joined - (portRef stat_reg_361) - (portRef stat_reg_361 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_329 (joined - (portRef stat_reg_329) - (portRef stat_reg_329 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_297 (joined - (portRef stat_reg_297) - (portRef stat_reg_297 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_265 (joined - (portRef stat_reg_265) - (portRef stat_reg_265 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_233 (joined - (portRef stat_reg_233) - (portRef stat_reg_233 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_201 (joined - (portRef stat_reg_201) - (portRef stat_reg_201 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_169 (joined - (portRef stat_reg_169) - (portRef stat_reg_169 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_137 (joined - (portRef stat_reg_137) - (portRef stat_reg_137 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_549 (joined - (portRef stat_reg_549) - (portRef stat_reg_549 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_517 (joined - (portRef stat_reg_517) - (portRef stat_reg_517 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_293 (joined - (portRef stat_reg_293) - (portRef stat_reg_293 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_261 (joined - (portRef stat_reg_261) - (portRef stat_reg_261 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_43 (joined - (portRef stat_reg_43) - (portRef stat_reg_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_619 (joined + (portRef stat_reg_619) + (portRef stat_reg_619 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_45 (joined - (portRef stat_reg_45) - (portRef stat_reg_45 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_587 (joined + (portRef stat_reg_587) + (portRef stat_reg_587 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_239 (joined (portRef stat_reg_239) @@ -605067,6 +604801,14 @@ (portRef stat_reg_207) (portRef stat_reg_207 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_621 (joined + (portRef stat_reg_621) + (portRef stat_reg_621 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_589 (joined + (portRef stat_reg_589) + (portRef stat_reg_589 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_610 (joined (portRef stat_reg_610) (portRef stat_reg_610 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605075,6 +604817,14 @@ (portRef stat_reg_578) (portRef stat_reg_578 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_237 (joined + (portRef stat_reg_237) + (portRef stat_reg_237 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_205 (joined + (portRef stat_reg_205) + (portRef stat_reg_205 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_609 (joined (portRef stat_reg_609) (portRef stat_reg_609 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605091,6 +604841,14 @@ (portRef stat_reg_581) (portRef stat_reg_581 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_36 (joined + (portRef stat_reg_36) + (portRef stat_reg_36 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_4 (joined + (portRef stat_reg_4) + (portRef stat_reg_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_623 (joined (portRef stat_reg_623) (portRef stat_reg_623 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605115,13 +604873,13 @@ (portRef stat_reg_579) (portRef stat_reg_579 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_548 (joined - (portRef stat_reg_548) - (portRef stat_reg_548 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_235 (joined + (portRef stat_reg_235) + (portRef stat_reg_235 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_516 (joined - (portRef stat_reg_516) - (portRef stat_reg_516 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_203 (joined + (portRef stat_reg_203) + (portRef stat_reg_203 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_608 (joined (portRef stat_reg_608) @@ -605131,14 +604889,6 @@ (portRef stat_reg_576) (portRef stat_reg_576 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_615 (joined - (portRef stat_reg_615) - (portRef stat_reg_615 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net stat_reg_583 (joined - (portRef stat_reg_583) - (portRef stat_reg_583 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net stat_reg_238 (joined (portRef stat_reg_238) (portRef stat_reg_238 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605147,13 +604897,13 @@ (portRef stat_reg_206) (portRef stat_reg_206 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_622 (joined - (portRef stat_reg_622) - (portRef stat_reg_622 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_617 (joined + (portRef stat_reg_617) + (portRef stat_reg_617 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_590 (joined - (portRef stat_reg_590) - (portRef stat_reg_590 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_585 (joined + (portRef stat_reg_585) + (portRef stat_reg_585 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_236 (joined (portRef stat_reg_236) @@ -605171,6 +604921,14 @@ (portRef stat_reg_582) (portRef stat_reg_582 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net stat_reg_616 (joined + (portRef stat_reg_616) + (portRef stat_reg_616 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_584 (joined + (portRef stat_reg_584) + (portRef stat_reg_584 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net stat_reg_620 (joined (portRef stat_reg_620) (portRef stat_reg_620 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605211,13 +604969,13 @@ (portRef stat_reg_595) (portRef stat_reg_595 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_564 (joined - (portRef stat_reg_564) - (portRef stat_reg_564 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_624 (joined + (portRef stat_reg_624) + (portRef stat_reg_624 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_532 (joined - (portRef stat_reg_532) - (portRef stat_reg_532 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_592 (joined + (portRef stat_reg_592) + (portRef stat_reg_592 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_631 (joined (portRef stat_reg_631) @@ -605267,174 +605025,297 @@ (portRef stat_reg_487) (portRef stat_reg_487 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_400 (joined - (portRef stat_reg_400) - (portRef stat_reg_400 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_388 (joined + (portRef stat_reg_388) + (portRef stat_reg_388 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_432 (joined - (portRef stat_reg_432) - (portRef stat_reg_432 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_420 (joined + (portRef stat_reg_420) + (portRef stat_reg_420 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_464 (joined - (portRef stat_reg_464) - (portRef stat_reg_464 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_452 (joined + (portRef stat_reg_452) + (portRef stat_reg_452 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_496 (joined - (portRef stat_reg_496) - (portRef stat_reg_496 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_484 (joined + (portRef stat_reg_484) + (portRef stat_reg_484 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_394 (joined - (portRef stat_reg_394) - (portRef stat_reg_394 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_398 (joined + (portRef stat_reg_398) + (portRef stat_reg_398 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_426 (joined - (portRef stat_reg_426) - (portRef stat_reg_426 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_430 (joined + (portRef stat_reg_430) + (portRef stat_reg_430 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_458 (joined - (portRef stat_reg_458) - (portRef stat_reg_458 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_462 (joined + (portRef stat_reg_462) + (portRef stat_reg_462 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_490 (joined - (portRef stat_reg_490) - (portRef stat_reg_490 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_494 (joined + (portRef stat_reg_494) + (portRef stat_reg_494 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_392 (joined - (portRef stat_reg_392) - (portRef stat_reg_392 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_404 (joined + (portRef stat_reg_404) + (portRef stat_reg_404 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_424 (joined - (portRef stat_reg_424) - (portRef stat_reg_424 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_436 (joined + (portRef stat_reg_436) + (portRef stat_reg_436 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_456 (joined - (portRef stat_reg_456) - (portRef stat_reg_456 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_468 (joined + (portRef stat_reg_468) + (portRef stat_reg_468 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_488 (joined - (portRef stat_reg_488) - (portRef stat_reg_488 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_500 (joined + (portRef stat_reg_500) + (portRef stat_reg_500 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_57 (joined + (portRef stat_reg_57) + (portRef stat_reg_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_58 (joined + (portRef stat_reg_58) + (portRef stat_reg_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_56 (joined + (portRef stat_reg_56) + (portRef stat_reg_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_43 (joined + (portRef stat_reg_43) + (portRef stat_reg_43 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net stat_reg_62 (joined (portRef stat_reg_62) (portRef stat_reg_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net stat_reg_60 (joined - (portRef stat_reg_60) - (portRef stat_reg_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net stat_reg_61 (joined + (portRef stat_reg_61) + (portRef stat_reg_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net stat_reg_63 (joined + (portRef stat_reg_63) + (portRef stat_reg_63 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_0 (joined + (portRef (member temperature 11) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 11) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_1 (joined + (portRef (member temperature 10) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 10) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_2 (joined + (portRef (member temperature 9) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 9) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_3 (joined + (portRef (member temperature 8) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 8) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_4 (joined + (portRef (member temperature 7) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 7) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_5 (joined + (portRef (member temperature 6) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 6) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_6 (joined + (portRef (member temperature 5) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 5) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_7 (joined + (portRef (member temperature 4) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 4) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_8 (joined + (portRef (member temperature 3) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 3) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_9 (joined + (portRef (member temperature 2) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 2) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_10 (joined + (portRef (member temperature 1) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net temperature_11 (joined + (portRef (member temperature 0) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + (portRef (member temperature 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_52 (joined + (portRef common_stat_reg_i_52) + (portRef common_stat_reg_i_52 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_56 (joined + (portRef common_stat_reg_i_56) + (portRef common_stat_reg_i_56 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_60 (joined + (portRef common_stat_reg_i_60) + (portRef common_stat_reg_i_60 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_47 (joined + (portRef common_stat_reg_i_47) + (portRef common_stat_reg_i_47 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_50 (joined + (portRef common_stat_reg_i_50) + (portRef common_stat_reg_i_50 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_49 (joined + (portRef common_stat_reg_i_49) + (portRef common_stat_reg_i_49 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_48 (joined + (portRef common_stat_reg_i_48) + (portRef common_stat_reg_i_48 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_2 (joined + (portRef common_stat_reg_i_2) + (portRef common_stat_reg_i_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_0 (joined + (portRef common_stat_reg_i_0) + (portRef common_stat_reg_i_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_51 (joined + (portRef common_stat_reg_i_51) + (portRef common_stat_reg_i_51 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_62 (joined + (portRef common_stat_reg_i_62) + (portRef common_stat_reg_i_62 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_61 (joined + (portRef common_stat_reg_i_61) + (portRef common_stat_reg_i_61 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_54 (joined + (portRef common_stat_reg_i_54) + (portRef common_stat_reg_i_54 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_53 (joined + (portRef common_stat_reg_i_53) + (portRef common_stat_reg_i_53 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_55 (joined + (portRef common_stat_reg_i_55) + (portRef common_stat_reg_i_55 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_59 (joined + (portRef common_stat_reg_i_59) + (portRef common_stat_reg_i_59 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_58 (joined + (portRef common_stat_reg_i_58) + (portRef common_stat_reg_i_58 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net common_stat_reg_i_57 (joined + (portRef common_stat_reg_i_57) + (portRef common_stat_reg_i_57 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net reg_enable_pattern_1 (joined + (portRef (member reg_enable_pattern 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member reg_enable_pattern 0)) )) (net regio_addr_i_15 (joined (portRef regio_addr_i_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_15) + (portRef (member regio_addr_i 0)) )) (net regio_addr_i_14 (joined (portRef regio_addr_i_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_14) + (portRef (member regio_addr_i 1)) )) (net regio_addr_i_13 (joined (portRef regio_addr_i_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_13) + (portRef (member regio_addr_i 2)) )) (net regio_addr_i_12 (joined (portRef regio_addr_i_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_12) + (portRef (member regio_addr_i 3)) )) (net regio_addr_i_11 (joined (portRef regio_addr_i_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_11) + (portRef (member regio_addr_i 4)) )) (net regio_addr_i_10 (joined (portRef regio_addr_i_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_10) + (portRef (member regio_addr_i 5)) )) (net regio_addr_i_9 (joined (portRef regio_addr_i_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_9) + (portRef (member regio_addr_i 6)) )) (net regio_addr_i_8 (joined (portRef regio_addr_i_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_8) + (portRef (member regio_addr_i 7)) )) - (net regio_addr_i_0 (joined - (portRef regio_addr_i_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_0) + (net regio_addr_i_2 (joined + (portRef regio_addr_i_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i 13)) )) - (net regio_addr_i_2_d0 (joined - (portRef regio_addr_i_2_d0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_2_d0) + (net regio_addr_iZ0Z_5 (joined + (portRef regio_addr_i_5_d0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i 10)) )) - (net regio_addr_i_3 (joined - (portRef regio_addr_i_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_3) + (net regio_addr_iZ0Z_3 (joined + (portRef regio_addr_i_3_d0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef (member regio_addr_i 12)) )) (net regio_addr_i_4 (joined (portRef regio_addr_i_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_4) - )) - (net regio_addr_i_5 (joined - (portRef regio_addr_i_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_5) + (portRef (member regio_addr_i 11)) )) (net regio_addr_i_6 (joined (portRef regio_addr_i_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_6) + (portRef (member regio_addr_i 9)) )) (net regio_addr_i_7 (joined (portRef regio_addr_i_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_7) - )) - (net regio_addr_i_1_0 (joined - (portRef (member regio_addr_i_1 1) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member regio_addr_i_1 1)) - )) - (net regio_addr_i_1_1 (joined - (portRef (member regio_addr_i_1 0) (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef (member regio_addr_i_1 0)) - )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef reset_i_fast_r4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_fast_r4 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) + (portRef (member regio_addr_i 8)) )) (net buf_IDRAM_WR_IN_0_i (joined (portRef buf_IDRAM_WR_IN_0_i (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef buf_IDRAM_WR_IN_0_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_8 (joined - (portRef un1_timing_trg_found_1_axb_8 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_10 (joined + (portRef un1_tmg_edge_found_i_axb_10 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_tmg_edge_found_i_axb_9 (joined + (portRef un1_tmg_edge_found_i_axb_9 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_tmg_edge_found_i_axb_3 (joined (portRef un1_tmg_edge_found_i_axb_3 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_6 (joined - (portRef un1_tmg_edge_found_i_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_tmg_edge_found_i_axb_10 (joined - (portRef un1_tmg_edge_found_i_axb_10 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net un1_tmg_edge_found_i_axb_11 (joined (portRef un1_tmg_edge_found_i_axb_11 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_13 (joined - (portRef un1_tmg_edge_found_i_axb_13 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net un1_tmg_edge_found_i_axb_12 (joined (portRef un1_tmg_edge_found_i_axb_12 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_9 (joined - (portRef un1_tmg_edge_found_i_axb_9 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_13 (joined + (portRef un1_tmg_edge_found_i_axb_13 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_14 (joined - (portRef un1_tmg_edge_found_i_axb_14 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_7 (joined + (portRef un1_tmg_edge_found_i_axb_7 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_tmg_edge_found_i_axb_6 (joined + (portRef un1_tmg_edge_found_i_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_tmg_edge_found_i_axb_0 (joined (portRef un1_tmg_edge_found_i_axb_0 (instanceRef THE_LVL1_HANDLER)) @@ -605448,25 +605329,21 @@ (portRef un1_tmg_edge_found_i_axb_2 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_4 (joined - (portRef un1_tmg_edge_found_i_axb_4 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_5 (joined + (portRef un1_tmg_edge_found_i_axb_5 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_tmg_edge_found_i_axb_15 (joined (portRef un1_tmg_edge_found_i_axb_15 (instanceRef THE_LVL1_HANDLER)) (portRef un1_tmg_edge_found_i_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_5 (joined - (portRef un1_tmg_edge_found_i_axb_5 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un20_dat_addr_in_1 (joined - (portRef un20_dat_addr_in_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef un20_dat_addr_in_1) + (net un14_dat_addr_in_4 (joined + (portRef un14_dat_addr_in_4) + (portRef un14_dat_addr_in_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net N_1700 (joined - (portRef N_1700) - (portRef N_1700 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un16_dat_addr_in_4 (joined + (portRef un16_dat_addr_in_4) + (portRef un16_dat_addr_in_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_invalid_trg_axb_8 (joined (portRef un1_invalid_trg_axb_8 (instanceRef THE_LVL1_HANDLER)) @@ -605496,14 +605373,6 @@ (portRef un1_invalid_trg_axb_15 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_prev_trg_reg_1_axb_0 (joined - (portRef un1_prev_trg_reg_1_axb_0 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_invalid_trg_axb_0 (joined - (portRef un1_invalid_trg_axb_0 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_invalid_trg_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net un1_prev_trg_reg_1_axb_3 (joined (portRef un1_prev_trg_reg_1_axb_3 (instanceRef THE_LVL1_HANDLER)) (portRef un1_prev_trg_reg_1_axb_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605512,6 +605381,14 @@ (portRef un1_invalid_trg_axb_3 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_3 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net un1_prev_trg_reg_1_axb_12 (joined + (portRef un1_prev_trg_reg_1_axb_12 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_invalid_trg_axb_12 (joined + (portRef un1_invalid_trg_axb_12 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_invalid_trg_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net un1_prev_trg_reg_1_axb_14 (joined (portRef un1_prev_trg_reg_1_axb_14 (instanceRef THE_LVL1_HANDLER)) (portRef un1_prev_trg_reg_1_axb_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605536,14 +605413,6 @@ (portRef un1_invalid_trg_axb_1 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_prev_trg_reg_1_axb_6 (joined - (portRef un1_prev_trg_reg_1_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_invalid_trg_axb_6 (joined - (portRef un1_invalid_trg_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_invalid_trg_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) (net un1_prev_trg_reg_1_axb_13 (joined (portRef un1_prev_trg_reg_1_axb_13 (instanceRef THE_LVL1_HANDLER)) (portRef un1_prev_trg_reg_1_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605552,13 +605421,13 @@ (portRef un1_invalid_trg_axb_13 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_prev_trg_reg_1_axb_11 (joined - (portRef un1_prev_trg_reg_1_axb_11 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_prev_trg_reg_1_axb_8 (joined + (portRef un1_prev_trg_reg_1_axb_8 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_invalid_trg_axb_11 (joined - (portRef un1_invalid_trg_axb_11 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_invalid_trg_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net N_228_1 (joined + (portRef N_228_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_228_1) )) (net un1_timing_trg_found_1_axb_4 (joined (portRef un1_timing_trg_found_1_axb_4 (instanceRef THE_LVL1_HANDLER)) @@ -605576,6 +605445,14 @@ (portRef un1_spurious_trg_1_axb_2 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net un1_timing_trg_found_1_axb_15 (joined + (portRef un1_timing_trg_found_1_axb_15 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_spurious_trg_1_axb_15 (joined + (portRef un1_spurious_trg_1_axb_15 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net un1_timing_trg_found_1_axb_0 (joined (portRef un1_timing_trg_found_1_axb_0 (instanceRef THE_LVL1_HANDLER)) (portRef un1_timing_trg_found_1_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605600,6 +605477,14 @@ (portRef un1_spurious_trg_1_axb_14 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) + (net un1_timing_trg_found_1_axb_7 (joined + (portRef un1_timing_trg_found_1_axb_7 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_spurious_trg_1_axb_7 (joined + (portRef un1_spurious_trg_1_axb_7 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) (net un1_timing_trg_found_1_axb_1 (joined (portRef un1_timing_trg_found_1_axb_1 (instanceRef THE_LVL1_HANDLER)) (portRef un1_timing_trg_found_1_axb_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605608,13 +605493,13 @@ (portRef un1_spurious_trg_1_axb_1 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_5 (joined - (portRef un1_timing_trg_found_1_axb_5 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_timing_trg_found_1_axb_6 (joined + (portRef un1_timing_trg_found_1_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_5 (joined - (portRef un1_spurious_trg_1_axb_5 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_spurious_trg_1_axb_6 (joined + (portRef un1_spurious_trg_1_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_timing_trg_found_1_axb_13 (joined (portRef un1_timing_trg_found_1_axb_13 (instanceRef THE_LVL1_HANDLER)) @@ -605624,25 +605509,9 @@ (portRef un1_spurious_trg_1_axb_13 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_13 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_8 (joined - (portRef un1_spurious_trg_1_axb_8 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_timing_trg_found_1_axb_6 (joined - (portRef un1_timing_trg_found_1_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_spurious_trg_1_axb_6 (joined - (portRef un1_spurious_trg_1_axb_6 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_prev_trg_reg_1_axb_12 (joined - (portRef un1_prev_trg_reg_1_axb_12 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_invalid_trg_axb_12 (joined - (portRef un1_invalid_trg_axb_12 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_invalid_trg_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net regio_write_ack_i (joined + (portRef regio_write_ack_i) + (portRef regio_write_ack_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_timing_trg_found_1_axb_9 (joined (portRef un1_timing_trg_found_1_axb_9 (instanceRef THE_LVL1_HANDLER)) @@ -605652,13 +605521,33 @@ (portRef un1_spurious_trg_1_axb_9 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_12 (joined - (portRef un1_timing_trg_found_1_axb_12 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_spurious_trg_1_axb_8 (joined + (portRef un1_spurious_trg_1_axb_8 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_12 (joined - (portRef un1_spurious_trg_1_axb_12 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_timing_trg_found_1_axb_5 (joined + (portRef un1_timing_trg_found_1_axb_5 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_spurious_trg_1_axb_5 (joined + (portRef un1_spurious_trg_1_axb_5 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_prev_trg_reg_1_axb_11 (joined + (portRef un1_prev_trg_reg_1_axb_11 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_invalid_trg_axb_11 (joined + (portRef un1_invalid_trg_axb_11 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_invalid_trg_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_prev_trg_reg_1_axb_6 (joined + (portRef un1_prev_trg_reg_1_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + )) + (net un1_invalid_trg_axb_6 (joined + (portRef un1_invalid_trg_axb_6 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_invalid_trg_axb_6 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_prev_trg_reg_1_axb_10 (joined (portRef un1_prev_trg_reg_1_axb_10 (instanceRef THE_LVL1_HANDLER)) @@ -605676,21 +605565,17 @@ (portRef un1_spurious_trg_1_axb_10 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_10 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_8 (joined - (portRef un1_tmg_edge_found_i_axb_8 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net un1_prev_trg_reg_1_axb_8 (joined - (portRef un1_prev_trg_reg_1_axb_8 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_prev_trg_reg_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_timing_trg_found_1_axb_8 (joined + (portRef un1_timing_trg_found_1_axb_8 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_15 (joined - (portRef un1_timing_trg_found_1_axb_15 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_timing_trg_found_1_axb_12 (joined + (portRef un1_timing_trg_found_1_axb_12 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_timing_trg_found_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_15 (joined - (portRef un1_spurious_trg_1_axb_15 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_15 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_spurious_trg_1_axb_12 (joined + (portRef un1_spurious_trg_1_axb_12 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_spurious_trg_1_axb_12 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_timing_trg_found_1_axb_11 (joined (portRef un1_timing_trg_found_1_axb_11 (instanceRef THE_LVL1_HANDLER)) @@ -605700,13 +605585,13 @@ (portRef un1_spurious_trg_1_axb_11 (instanceRef THE_LVL1_HANDLER)) (portRef un1_spurious_trg_1_axb_11 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_timing_trg_found_1_axb_7 (joined - (portRef un1_timing_trg_found_1_axb_7 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_timing_trg_found_1_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_prev_trg_reg_1_axb_0 (joined + (portRef un1_prev_trg_reg_1_axb_0 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_prev_trg_reg_1_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_spurious_trg_1_axb_7 (joined - (portRef un1_spurious_trg_1_axb_7 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_spurious_trg_1_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_invalid_trg_axb_0 (joined + (portRef un1_invalid_trg_axb_0 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_invalid_trg_axb_0 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net un1_prev_trg_reg_1_axb_9 (joined (portRef un1_prev_trg_reg_1_axb_9 (instanceRef THE_LVL1_HANDLER)) @@ -605716,22 +605601,21 @@ (portRef un1_invalid_trg_axb_9 (instanceRef THE_LVL1_HANDLER)) (portRef un1_invalid_trg_axb_9 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net reset_i_fast_r7 (joined - (portRef reset_i_fast_r7) - (portRef reset_i_fast_r7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef reset_i_fast_r7 (instanceRef genbuffers_0_geniobuf_gentrgapi_the_trigger_apl)) + (net N_5861 (joined + (portRef N_5861 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_5861) )) - (net N_2135_2 (joined - (portRef N_2135_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef N_2135_2) + (net regio_unknown_addr_i (joined + (portRef regio_unknown_addr_i) + (portRef regio_unknown_addr_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un12_dat_addr_in_2 (joined - (portRef un12_dat_addr_in_2 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef un12_dat_addr_in_2) + (net regio_nomoredata_i (joined + (portRef regio_nomoredata_i) + (portRef regio_nomoredata_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_addr_i_0_rep1_1 (joined - (portRef regio_addr_i_0_rep1_1 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef regio_addr_i_0_rep1_1) + (net regio_dataready_i (joined + (portRef regio_dataready_i) + (portRef regio_dataready_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) (net regio_read_enable_i (joined (portRef regio_read_enable_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) @@ -605755,42 +605639,34 @@ (portRef un1_prev_trg_reg_1_axb_5 (instanceRef THE_LVL1_HANDLER)) (portRef un1_prev_trg_reg_1_axb_5 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net un1_tmg_edge_found_i_axb_7 (joined - (portRef un1_tmg_edge_found_i_axb_7 (instanceRef THE_LVL1_HANDLER)) - (portRef un1_tmg_edge_found_i_axb_7 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net regio_write_ack_i (joined - (portRef regio_write_ack_i) - (portRef regio_write_ack_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - )) - (net N_180 (joined - (portRef N_180 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) - (portRef N_180) + (net un1_tmg_edge_found_i_axb_14 (joined + (portRef un1_tmg_edge_found_i_axb_14 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_14 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_dataready_i (joined - (portRef regio_dataready_i) - (portRef regio_dataready_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_4 (joined + (portRef un1_tmg_edge_found_i_axb_4 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_4 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_nomoredata_i (joined - (portRef regio_nomoredata_i) - (portRef regio_nomoredata_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net un1_tmg_edge_found_i_axb_8 (joined + (portRef un1_tmg_edge_found_i_axb_8 (instanceRef THE_LVL1_HANDLER)) + (portRef un1_tmg_edge_found_i_axb_8 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) )) - (net regio_unknown_addr_i (joined - (portRef regio_unknown_addr_i) - (portRef regio_unknown_addr_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (net N_5867 (joined + (portRef N_5867 (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) + (portRef N_5867) )) (net un1_THE_ENDPOINT_1_0_2 (joined (portRef (member un1_the_endpoint_1_0 0) (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) (portRef (member un1_the_endpoint_1_0 0)) )) - (net TEMPSENS_in (joined - (portRef TEMPSENS_in) - (portRef TEMPSENS_in (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) - )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef reset_i_rep2_1 (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) )) + (net TEMPSENS_in (joined + (portRef TEMPSENS_in) + (portRef TEMPSENS_in (instanceRef genbuffers_3_geniobuf_gen_regio_gen_1wire_onewire_interface)) + )) (net MED_IO_DATAREADY_IN_2 (joined (portRef (member med_io_dataready_in 1) (instanceRef MPLEX)) (portRef (member med_io_dataready_in 0) (instanceRef genbuffers_2_gentermbuf_termbuf)) @@ -605904,6 +605780,10 @@ (portRef MED_IO_DATA_OUT_1 (instanceRef genbuffers_2_gentermbuf_termbuf)) (portRef MED_IO_DATA_OUT_66 (instanceRef MPLEX)) )) + (net real_reading_6_5 (joined + (portRef (member real_readingZ0Z_6 0) (instanceRef MPLEX)) + (portRef (member real_reading_6 0) (instanceRef genbuffers_2_gentermbuf_termbuf)) + )) (net MED_IO_PACKET_NUM_OUT_12 (joined (portRef (member med_io_packet_num_out 5) (instanceRef genbuffers_2_gentermbuf_termbuf)) (portRef MED_IO_PACKET_NUM_OUT_9 (instanceRef MPLEX)) @@ -605928,10 +605808,6 @@ (portRef (member med_io_packet_num_out 0) (instanceRef genbuffers_2_gentermbuf_termbuf)) (portRef MED_IO_PACKET_NUM_OUT_14 (instanceRef MPLEX)) )) - (net real_reading_6_5 (joined - (portRef (member real_readingZ0Z_6 0) (instanceRef MPLEX)) - (portRef (member real_reading_6 0) (instanceRef genbuffers_2_gentermbuf_termbuf)) - )) (net MED_IO_DATAREADY_OUT_4 (joined (portRef (member med_io_dataready_out 1) (instanceRef genbuffers_2_gentermbuf_termbuf)) (portRef MED_IO_DATAREADY_OUT_4 (instanceRef MPLEX)) @@ -606102,9 +605978,9 @@ (portRef (member med_packet_num_in 0)) (portRef (member med_packet_num_in 0) (instanceRef MPLEX)) )) - (net N_538_i (joined - (portRef N_538_i) - (portRef N_538_i (instanceRef MPLEX)) + (net N_465_i (joined + (portRef N_465_i) + (portRef N_465_i (instanceRef MPLEX)) )) (net med_dataready_out (joined (portRef med_dataready_out (instanceRef MPLEX)) @@ -606117,7 +605993,6 @@ (net port_select_int_0 (joined (portRef (member port_select_int 0)) (portRef (member port_select_int 0) (instanceRef THE_LVL1_HANDLER)) - (portRef (member port_select_int 0) (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) (net lvl1_error_pattern_i_20 (joined (portRef (member lvl1_error_pattern_i 1)) @@ -606215,14 +606090,17 @@ (portRef (member stat_handler_i 0)) (portRef (member stat_handler_i 0) (instanceRef THE_LVL1_HANDLER)) )) - (net d_m5_0_0 (joined - (portRef d_m5_0_0 (instanceRef THE_LVL1_HANDLER)) - (portRef d_m5_0_0) + (net d_N_6_0 (joined + (portRef d_N_6_0 (instanceRef THE_LVL1_HANDLER)) + (portRef d_N_6_0) + )) + (net first_fifo_read_RNIS8RB (joined + (portRef first_fifo_read_RNIS8RB) + (portRef first_fifo_read_RNIS8RB (instanceRef THE_LVL1_HANDLER)) )) (net m6_6_03_1_1 (joined (portRef m6_6_03_1_1) (portRef m6_6_03_1_1 (instanceRef THE_LVL1_HANDLER)) - (portRef m6_6_03_1_1 (instanceRef genbuffers_1_geniobuf_gen_ipu_apl_the_ipudata_apl)) )) (net signal_sync (joined (portRef signal_sync_1 (instanceRef THE_LVL1_HANDLER)) @@ -606258,9 +606136,9 @@ (portRef TRIGGER_LEFT_c) (portRef TRIGGER_LEFT_c (instanceRef THE_LVL1_HANDLER)) )) - (net LVL1_TRG_RELEASE_OUT (joined - (portRef LVL1_TRG_RELEASE_OUT) - (portRef LVL1_TRG_RELEASE_OUT (instanceRef THE_LVL1_HANDLER)) + (net LVL1_TRG_RELEASE_OUT_0_a2 (joined + (portRef LVL1_TRG_RELEASE_OUT_0_a2) + (portRef LVL1_TRG_RELEASE_OUT_0_a2 (instanceRef THE_LVL1_HANDLER)) )) (net trg_notiming_valid_i (joined (portRef trg_notiming_valid_i (instanceRef THE_LVL1_HANDLER)) @@ -606278,15 +606156,15 @@ (portRef trg_multiple_trg_i (instanceRef THE_LVL1_HANDLER)) (portRef trg_multiple_trg_i) )) - (net trg_invalid_i (joined - (portRef trg_invalid_i (instanceRef THE_LVL1_HANDLER)) - (portRef trg_invalid_i) - )) (net trg_timing_valid_i (joined (portRef trg_timing_valid_i (instanceRef THE_LVL1_HANDLER)) (portRef trg_timing_valid_i (instanceRef genbuffers_3_geniobuf_gen_regio_regIO)) (portRef trg_timing_valid_i) )) + (net trg_invalid_i (joined + (portRef trg_invalid_i (instanceRef THE_LVL1_HANDLER)) + (portRef trg_invalid_i) + )) (net trg_data_valid_i (joined (portRef trg_data_valid_i (instanceRef THE_LVL1_HANDLER)) (portRef trg_data_valid_i) @@ -606668,7 +606546,7 @@ (interface (port (array (rename fifo_nr_hex_2 "fifo_nr_hex_2(3:0)") 4) (direction OUTPUT)) (port (array (rename mask_i "mask_i(23:16)") 8) (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -606864,8 +606742,8 @@ (portRef OCEA (instanceRef ROM_FIFO_0_0_0)) (portRef CEA (instanceRef ROM_FIFO_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTA (instanceRef ROM_FIFO_0_0_0)) )) (net fifo_nr_hex_2_0 (joined @@ -606986,9 +606864,9 @@ (cell ROM_FIFO_2 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename fifo_nr_hex_1 "fifo_nr_hex_1(3:0)") 4) (direction OUTPUT)) - (port (array (rename mask_i "mask_i(15:8)") 8) (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port (array (rename fifo_nr_hex_3 "fifo_nr_hex_3(3:0)") 4) (direction OUTPUT)) + (port (array (rename mask_i "mask_i(31:24)") 8) (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -607141,35 +607019,35 @@ (portRef DIA1 (instanceRef ROM_FIFO_0_0_0)) (portRef DIA0 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_8 (joined + (net mask_i_24 (joined (portRef (member mask_i 7)) (portRef ADA2 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_9 (joined + (net mask_i_25 (joined (portRef (member mask_i 6)) (portRef ADA3 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_10 (joined + (net mask_i_26 (joined (portRef (member mask_i 5)) (portRef ADA4 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_11 (joined + (net mask_i_27 (joined (portRef (member mask_i 4)) (portRef ADA5 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_12 (joined + (net mask_i_28 (joined (portRef (member mask_i 3)) (portRef ADA6 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_13 (joined + (net mask_i_29 (joined (portRef (member mask_i 2)) (portRef ADA7 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_14 (joined + (net mask_i_30 (joined (portRef (member mask_i 1)) (portRef ADA8 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_15 (joined + (net mask_i_31 (joined (portRef (member mask_i 0)) (portRef ADA9 (instanceRef ROM_FIFO_0_0_0)) )) @@ -607184,25 +607062,25 @@ (portRef OCEA (instanceRef ROM_FIFO_0_0_0)) (portRef CEA (instanceRef ROM_FIFO_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTA (instanceRef ROM_FIFO_0_0_0)) )) - (net fifo_nr_hex_1_0 (joined + (net fifo_nr_hex_3_0 (joined (portRef DOA0 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_1 3)) + (portRef (member fifo_nr_hex_3 3)) )) - (net fifo_nr_hex_1_1 (joined + (net fifo_nr_hex_3_1 (joined (portRef DOA1 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_1 2)) + (portRef (member fifo_nr_hex_3 2)) )) - (net fifo_nr_hex_1_2 (joined + (net fifo_nr_hex_3_2 (joined (portRef DOA2 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_1 1)) + (portRef (member fifo_nr_hex_3 1)) )) - (net fifo_nr_hex_1_3 (joined + (net fifo_nr_hex_3_3 (joined (portRef DOA3 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_1 0)) + (portRef (member fifo_nr_hex_3 0)) )) (net ROM_FIFO_0_0_0_DOA4_1 (joined (portRef DOA4 (instanceRef ROM_FIFO_0_0_0)) @@ -607308,7 +607186,7 @@ (interface (port (array (rename fifo_nr_hex_0 "fifo_nr_hex_0(3:0)") 4) (direction OUTPUT)) (port (array (rename mask_i "mask_i(7:0)") 8) (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -607504,8 +607382,8 @@ (portRef OCEA (instanceRef ROM_FIFO_0_0_0)) (portRef CEA (instanceRef ROM_FIFO_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTA (instanceRef ROM_FIFO_0_0_0)) )) (net fifo_nr_hex_0_0 (joined @@ -607626,9 +607504,9 @@ (cell ROM_FIFO (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename fifo_nr_hex_3 "fifo_nr_hex_3(3:0)") 4) (direction OUTPUT)) - (port (array (rename mask_i "mask_i(31:24)") 8) (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port (array (rename fifo_nr_hex_1 "fifo_nr_hex_1(3:0)") 4) (direction OUTPUT)) + (port (array (rename mask_i "mask_i(15:8)") 8) (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port VCC (direction INPUT)) (port GND (direction INPUT)) @@ -607781,35 +607659,35 @@ (portRef DIA1 (instanceRef ROM_FIFO_0_0_0)) (portRef DIA0 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_24 (joined + (net mask_i_8 (joined (portRef (member mask_i 7)) (portRef ADA2 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_25 (joined + (net mask_i_9 (joined (portRef (member mask_i 6)) (portRef ADA3 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_26 (joined + (net mask_i_10 (joined (portRef (member mask_i 5)) (portRef ADA4 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_27 (joined + (net mask_i_11 (joined (portRef (member mask_i 4)) (portRef ADA5 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_28 (joined + (net mask_i_12 (joined (portRef (member mask_i 3)) (portRef ADA6 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_29 (joined + (net mask_i_13 (joined (portRef (member mask_i 2)) (portRef ADA7 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_30 (joined + (net mask_i_14 (joined (portRef (member mask_i 1)) (portRef ADA8 (instanceRef ROM_FIFO_0_0_0)) )) - (net mask_i_31 (joined + (net mask_i_15 (joined (portRef (member mask_i 0)) (portRef ADA9 (instanceRef ROM_FIFO_0_0_0)) )) @@ -607824,25 +607702,25 @@ (portRef OCEA (instanceRef ROM_FIFO_0_0_0)) (portRef CEA (instanceRef ROM_FIFO_0_0_0)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTA (instanceRef ROM_FIFO_0_0_0)) )) - (net fifo_nr_hex_3_0 (joined + (net fifo_nr_hex_1_0 (joined (portRef DOA0 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_3 3)) + (portRef (member fifo_nr_hex_1 3)) )) - (net fifo_nr_hex_3_1 (joined + (net fifo_nr_hex_1_1 (joined (portRef DOA1 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_3 2)) + (portRef (member fifo_nr_hex_1 2)) )) - (net fifo_nr_hex_3_2 (joined + (net fifo_nr_hex_1_2 (joined (portRef DOA2 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_3 1)) + (portRef (member fifo_nr_hex_1 1)) )) - (net fifo_nr_hex_3_3 (joined + (net fifo_nr_hex_1_3 (joined (portRef DOA3 (instanceRef ROM_FIFO_0_0_0)) - (portRef (member fifo_nr_hex_3 0)) + (portRef (member fifo_nr_hex_1 0)) )) (net ROM_FIFO_0_0_0_DOA4 (joined (portRef DOA4 (instanceRef ROM_FIFO_0_0_0)) @@ -607943,6 +607821,185 @@ ) ) ) + (cell edge_to_pulse_0_31 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_reset_tdc_2_sn (direction OUTPUT)) + (port VCC (direction INPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + (port valid_timing_trg_200 (direction INPUT)) + (port GND (direction INPUT)) + ) + (contents + (instance current_state_1__fb (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance signal_sync (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) + (instance current_state_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance current_state_1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + ) + (instance current_statec (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (net current_state_1 (joined + (portRef Q (instanceRef current_state_1)) + (portRef A (instanceRef current_statec)) + (portRef A (instanceRef current_state_1__fb)) + )) + (net signal_sync (joined + (portRef Q (instanceRef signal_sync)) + (portRef B (instanceRef current_statec)) + (portRef B (instanceRef current_state_1__fb)) + )) + (net GND (joined + (portRef GND) + )) + (net fb (joined + (portRef Z (instanceRef current_state_1__fb)) + (portRef PD (instanceRef current_state_1)) + )) + (net valid_timing_trg_200 (joined + (portRef valid_timing_trg_200) + (portRef D (instanceRef signal_sync)) + )) + (net current_statec (joined + (portRef Z (instanceRef current_statec)) + (portRef D (instanceRef current_state_0)) + )) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef current_state_1)) + (portRef CK (instanceRef current_state_0)) + (portRef CK (instanceRef signal_sync)) + )) + (net un1_reset_tdc_2_sn (joined + (portRef Q (instanceRef current_state_0)) + (portRef D (instanceRef current_state_1)) + (portRef CD (instanceRef current_state_0)) + (portRef un1_reset_tdc_2_sn) + )) + (net VCC (joined + (portRef VCC) + )) + ) + ) + ) + (cell bit_sync_Valid_timing_trigger_sync (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port trg_timing_valid_i (direction INPUT)) + (port valid_timing_trg_200 (direction OUTPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + (port VCC (direction INPUT)) + (port reset_tdc_iso (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + ) + (contents + (instance sync_q_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_1)) + (portRef trg_timing_valid_i) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef sync_q_1)) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + ) + ) + (net reset_tdc_iso (joined + (portRef reset_tdc_iso) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + (portRef CD (instanceRef sync_q_1)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_1 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef Q (instanceRef sync_q_1)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + )) + (net sync_q_1_3 (joined + (portRef valid_timing_trg_200) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + )) + ) + ) + ) + (cell bit_sync_3 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename ctrl_reg "ctrl_reg(12:12)") 1) (direction INPUT)) + (port readout_trigger_mode_200 (direction OUTPUT)) + (port CLK_PCLK_LEFT_c (direction INPUT)) + (port VCC (direction INPUT)) + (port reset_tdc_iso (direction INPUT)) + (port clk_100_i_c (direction INPUT)) + ) + (contents + (instance sync_q_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_3_sync_q_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance gen_others_gen_flipflops_2_sync_q_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (net sync_q_1_0 (joined + (portRef D (instanceRef sync_q_1)) + (portRef (member ctrl_reg 0)) + ) + ) + (net clk_100_i_c (joined + (portRef clk_100_i_c) + (portRef CK (instanceRef sync_q_1)) + )) + (net sync_q_1_2 (joined + (portRef D (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + (portRef Q (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + ) + ) + (net reset_tdc_iso (joined + (portRef reset_tdc_iso) + (portRef CD (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef CD (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + (portRef CD (instanceRef sync_q_1)) + )) + (net VCC (joined + (portRef VCC) + )) + (net sync_q_1_1 (joined + (portRef D (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef Q (instanceRef sync_q_1)) + ) + ) + (net CLK_PCLK_LEFT_c (joined + (portRef CLK_PCLK_LEFT_c) + (portRef CK (instanceRef gen_others_gen_flipflops_2_sync_q_2)) + (portRef CK (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + )) + (net sync_q_1_3 (joined + (portRef readout_trigger_mode_200) + (portRef Q (instanceRef gen_others_gen_flipflops_3_sync_q_3)) + )) + ) + ) + ) (cell up_counter (cellType GENERIC) (view netlist (viewType NETLIST) (interface @@ -607950,7 +608007,7 @@ (port (array (rename coarse_cnt "coarse_cnt(10:0)") 11) (direction OUTPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) - (port reset_tdc_iso (direction INPUT)) + (port reset_coarse_cnt (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) ) (contents @@ -608046,8 +608103,8 @@ (portRef CK (instanceRef counter_1)) (portRef CK (instanceRef counter_0)) )) - (net reset_tdc_iso (joined - (portRef reset_tdc_iso) + (net reset_coarse_cnt (joined + (portRef reset_coarse_cnt) (portRef CD (instanceRef counter_10)) (portRef CD (instanceRef counter_9)) (portRef CD (instanceRef counter_8)) @@ -608223,32 +608280,34 @@ ) ) ) - (cell Channel_16 (cellType GENERIC) + (cell Channel_29 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(16:16)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(16:16)") 1) (direction INPUT)) - (port (array (rename channel_data_i_16 "channel_data_i_16(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(48:48)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(29:29)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(29:29)") 1) (direction INPUT)) + (port (array (rename channel_data_i_29 "channel_data_i_29(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(61:61)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1533_Q (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_9 (direction INPUT)) - (port reset_i_10 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r25 (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) - (port reset_tdc_49 (direction INPUT)) - (port reset_tdc_50 (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) + (port reset_i_3 (direction INPUT)) + (port reset_i_4 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_37_r16 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_10 (direction INPUT)) + (port reset_tdc_11 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_34 (direction INPUT)) - (port reset_tdc_rep1_33 (direction INPUT)) - (port reset_tdc_rep1_32 (direction INPUT)) - (port reset_tdc_rep1_2 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_34 (direction INPUT)) + (port reset_tdc_rep2_33 (direction INPUT)) + (port reset_tdc_rep2_32 (direction INPUT)) + (port reset_tdc_rep2_2 (direction INPUT)) + (port reset_tdc_rep2_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -608262,7 +608321,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295459_0 (direction OUTPUT)) + (port N_295757_0 (direction OUTPUT)) (port G_1531_Q (direction INPUT)) (port sync_q_and_29 (direction OUTPUT)) (port G_1528_Q (direction INPUT)) @@ -608271,14 +608330,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIK70S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIAM3U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIK70S_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIAM3U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIK70S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIAM3U_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -610124,7 +610183,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIH0RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI7FUU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -610380,32 +610439,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0)) )) (net tmp1_29_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIH0RS)) + (portRef B (instanceRef result_2_reg_RNI7FUU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIK70S_1)) - (portRef B (instanceRef result_2_reg_RNIK70S_0)) - (portRef B (instanceRef result_2_reg_RNIK70S)) + (portRef B (instanceRef result_2_reg_RNIAM3U_1)) + (portRef B (instanceRef result_2_reg_RNIAM3U_0)) + (portRef B (instanceRef result_2_reg_RNIAM3U)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIH0RS)) + (portRef C (instanceRef result_2_reg_RNI7FUU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIK70S_1)) - (portRef C (instanceRef result_2_reg_RNIK70S_0)) - (portRef C (instanceRef result_2_reg_RNIK70S)) + (portRef C (instanceRef result_2_reg_RNIAM3U_1)) + (portRef C (instanceRef result_2_reg_RNIAM3U_0)) + (portRef C (instanceRef result_2_reg_RNIAM3U)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIK70S)) + (portRef Z (instanceRef result_2_reg_RNIAM3U)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -610484,9 +610543,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIK70S_1)) - (portRef A (instanceRef result_2_reg_RNIK70S_0)) - (portRef A (instanceRef result_2_reg_RNIK70S)) + (portRef A (instanceRef result_2_reg_RNIAM3U_1)) + (portRef A (instanceRef result_2_reg_RNIAM3U_0)) + (portRef A (instanceRef result_2_reg_RNIAM3U)) )) (net GND (joined (portRef GND) @@ -610641,7 +610700,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIK70S_0)) + (portRef Z (instanceRef result_2_reg_RNIAM3U_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -610719,7 +610778,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIK70S_1)) + (portRef Z (instanceRef result_2_reg_RNIAM3U_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -610806,9 +610865,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295459_0 (joined + (net N_295757_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295459_0) + (portRef N_295757_0) )) (net tmp1_29_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -611460,7 +611519,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIH0RS)) + (portRef Z (instanceRef result_2_reg_RNI7FUU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -614373,9 +614432,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_16_1 (joined + (net channel_debug_01_i_29_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_16 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_29 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_29 (joined @@ -614574,7 +614633,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_48 (joined + (net stat_reg_61 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -614655,257 +614714,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_16_0 (joined + (net channel_data_i_29_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_16 31)) + (portRef (member channel_data_i_29 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_16_1 (joined + (net channel_data_i_29_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_16 30)) + (portRef (member channel_data_i_29 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_16_2 (joined + (net channel_data_i_29_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_16 29)) + (portRef (member channel_data_i_29 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_16_3 (joined + (net channel_data_i_29_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_16 28)) + (portRef (member channel_data_i_29 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_16_4 (joined + (net channel_data_i_29_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_16 27)) + (portRef (member channel_data_i_29 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_16_5 (joined + (net channel_data_i_29_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_16 26)) + (portRef (member channel_data_i_29 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_16_6 (joined + (net channel_data_i_29_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_16 25)) + (portRef (member channel_data_i_29 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_16_7 (joined + (net channel_data_i_29_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_16 24)) + (portRef (member channel_data_i_29 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_16_8 (joined + (net channel_data_i_29_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_16 23)) + (portRef (member channel_data_i_29 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_16_9 (joined + (net channel_data_i_29_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_16 22)) + (portRef (member channel_data_i_29 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_16_10 (joined + (net channel_data_i_29_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_16 21)) + (portRef (member channel_data_i_29 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_16_11 (joined + (net channel_data_i_29_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_16 20)) + (portRef (member channel_data_i_29 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_16_12 (joined + (net channel_data_i_29_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_16 19)) + (portRef (member channel_data_i_29 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_16_13 (joined + (net channel_data_i_29_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_16 18)) + (portRef (member channel_data_i_29 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_16_14 (joined + (net channel_data_i_29_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_16 17)) + (portRef (member channel_data_i_29 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_16_15 (joined + (net channel_data_i_29_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_16 16)) + (portRef (member channel_data_i_29 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_16_16 (joined + (net channel_data_i_29_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_16 15)) + (portRef (member channel_data_i_29 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_16_17 (joined + (net channel_data_i_29_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_16 14)) + (portRef (member channel_data_i_29 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_16_18 (joined + (net channel_data_i_29_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_16 13)) + (portRef (member channel_data_i_29 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_16_19 (joined + (net channel_data_i_29_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_16 12)) + (portRef (member channel_data_i_29 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_16_20 (joined + (net channel_data_i_29_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_16 11)) + (portRef (member channel_data_i_29 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_16_21 (joined + (net channel_data_i_29_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_16 10)) + (portRef (member channel_data_i_29 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_16_22 (joined + (net channel_data_i_29_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_16 9)) + (portRef (member channel_data_i_29 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_16_23 (joined + (net channel_data_i_29_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_16 8)) + (portRef (member channel_data_i_29 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_16_24 (joined + (net channel_data_i_29_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_16 7)) + (portRef (member channel_data_i_29 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_16_25 (joined + (net channel_data_i_29_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_16 6)) + (portRef (member channel_data_i_29 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_16_26 (joined + (net channel_data_i_29_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_16 5)) + (portRef (member channel_data_i_29 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_16_27 (joined + (net channel_data_i_29_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_16 4)) + (portRef (member channel_data_i_29 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_16_28 (joined + (net channel_data_i_29_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_16 3)) + (portRef (member channel_data_i_29 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_16_29 (joined + (net channel_data_i_29_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_16 2)) + (portRef (member channel_data_i_29 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_16_30 (joined + (net channel_data_i_29_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_16 1)) + (portRef (member channel_data_i_29 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_16_31 (joined + (net channel_data_i_29_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_16 0)) + (portRef (member channel_data_i_29 0)) )) (net sync_q_CR0_ram_DO1_29 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -614924,26 +614983,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_2 (joined - (portRef reset_tdc_rep1_2) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - (portRef B (instanceRef lost_hit_cntr_lm_0_7)) - (portRef B (instanceRef lost_hit_cntr_lm_0_6)) + (net reset_tdc_rep2_1 (joined + (portRef reset_tdc_rep2_1) (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) @@ -614975,6 +615016,27 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_7)) (portRef A (instanceRef lost_hit_cntr_lm_0_7)) )) + (net reset_tdc_rep2_2 (joined + (portRef reset_tdc_rep2_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (portRef B (instanceRef lost_hit_cntr_lm_0_7)) + (portRef B (instanceRef lost_hit_cntr_lm_0_6)) + )) (net lost_hit_cntr_s_8 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_7)) (portRef A (instanceRef lost_hit_cntr_lm_0_8)) @@ -615047,20 +615109,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_32 (joined - (portRef reset_tdc_rep1_32) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) + (net reset_tdc_rep2_32 (joined + (portRef reset_tdc_rep2_32) (portRef B (instanceRef result_reg_3_0_i_71)) (portRef B (instanceRef result_reg_3_0_i_70)) (portRef B (instanceRef result_reg_3_0_i_69)) @@ -615407,69 +615457,8 @@ (portRef (member result_i 230) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_73)) )) - (net result_i_74 (joined - (portRef (member result_i 229) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_74)) - )) - (net result_i_75 (joined - (portRef (member result_i 228) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_75)) - )) - (net result_i_76 (joined - (portRef (member result_i 227) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_76)) - )) - (net result_i_77 (joined - (portRef (member result_i 226) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_77)) - )) - (net result_i_78 (joined - (portRef (member result_i 225) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_78)) - )) - (net result_i_79 (joined - (portRef (member result_i 224) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_79)) - )) - (net result_i_80 (joined - (portRef (member result_i 223) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_80)) - )) - (net result_i_81 (joined - (portRef (member result_i 222) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_81)) - )) - (net result_i_82 (joined - (portRef (member result_i 221) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_82)) - )) - (net result_i_83 (joined - (portRef (member result_i 220) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_83)) - )) - (net result_i_84 (joined - (portRef (member result_i 219) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_84)) - )) - (net result_i_85 (joined - (portRef (member result_i 218) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_85)) - )) - (net reset_tdc_rep1_33 (joined - (portRef reset_tdc_rep1_33) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) + (net reset_tdc_rep2_33 (joined + (portRef reset_tdc_rep2_33) (portRef B (instanceRef result_reg_3_0_i_169)) (portRef B (instanceRef result_reg_3_0_i_168)) (portRef B (instanceRef result_reg_3_0_i_167)) @@ -615556,6 +615545,66 @@ (portRef B (instanceRef result_reg_3_0_i_86)) (portRef B (instanceRef result_reg_3_0_i_85)) (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + )) + (net result_i_74 (joined + (portRef (member result_i 229) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_74)) + )) + (net result_i_75 (joined + (portRef (member result_i 228) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_75)) + )) + (net result_i_76 (joined + (portRef (member result_i 227) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_76)) + )) + (net result_i_77 (joined + (portRef (member result_i 226) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_77)) + )) + (net result_i_78 (joined + (portRef (member result_i 225) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_78)) + )) + (net result_i_79 (joined + (portRef (member result_i 224) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_79)) + )) + (net result_i_80 (joined + (portRef (member result_i 223) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_80)) + )) + (net result_i_81 (joined + (portRef (member result_i 222) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_81)) + )) + (net result_i_82 (joined + (portRef (member result_i 221) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_82)) + )) + (net result_i_83 (joined + (portRef (member result_i 220) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_83)) + )) + (net result_i_84 (joined + (portRef (member result_i 219) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_84)) + )) + (net result_i_85 (joined + (portRef (member result_i 218) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_85)) )) (net result_i_86 (joined (portRef (member result_i 217) (instanceRef FC)) @@ -615901,74 +615950,8 @@ (portRef (member result_i 132) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_171)) )) - (net result_i_172 (joined - (portRef (member result_i 131) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_172)) - )) - (net result_i_173 (joined - (portRef (member result_i 130) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_173)) - )) - (net result_i_174 (joined - (portRef (member result_i 129) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_174)) - )) - (net result_i_175 (joined - (portRef (member result_i 128) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_175)) - )) - (net result_i_176 (joined - (portRef (member result_i 127) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_176)) - )) - (net result_i_177 (joined - (portRef (member result_i 126) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_177)) - )) - (net result_i_178 (joined - (portRef (member result_i 125) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_178)) - )) - (net result_i_179 (joined - (portRef (member result_i 124) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_179)) - )) - (net result_i_180 (joined - (portRef (member result_i 123) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_180)) - )) - (net result_i_181 (joined - (portRef (member result_i 122) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_181)) - )) - (net result_i_182 (joined - (portRef (member result_i 121) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_182)) - )) - (net result_i_183 (joined - (portRef (member result_i 120) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_183)) - )) - (net result_i_184 (joined - (portRef (member result_i 119) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_184)) - )) - (net reset_tdc_rep1_34 (joined - (portRef reset_tdc_rep1_34) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) + (net reset_tdc_rep2_34 (joined + (portRef reset_tdc_rep2_34) (portRef B (instanceRef result_reg_3_0_i_267)) (portRef B (instanceRef result_reg_3_0_i_266)) (portRef B (instanceRef result_reg_3_0_i_265)) @@ -616054,6 +616037,71 @@ (portRef B (instanceRef result_reg_3_0_i_185)) (portRef B (instanceRef result_reg_3_0_i_184)) (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + )) + (net result_i_172 (joined + (portRef (member result_i 131) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_172)) + )) + (net result_i_173 (joined + (portRef (member result_i 130) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_173)) + )) + (net result_i_174 (joined + (portRef (member result_i 129) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_174)) + )) + (net result_i_175 (joined + (portRef (member result_i 128) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_175)) + )) + (net result_i_176 (joined + (portRef (member result_i 127) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_176)) + )) + (net result_i_177 (joined + (portRef (member result_i 126) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_177)) + )) + (net result_i_178 (joined + (portRef (member result_i 125) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_178)) + )) + (net result_i_179 (joined + (portRef (member result_i 124) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_179)) + )) + (net result_i_180 (joined + (portRef (member result_i 123) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_180)) + )) + (net result_i_181 (joined + (portRef (member result_i 122) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_181)) + )) + (net result_i_182 (joined + (portRef (member result_i 121) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_182)) + )) + (net result_i_183 (joined + (portRef (member result_i 120) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_183)) + )) + (net result_i_184 (joined + (portRef (member result_i 119) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_184)) )) (net result_i_185 (joined (portRef (member result_i 118) (instanceRef FC)) @@ -616395,6 +616443,46 @@ (portRef (member result_i 34) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_269)) )) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + )) (net result_i_270 (joined (portRef (member result_i 33) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_270)) @@ -616451,32 +616539,6 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - )) (net result_i_284 (joined (portRef (member result_i 19) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_284)) @@ -616557,8 +616619,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_16_0 (joined - (portRef (member channel_debug_01_i_16 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_29_0 (joined + (portRef (member channel_debug_01_i_29 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -616585,9 +616647,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_16_2 (joined - (portRef (member channel_debug_01_i_16 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_16 0) (instanceRef FIFO)) + (net channel_debug_01_i_29_2 (joined + (portRef (member channel_debug_01_i_29 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_29 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -616772,17 +616834,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_50 (joined - (portRef reset_tdc_50) - (portRef reset_tdc_50 (instanceRef FC)) + (net reset_tdc_11 (joined + (portRef reset_tdc_11) + (portRef reset_tdc_11 (instanceRef FC)) )) - (net reset_tdc_49 (joined - (portRef reset_tdc_49) - (portRef reset_tdc_49 (instanceRef FC)) + (net reset_tdc_10 (joined + (portRef reset_tdc_10) + (portRef reset_tdc_10 (instanceRef FC)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) - (portRef reset_tdc_48 (instanceRef FC)) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef reset_tdc_9 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -616824,39 +616886,43 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) - (portRef reset_tdc_51 (instanceRef Encoder)) - (portRef reset_tdc_51 (instanceRef FC)) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef reset_tdc_12 (instanceRef Encoder)) + (portRef reset_tdc_12 (instanceRef FC)) )) - (net reset_tdc_fast_36_r25 (joined - (portRef reset_tdc_fast_36_r25) - (portRef reset_tdc_fast_36_r25 (instanceRef Encoder)) + (net reset_tdc_fast_37_r16 (joined + (portRef reset_tdc_fast_37_r16) + (portRef reset_tdc_fast_37_r16 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + )) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIH0RS)) + (portRef A (instanceRef result_2_reg_RNI7FUU)) )) - (net rd_en_i_16 (joined + (net rd_en_i_29 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef reset_i_10 (instanceRef FIFO)) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef reset_i_4 (instanceRef FIFO)) )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef reset_i_9 (instanceRef FIFO)) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef reset_i_3 (instanceRef FIFO)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef FIFO)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef FIFO)) )) (net G_1531_Q (joined (portRef G_1531_Q) @@ -616875,34 +616941,33 @@ ) ) ) - (cell Channel_29 (cellType GENERIC) + (cell Channel_14 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(29:29)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(29:29)") 1) (direction INPUT)) - (port (array (rename channel_data_i_29 "channel_data_i_29(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(61:61)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(14:14)") 1) (direction INPUT)) + (port (array (rename channel_data_i_14 "channel_data_i_14(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(46:46)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(14:14)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1542_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_2 (direction INPUT)) - (port reset_i_3 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_37_r16 (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) - (port reset_tdc_10 (direction INPUT)) - (port reset_tdc_11 (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) + (port reset_i_11 (direction INPUT)) + (port reset_i_12 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r23 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) + (port reset_tdc_55 (direction INPUT)) + (port reset_tdc_56 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_34 (direction INPUT)) - (port reset_tdc_rep2_33 (direction INPUT)) - (port reset_tdc_rep2_32 (direction INPUT)) - (port reset_tdc_rep2_2 (direction INPUT)) - (port reset_tdc_rep2_1 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_34 (direction INPUT)) + (port reset_tdc_rep1_33 (direction INPUT)) + (port reset_tdc_rep1_32 (direction INPUT)) + (port reset_tdc_rep1_2 (direction INPUT)) + (port N_2892_i (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -616916,7 +616981,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295472_0 (direction OUTPUT)) + (port N_295770_0 (direction OUTPUT)) (port G_1540_Q (direction INPUT)) (port sync_q_and_28 (direction OUTPUT)) (port G_1537_Q (direction INPUT)) @@ -616925,14 +616990,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIAM3U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNISTTV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIAM3U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNISTTV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIAM3U_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNISTTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -616941,10 +617006,10 @@ (instance GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) (instance result_reg_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -617729,6 +617794,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -618778,7 +618845,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI7FUU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIPMO01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -619025,41 +619092,41 @@ ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) - (portRef (member hit_in_iZ0 0)) + (portRef N_2892_i) (portRef DI0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) ) ) (net tmp1_28_0 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0)) )) (net tmp1_28_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIJH41_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI7FUU)) + (portRef B (instanceRef result_2_reg_RNIPMO01)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIAM3U_1)) - (portRef B (instanceRef result_2_reg_RNIAM3U_0)) - (portRef B (instanceRef result_2_reg_RNIAM3U)) + (portRef B (instanceRef result_2_reg_RNISTTV_1)) + (portRef B (instanceRef result_2_reg_RNISTTV_0)) + (portRef B (instanceRef result_2_reg_RNISTTV)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI7FUU)) + (portRef C (instanceRef result_2_reg_RNIPMO01)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIAM3U_1)) - (portRef C (instanceRef result_2_reg_RNIAM3U_0)) - (portRef C (instanceRef result_2_reg_RNIAM3U)) + (portRef C (instanceRef result_2_reg_RNISTTV_1)) + (portRef C (instanceRef result_2_reg_RNISTTV_0)) + (portRef C (instanceRef result_2_reg_RNISTTV)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIAM3U)) + (portRef Z (instanceRef result_2_reg_RNISTTV)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -619138,9 +619205,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIAM3U_1)) - (portRef A (instanceRef result_2_reg_RNIAM3U_0)) - (portRef A (instanceRef result_2_reg_RNIAM3U)) + (portRef A (instanceRef result_2_reg_RNISTTV_1)) + (portRef A (instanceRef result_2_reg_RNISTTV_0)) + (portRef A (instanceRef result_2_reg_RNISTTV)) )) (net GND (joined (portRef GND) @@ -619295,7 +619362,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIAM3U_0)) + (portRef Z (instanceRef result_2_reg_RNISTTV_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -619373,7 +619440,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIAM3U_1)) + (portRef Z (instanceRef result_2_reg_RNISTTV_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -619460,24 +619527,24 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295472_0 (joined + (net N_295770_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295472_0) + (portRef N_295770_0) )) (net tmp1_28_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) (portRef WAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - )) - (net tmp2_28_1 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) + (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) )) (net tmp3_28_1 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) + (net tmp2_28_1 (joined + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) + (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) + )) (net result_i_0 (joined (portRef (member result_i 303) (instanceRef FC)) (portRef D (instanceRef result_reg_0)) @@ -620114,7 +620181,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI7FUU)) + (portRef Z (instanceRef result_2_reg_RNIPMO01)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -623027,9 +623094,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_29_1 (joined + (net channel_debug_01_i_14_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_29 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_14 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_28 (joined @@ -623224,18 +623291,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_14 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_61 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -623272,6 +623339,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -623308,258 +623376,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_29_0 (joined + (net stat_reg_46 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_14_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_29 31)) + (portRef (member channel_data_i_14 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_29_1 (joined + (net channel_data_i_14_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_29 30)) + (portRef (member channel_data_i_14 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_29_2 (joined + (net channel_data_i_14_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_29 29)) + (portRef (member channel_data_i_14 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_29_3 (joined + (net channel_data_i_14_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_29 28)) + (portRef (member channel_data_i_14 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_29_4 (joined + (net channel_data_i_14_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_29 27)) + (portRef (member channel_data_i_14 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_29_5 (joined + (net channel_data_i_14_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_29 26)) + (portRef (member channel_data_i_14 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_29_6 (joined + (net channel_data_i_14_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_29 25)) + (portRef (member channel_data_i_14 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_29_7 (joined + (net channel_data_i_14_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_29 24)) + (portRef (member channel_data_i_14 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_29_8 (joined + (net channel_data_i_14_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_29 23)) + (portRef (member channel_data_i_14 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_29_9 (joined + (net channel_data_i_14_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_29 22)) + (portRef (member channel_data_i_14 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_29_10 (joined + (net channel_data_i_14_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_29 21)) + (portRef (member channel_data_i_14 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_29_11 (joined + (net channel_data_i_14_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_29 20)) + (portRef (member channel_data_i_14 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_29_12 (joined + (net channel_data_i_14_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_29 19)) + (portRef (member channel_data_i_14 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_29_13 (joined + (net channel_data_i_14_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_29 18)) + (portRef (member channel_data_i_14 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_29_14 (joined + (net channel_data_i_14_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_29 17)) + (portRef (member channel_data_i_14 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_29_15 (joined + (net channel_data_i_14_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_29 16)) + (portRef (member channel_data_i_14 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_29_16 (joined + (net channel_data_i_14_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_29 15)) + (portRef (member channel_data_i_14 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_29_17 (joined + (net channel_data_i_14_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_29 14)) + (portRef (member channel_data_i_14 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_29_18 (joined + (net channel_data_i_14_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_29 13)) + (portRef (member channel_data_i_14 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_29_19 (joined + (net channel_data_i_14_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_29 12)) + (portRef (member channel_data_i_14 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_29_20 (joined + (net channel_data_i_14_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_29 11)) + (portRef (member channel_data_i_14 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_29_21 (joined + (net channel_data_i_14_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_29 10)) + (portRef (member channel_data_i_14 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_29_22 (joined + (net channel_data_i_14_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_29 9)) + (portRef (member channel_data_i_14 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_29_23 (joined + (net channel_data_i_14_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_29 8)) + (portRef (member channel_data_i_14 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_29_24 (joined + (net channel_data_i_14_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_29 7)) + (portRef (member channel_data_i_14 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_29_25 (joined + (net channel_data_i_14_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_29 6)) + (portRef (member channel_data_i_14 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_29_26 (joined + (net channel_data_i_14_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_29 5)) + (portRef (member channel_data_i_14 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_29_27 (joined + (net channel_data_i_14_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_29 4)) + (portRef (member channel_data_i_14 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_29_28 (joined + (net channel_data_i_14_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_29 3)) + (portRef (member channel_data_i_14 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_29_29 (joined + (net channel_data_i_14_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_29 2)) + (portRef (member channel_data_i_14 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_29_30 (joined + (net channel_data_i_14_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_29 1)) + (portRef (member channel_data_i_14 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_29_31 (joined + (net channel_data_i_14_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_29 0)) + (portRef (member channel_data_i_14 0)) )) (net sync_q_CR0_ram_DO1_28 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -623578,8 +623655,26 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_1 (joined - (portRef reset_tdc_rep2_1) + (net reset_tdc_rep1_2 (joined + (portRef reset_tdc_rep1_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (portRef B (instanceRef lost_hit_cntr_lm_0_7)) + (portRef B (instanceRef lost_hit_cntr_lm_0_6)) (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) @@ -623611,27 +623706,6 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_7)) (portRef A (instanceRef lost_hit_cntr_lm_0_7)) )) - (net reset_tdc_rep2_2 (joined - (portRef reset_tdc_rep2_2) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - (portRef B (instanceRef lost_hit_cntr_lm_0_7)) - (portRef B (instanceRef lost_hit_cntr_lm_0_6)) - )) (net lost_hit_cntr_s_8 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_7)) (portRef A (instanceRef lost_hit_cntr_lm_0_8)) @@ -623704,8 +623778,20 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_32 (joined - (portRef reset_tdc_rep2_32) + (net reset_tdc_rep1_32 (joined + (portRef reset_tdc_rep1_32) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) (portRef B (instanceRef result_reg_3_0_i_71)) (portRef B (instanceRef result_reg_3_0_i_70)) (portRef B (instanceRef result_reg_3_0_i_69)) @@ -624052,8 +624138,69 @@ (portRef (member result_i 230) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_73)) )) - (net reset_tdc_rep2_33 (joined - (portRef reset_tdc_rep2_33) + (net result_i_74 (joined + (portRef (member result_i 229) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_74)) + )) + (net result_i_75 (joined + (portRef (member result_i 228) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_75)) + )) + (net result_i_76 (joined + (portRef (member result_i 227) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_76)) + )) + (net result_i_77 (joined + (portRef (member result_i 226) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_77)) + )) + (net result_i_78 (joined + (portRef (member result_i 225) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_78)) + )) + (net result_i_79 (joined + (portRef (member result_i 224) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_79)) + )) + (net result_i_80 (joined + (portRef (member result_i 223) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_80)) + )) + (net result_i_81 (joined + (portRef (member result_i 222) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_81)) + )) + (net result_i_82 (joined + (portRef (member result_i 221) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_82)) + )) + (net result_i_83 (joined + (portRef (member result_i 220) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_83)) + )) + (net result_i_84 (joined + (portRef (member result_i 219) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_84)) + )) + (net result_i_85 (joined + (portRef (member result_i 218) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_85)) + )) + (net reset_tdc_rep1_33 (joined + (portRef reset_tdc_rep1_33) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) (portRef B (instanceRef result_reg_3_0_i_169)) (portRef B (instanceRef result_reg_3_0_i_168)) (portRef B (instanceRef result_reg_3_0_i_167)) @@ -624140,66 +624287,6 @@ (portRef B (instanceRef result_reg_3_0_i_86)) (portRef B (instanceRef result_reg_3_0_i_85)) (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - )) - (net result_i_74 (joined - (portRef (member result_i 229) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_74)) - )) - (net result_i_75 (joined - (portRef (member result_i 228) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_75)) - )) - (net result_i_76 (joined - (portRef (member result_i 227) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_76)) - )) - (net result_i_77 (joined - (portRef (member result_i 226) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_77)) - )) - (net result_i_78 (joined - (portRef (member result_i 225) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_78)) - )) - (net result_i_79 (joined - (portRef (member result_i 224) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_79)) - )) - (net result_i_80 (joined - (portRef (member result_i 223) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_80)) - )) - (net result_i_81 (joined - (portRef (member result_i 222) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_81)) - )) - (net result_i_82 (joined - (portRef (member result_i 221) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_82)) - )) - (net result_i_83 (joined - (portRef (member result_i 220) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_83)) - )) - (net result_i_84 (joined - (portRef (member result_i 219) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_84)) - )) - (net result_i_85 (joined - (portRef (member result_i 218) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_85)) )) (net result_i_86 (joined (portRef (member result_i 217) (instanceRef FC)) @@ -624545,8 +624632,74 @@ (portRef (member result_i 132) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_171)) )) - (net reset_tdc_rep2_34 (joined - (portRef reset_tdc_rep2_34) + (net result_i_172 (joined + (portRef (member result_i 131) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_172)) + )) + (net result_i_173 (joined + (portRef (member result_i 130) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_173)) + )) + (net result_i_174 (joined + (portRef (member result_i 129) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_174)) + )) + (net result_i_175 (joined + (portRef (member result_i 128) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_175)) + )) + (net result_i_176 (joined + (portRef (member result_i 127) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_176)) + )) + (net result_i_177 (joined + (portRef (member result_i 126) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_177)) + )) + (net result_i_178 (joined + (portRef (member result_i 125) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_178)) + )) + (net result_i_179 (joined + (portRef (member result_i 124) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_179)) + )) + (net result_i_180 (joined + (portRef (member result_i 123) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_180)) + )) + (net result_i_181 (joined + (portRef (member result_i 122) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_181)) + )) + (net result_i_182 (joined + (portRef (member result_i 121) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_182)) + )) + (net result_i_183 (joined + (portRef (member result_i 120) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_183)) + )) + (net result_i_184 (joined + (portRef (member result_i 119) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_184)) + )) + (net reset_tdc_rep1_34 (joined + (portRef reset_tdc_rep1_34) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) (portRef B (instanceRef result_reg_3_0_i_267)) (portRef B (instanceRef result_reg_3_0_i_266)) (portRef B (instanceRef result_reg_3_0_i_265)) @@ -624632,71 +624785,6 @@ (portRef B (instanceRef result_reg_3_0_i_185)) (portRef B (instanceRef result_reg_3_0_i_184)) (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - )) - (net result_i_172 (joined - (portRef (member result_i 131) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_172)) - )) - (net result_i_173 (joined - (portRef (member result_i 130) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_173)) - )) - (net result_i_174 (joined - (portRef (member result_i 129) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_174)) - )) - (net result_i_175 (joined - (portRef (member result_i 128) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_175)) - )) - (net result_i_176 (joined - (portRef (member result_i 127) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_176)) - )) - (net result_i_177 (joined - (portRef (member result_i 126) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_177)) - )) - (net result_i_178 (joined - (portRef (member result_i 125) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_178)) - )) - (net result_i_179 (joined - (portRef (member result_i 124) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_179)) - )) - (net result_i_180 (joined - (portRef (member result_i 123) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_180)) - )) - (net result_i_181 (joined - (portRef (member result_i 122) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_181)) - )) - (net result_i_182 (joined - (portRef (member result_i 121) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_182)) - )) - (net result_i_183 (joined - (portRef (member result_i 120) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_183)) - )) - (net result_i_184 (joined - (portRef (member result_i 119) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_184)) )) (net result_i_185 (joined (portRef (member result_i 118) (instanceRef FC)) @@ -625038,46 +625126,6 @@ (portRef (member result_i 34) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_269)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - )) (net result_i_270 (joined (portRef (member result_i 33) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_270)) @@ -625134,6 +625182,32 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + )) (net result_i_284 (joined (portRef (member result_i 19) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_284)) @@ -625214,8 +625288,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_29_0 (joined - (portRef (member channel_debug_01_i_29 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_14_0 (joined + (portRef (member channel_debug_01_i_14 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -625242,9 +625316,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_29_2 (joined - (portRef (member channel_debug_01_i_29 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_29 0) (instanceRef FIFO)) + (net channel_debug_01_i_14_2 (joined + (portRef (member channel_debug_01_i_14 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_14 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -625429,17 +625503,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_11 (joined - (portRef reset_tdc_11) - (portRef reset_tdc_11 (instanceRef FC)) + (net reset_tdc_56 (joined + (portRef reset_tdc_56) + (portRef reset_tdc_56 (instanceRef FC)) )) - (net reset_tdc_10 (joined - (portRef reset_tdc_10) - (portRef reset_tdc_10 (instanceRef FC)) + (net reset_tdc_55 (joined + (portRef reset_tdc_55) + (portRef reset_tdc_55 (instanceRef FC)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef reset_tdc_9 (instanceRef FC)) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) + (portRef reset_tdc_54 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -625481,39 +625555,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef reset_tdc_12 (instanceRef Encoder)) - (portRef reset_tdc_12 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r16 (joined - (portRef reset_tdc_fast_37_r16) - (portRef reset_tdc_fast_37_r16 (instanceRef Encoder)) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef reset_tdc_57 (instanceRef Encoder)) + (portRef reset_tdc_57 (instanceRef FC)) )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + (net reset_tdc_fast_36_r23 (joined + (portRef reset_tdc_fast_36_r23) + (portRef reset_tdc_fast_36_r23 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI7FUU)) + (portRef A (instanceRef result_2_reg_RNIPMO01)) )) - (net rd_en_i_29 (joined + (net rd_en_i_14 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_3 (joined - (portRef reset_i_3) - (portRef reset_i_3 (instanceRef FIFO)) + (net reset_i_12 (joined + (portRef reset_i_12) + (portRef reset_i_12 (instanceRef FIFO)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef reset_i_2 (instanceRef FIFO)) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef reset_i_11 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -625536,27 +625606,28 @@ ) ) ) - (cell Channel_24 (cellType GENERIC) + (cell Channel_31 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(24:24)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(24:24)") 1) (direction INPUT)) - (port (array (rename channel_data_i_24 "channel_data_i_24(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(56:56)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(31:31)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(31:31)") 1) (direction INPUT)) + (port (array (rename channel_data_i_31 "channel_data_i_31(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(63:63)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1551_Q (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_5 (direction INPUT)) - (port reset_i_6 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) + (port reset_i_2 (direction INPUT)) + (port reset_i_3 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_36_r33 (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) - (port reset_tdc_25 (direction INPUT)) - (port reset_tdc_26 (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_37_r18 (direction INPUT)) + (port reset_tdc_3 (direction INPUT)) + (port reset_tdc_4 (direction INPUT)) + (port reset_tdc_5 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_rep2_32 (direction INPUT)) (port reset_tdc_rep2_31 (direction INPUT)) @@ -625576,7 +625647,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295485_0 (direction OUTPUT)) + (port N_295783_0 (direction OUTPUT)) (port G_1549_Q (direction INPUT)) (port sync_q_and_27 (direction OUTPUT)) (port G_1546_Q (direction INPUT)) @@ -625585,14 +625656,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIUTTV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNICFQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIUTTV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICFQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIUTTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICFQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -627438,7 +627509,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIRMO01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI98LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -627694,32 +627765,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0)) )) (net tmp1_27_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIRMO01)) + (portRef B (instanceRef result_2_reg_RNI98LU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIUTTV_1)) - (portRef B (instanceRef result_2_reg_RNIUTTV_0)) - (portRef B (instanceRef result_2_reg_RNIUTTV)) + (portRef B (instanceRef result_2_reg_RNICFQT_1)) + (portRef B (instanceRef result_2_reg_RNICFQT_0)) + (portRef B (instanceRef result_2_reg_RNICFQT)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIRMO01)) + (portRef C (instanceRef result_2_reg_RNI98LU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIUTTV_1)) - (portRef C (instanceRef result_2_reg_RNIUTTV_0)) - (portRef C (instanceRef result_2_reg_RNIUTTV)) + (portRef C (instanceRef result_2_reg_RNICFQT_1)) + (portRef C (instanceRef result_2_reg_RNICFQT_0)) + (portRef C (instanceRef result_2_reg_RNICFQT)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIUTTV)) + (portRef Z (instanceRef result_2_reg_RNICFQT)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -627798,9 +627869,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIUTTV_1)) - (portRef A (instanceRef result_2_reg_RNIUTTV_0)) - (portRef A (instanceRef result_2_reg_RNIUTTV)) + (portRef A (instanceRef result_2_reg_RNICFQT_1)) + (portRef A (instanceRef result_2_reg_RNICFQT_0)) + (portRef A (instanceRef result_2_reg_RNICFQT)) )) (net GND (joined (portRef GND) @@ -627955,7 +628026,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIUTTV_0)) + (portRef Z (instanceRef result_2_reg_RNICFQT_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -628033,7 +628104,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIUTTV_1)) + (portRef Z (instanceRef result_2_reg_RNICFQT_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -628120,9 +628191,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295485_0 (joined + (net N_295783_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295485_0) + (portRef N_295783_0) )) (net tmp1_27_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -628774,7 +628845,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIRMO01)) + (portRef Z (instanceRef result_2_reg_RNI98LU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -631687,9 +631758,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_24_1 (joined + (net channel_debug_01_i_31_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_24 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_31 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_27 (joined @@ -631888,7 +631959,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_56 (joined + (net stat_reg_63 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -631969,257 +632040,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_24_0 (joined + (net channel_data_i_31_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_24 31)) + (portRef (member channel_data_i_31 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_24_1 (joined + (net channel_data_i_31_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_24 30)) + (portRef (member channel_data_i_31 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_24_2 (joined + (net channel_data_i_31_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_24 29)) + (portRef (member channel_data_i_31 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_24_3 (joined + (net channel_data_i_31_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_24 28)) + (portRef (member channel_data_i_31 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_24_4 (joined + (net channel_data_i_31_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_24 27)) + (portRef (member channel_data_i_31 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_24_5 (joined + (net channel_data_i_31_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_24 26)) + (portRef (member channel_data_i_31 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_24_6 (joined + (net channel_data_i_31_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_24 25)) + (portRef (member channel_data_i_31 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_24_7 (joined + (net channel_data_i_31_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_24 24)) + (portRef (member channel_data_i_31 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_24_8 (joined + (net channel_data_i_31_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_24 23)) + (portRef (member channel_data_i_31 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_24_9 (joined + (net channel_data_i_31_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_24 22)) + (portRef (member channel_data_i_31 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_24_10 (joined + (net channel_data_i_31_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_24 21)) + (portRef (member channel_data_i_31 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_24_11 (joined + (net channel_data_i_31_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_24 20)) + (portRef (member channel_data_i_31 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_24_12 (joined + (net channel_data_i_31_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_24 19)) + (portRef (member channel_data_i_31 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_24_13 (joined + (net channel_data_i_31_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_24 18)) + (portRef (member channel_data_i_31 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_24_14 (joined + (net channel_data_i_31_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_24 17)) + (portRef (member channel_data_i_31 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_24_15 (joined + (net channel_data_i_31_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_24 16)) + (portRef (member channel_data_i_31 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_24_16 (joined + (net channel_data_i_31_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_24 15)) + (portRef (member channel_data_i_31 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_24_17 (joined + (net channel_data_i_31_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_24 14)) + (portRef (member channel_data_i_31 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_24_18 (joined + (net channel_data_i_31_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_24 13)) + (portRef (member channel_data_i_31 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_24_19 (joined + (net channel_data_i_31_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_24 12)) + (portRef (member channel_data_i_31 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_24_20 (joined + (net channel_data_i_31_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_24 11)) + (portRef (member channel_data_i_31 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_24_21 (joined + (net channel_data_i_31_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_24 10)) + (portRef (member channel_data_i_31 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_24_22 (joined + (net channel_data_i_31_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_24 9)) + (portRef (member channel_data_i_31 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_24_23 (joined + (net channel_data_i_31_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_24 8)) + (portRef (member channel_data_i_31 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_24_24 (joined + (net channel_data_i_31_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_24 7)) + (portRef (member channel_data_i_31 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_24_25 (joined + (net channel_data_i_31_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_24 6)) + (portRef (member channel_data_i_31 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_24_26 (joined + (net channel_data_i_31_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_24 5)) + (portRef (member channel_data_i_31 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_24_27 (joined + (net channel_data_i_31_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_24 4)) + (portRef (member channel_data_i_31 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_24_28 (joined + (net channel_data_i_31_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_24 3)) + (portRef (member channel_data_i_31 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_24_29 (joined + (net channel_data_i_31_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_24 2)) + (portRef (member channel_data_i_31 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_24_30 (joined + (net channel_data_i_31_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_24 1)) + (portRef (member channel_data_i_31 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_24_31 (joined + (net channel_data_i_31_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_24 0)) + (portRef (member channel_data_i_31 0)) )) (net sync_q_CR0_ram_DO1_27 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -633870,8 +633941,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_24_0 (joined - (portRef (member channel_debug_01_i_24 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_31_0 (joined + (portRef (member channel_debug_01_i_31 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -633898,9 +633969,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_24_2 (joined - (portRef (member channel_debug_01_i_24 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_24 0) (instanceRef FIFO)) + (net channel_debug_01_i_31_2 (joined + (portRef (member channel_debug_01_i_31 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_31 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -634085,17 +634156,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_26 (joined - (portRef reset_tdc_26) - (portRef reset_tdc_26 (instanceRef FC)) + (net reset_tdc_5 (joined + (portRef reset_tdc_5) + (portRef reset_tdc_5 (instanceRef FC)) )) - (net reset_tdc_25 (joined - (portRef reset_tdc_25) - (portRef reset_tdc_25 (instanceRef FC)) + (net reset_tdc_4 (joined + (portRef reset_tdc_4) + (portRef reset_tdc_4 (instanceRef FC)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) - (portRef reset_tdc_24 (instanceRef FC)) + (net reset_tdc_3 (joined + (portRef reset_tdc_3) + (portRef reset_tdc_3 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -634137,14 +634208,18 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) - (portRef reset_tdc_27 (instanceRef Encoder)) - (portRef reset_tdc_27 (instanceRef FC)) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef reset_tdc_6 (instanceRef Encoder)) + (portRef reset_tdc_6 (instanceRef FC)) )) - (net reset_tdc_fast_36_r33 (joined - (portRef reset_tdc_fast_36_r33) - (portRef reset_tdc_fast_36_r33 (instanceRef Encoder)) + (net reset_tdc_fast_37_r18 (joined + (portRef reset_tdc_fast_37_r18) + (portRef reset_tdc_fast_37_r18 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) @@ -634157,23 +634232,23 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIRMO01)) + (portRef A (instanceRef result_2_reg_RNI98LU)) )) - (net rd_en_i_24 (joined + (net rd_en_i_31 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef reset_i_6 (instanceRef FIFO)) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef reset_i_3 (instanceRef FIFO)) )) - (net reset_i_5 (joined - (portRef reset_i_5) - (portRef reset_i_5 (instanceRef FIFO)) + (net reset_i_2 (joined + (portRef reset_i_2) + (portRef reset_i_2 (instanceRef FIFO)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef FIFO)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef FIFO)) )) (net G_1549_Q (joined (portRef G_1549_Q) @@ -634192,33 +634267,32 @@ ) ) ) - (cell Channel_12 (cellType GENERIC) + (cell Channel_24 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(12:12)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(12:12)") 1) (direction INPUT)) - (port (array (rename channel_data_i_12 "channel_data_i_12(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(44:44)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(24:24)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(24:24)") 1) (direction INPUT)) + (port (array (rename channel_data_i_24 "channel_data_i_24(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(56:56)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1560_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_11 (direction INPUT)) - (port reset_i_12 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r21 (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) - (port reset_tdc_62 (direction INPUT)) - (port reset_tdc_63 (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) + (port reset_i_6 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_36_r33 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) + (port reset_tdc_25 (direction INPUT)) + (port reset_tdc_26 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_32 (direction INPUT)) - (port reset_tdc_rep1_31 (direction INPUT)) - (port reset_tdc_rep1_30 (direction INPUT)) - (port reset_tdc_rep1_29 (direction INPUT)) - (port reset_tdc_rep1_2 (direction INPUT)) + (port reset_tdc_rep2_29 (direction INPUT)) + (port reset_tdc_rep2_28 (direction INPUT)) + (port reset_tdc_rep2_27 (direction INPUT)) + (port reset_tdc_rep2_26 (direction INPUT)) + (port reset_tdc_rep2_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -634232,7 +634306,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295498_0 (direction OUTPUT)) + (port N_295796_0 (direction OUTPUT)) (port G_1558_Q (direction INPUT)) (port sync_q_and_26 (direction OUTPUT)) (port G_1555_Q (direction INPUT)) @@ -634241,14 +634315,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI4KR31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIUTTV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI4KR31_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIUTTV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI4KR31_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIUTTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -636094,7 +636168,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI1DM41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIRMO01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -636350,32 +636424,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0)) )) (net tmp1_26_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIEEN3_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI1DM41)) + (portRef B (instanceRef result_2_reg_RNIRMO01)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI4KR31_1)) - (portRef B (instanceRef result_2_reg_RNI4KR31_0)) - (portRef B (instanceRef result_2_reg_RNI4KR31)) + (portRef B (instanceRef result_2_reg_RNIUTTV_1)) + (portRef B (instanceRef result_2_reg_RNIUTTV_0)) + (portRef B (instanceRef result_2_reg_RNIUTTV)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI1DM41)) + (portRef C (instanceRef result_2_reg_RNIRMO01)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI4KR31_1)) - (portRef C (instanceRef result_2_reg_RNI4KR31_0)) - (portRef C (instanceRef result_2_reg_RNI4KR31)) + (portRef C (instanceRef result_2_reg_RNIUTTV_1)) + (portRef C (instanceRef result_2_reg_RNIUTTV_0)) + (portRef C (instanceRef result_2_reg_RNIUTTV)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI4KR31)) + (portRef Z (instanceRef result_2_reg_RNIUTTV)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -636454,9 +636528,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI4KR31_1)) - (portRef A (instanceRef result_2_reg_RNI4KR31_0)) - (portRef A (instanceRef result_2_reg_RNI4KR31)) + (portRef A (instanceRef result_2_reg_RNIUTTV_1)) + (portRef A (instanceRef result_2_reg_RNIUTTV_0)) + (portRef A (instanceRef result_2_reg_RNIUTTV)) )) (net GND (joined (portRef GND) @@ -636611,7 +636685,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI4KR31_0)) + (portRef Z (instanceRef result_2_reg_RNIUTTV_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -636689,7 +636763,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI4KR31_1)) + (portRef Z (instanceRef result_2_reg_RNIUTTV_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -636776,9 +636850,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295498_0 (joined + (net N_295796_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295498_0) + (portRef N_295796_0) )) (net tmp1_26_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -637430,7 +637504,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI1DM41)) + (portRef Z (instanceRef result_2_reg_RNIRMO01)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -640343,9 +640417,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_12_1 (joined + (net channel_debug_01_i_24_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_12 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_24 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_26 (joined @@ -640544,7 +640618,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_44 (joined + (net stat_reg_56 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -640625,257 +640699,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_12_0 (joined + (net channel_data_i_24_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_12 31)) + (portRef (member channel_data_i_24 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_12_1 (joined + (net channel_data_i_24_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_12 30)) + (portRef (member channel_data_i_24 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_12_2 (joined + (net channel_data_i_24_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_12 29)) + (portRef (member channel_data_i_24 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_12_3 (joined + (net channel_data_i_24_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_12 28)) + (portRef (member channel_data_i_24 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_12_4 (joined + (net channel_data_i_24_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_12 27)) + (portRef (member channel_data_i_24 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_12_5 (joined + (net channel_data_i_24_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_12 26)) + (portRef (member channel_data_i_24 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_12_6 (joined + (net channel_data_i_24_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_12 25)) + (portRef (member channel_data_i_24 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_12_7 (joined + (net channel_data_i_24_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_12 24)) + (portRef (member channel_data_i_24 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_12_8 (joined + (net channel_data_i_24_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_12 23)) + (portRef (member channel_data_i_24 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_12_9 (joined + (net channel_data_i_24_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_12 22)) + (portRef (member channel_data_i_24 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_12_10 (joined + (net channel_data_i_24_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_12 21)) + (portRef (member channel_data_i_24 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_12_11 (joined + (net channel_data_i_24_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_12 20)) + (portRef (member channel_data_i_24 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_12_12 (joined + (net channel_data_i_24_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_12 19)) + (portRef (member channel_data_i_24 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_12_13 (joined + (net channel_data_i_24_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_12 18)) + (portRef (member channel_data_i_24 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_12_14 (joined + (net channel_data_i_24_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_12 17)) + (portRef (member channel_data_i_24 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_12_15 (joined + (net channel_data_i_24_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_12 16)) + (portRef (member channel_data_i_24 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_12_16 (joined + (net channel_data_i_24_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_12 15)) + (portRef (member channel_data_i_24 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_12_17 (joined + (net channel_data_i_24_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_12 14)) + (portRef (member channel_data_i_24 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_12_18 (joined + (net channel_data_i_24_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_12 13)) + (portRef (member channel_data_i_24 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_12_19 (joined + (net channel_data_i_24_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_12 12)) + (portRef (member channel_data_i_24 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_12_20 (joined + (net channel_data_i_24_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_12 11)) + (portRef (member channel_data_i_24 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_12_21 (joined + (net channel_data_i_24_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_12 10)) + (portRef (member channel_data_i_24 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_12_22 (joined + (net channel_data_i_24_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_12 9)) + (portRef (member channel_data_i_24 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_12_23 (joined + (net channel_data_i_24_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_12 8)) + (portRef (member channel_data_i_24 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_12_24 (joined + (net channel_data_i_24_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_12 7)) + (portRef (member channel_data_i_24 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_12_25 (joined + (net channel_data_i_24_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_12 6)) + (portRef (member channel_data_i_24 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_12_26 (joined + (net channel_data_i_24_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_12 5)) + (portRef (member channel_data_i_24 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_12_27 (joined + (net channel_data_i_24_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_12 4)) + (portRef (member channel_data_i_24 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_12_28 (joined + (net channel_data_i_24_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_12 3)) + (portRef (member channel_data_i_24 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_12_29 (joined + (net channel_data_i_24_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_12 2)) + (portRef (member channel_data_i_24 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_12_30 (joined + (net channel_data_i_24_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_12 1)) + (portRef (member channel_data_i_24 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_12_31 (joined + (net channel_data_i_24_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_12 0)) + (portRef (member channel_data_i_24 0)) )) (net sync_q_CR0_ram_DO1_26 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -640894,8 +640968,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_2 (joined - (portRef reset_tdc_rep1_2) + (net reset_tdc_rep2_1 (joined + (portRef reset_tdc_rep2_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -641017,10 +641091,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_29 (joined - (portRef reset_tdc_rep1_29) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) + (net reset_tdc_rep2_26 (joined + (portRef reset_tdc_rep2_26) (portRef B (instanceRef result_reg_3_0_i_85)) (portRef B (instanceRef result_reg_3_0_i_84)) (portRef B (instanceRef result_reg_3_0_i_83)) @@ -641437,19 +641509,8 @@ (portRef (member result_i 216) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_87)) )) - (net result_i_88 (joined - (portRef (member result_i 215) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_88)) - )) - (net result_i_89 (joined - (portRef (member result_i 214) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_89)) - )) - (net reset_tdc_rep1_30 (joined - (portRef reset_tdc_rep1_30) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) + (net reset_tdc_rep2_27 (joined + (portRef reset_tdc_rep2_27) (portRef B (instanceRef result_reg_3_0_i_183)) (portRef B (instanceRef result_reg_3_0_i_182)) (portRef B (instanceRef result_reg_3_0_i_181)) @@ -641546,6 +641607,16 @@ (portRef B (instanceRef result_reg_3_0_i_90)) (portRef B (instanceRef result_reg_3_0_i_89)) (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + )) + (net result_i_88 (joined + (portRef (member result_i 215) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_88)) + )) + (net result_i_89 (joined + (portRef (member result_i 214) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_89)) )) (net result_i_90 (joined (portRef (member result_i 213) (instanceRef FC)) @@ -641931,24 +642002,8 @@ (portRef (member result_i 118) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_185)) )) - (net result_i_186 (joined - (portRef (member result_i 117) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_186)) - )) - (net result_i_187 (joined - (portRef (member result_i 116) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_187)) - )) - (net result_i_188 (joined - (portRef (member result_i 115) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_188)) - )) - (net reset_tdc_rep1_31 (joined - (portRef reset_tdc_rep1_31) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) + (net reset_tdc_rep2_28 (joined + (portRef reset_tdc_rep2_28) (portRef B (instanceRef result_reg_3_0_i_281)) (portRef B (instanceRef result_reg_3_0_i_280)) (portRef B (instanceRef result_reg_3_0_i_279)) @@ -642044,6 +642099,21 @@ (portRef B (instanceRef result_reg_3_0_i_189)) (portRef B (instanceRef result_reg_3_0_i_188)) (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + )) + (net result_i_186 (joined + (portRef (member result_i 117) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_186)) + )) + (net result_i_187 (joined + (portRef (member result_i 116) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_187)) + )) + (net result_i_188 (joined + (portRef (member result_i 115) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_188)) )) (net result_i_189 (joined (portRef (member result_i 114) (instanceRef FC)) @@ -642425,24 +642495,8 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) - (net result_i_284 (joined - (portRef (member result_i 19) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_284)) - )) - (net result_i_285 (joined - (portRef (member result_i 18) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_285)) - )) - (net result_i_286 (joined - (portRef (member result_i 17) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_286)) - )) - (net result_i_287 (joined - (portRef (member result_i 16) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_287)) - )) - (net reset_tdc_rep1_32 (joined - (portRef reset_tdc_rep1_32) + (net reset_tdc_rep2_29 (joined + (portRef reset_tdc_rep2_29) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -642461,6 +642515,26 @@ (portRef B (instanceRef result_reg_3_0_i_288)) (portRef B (instanceRef result_reg_3_0_i_287)) (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + )) + (net result_i_284 (joined + (portRef (member result_i 19) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_284)) + )) + (net result_i_285 (joined + (portRef (member result_i 18) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_285)) + )) + (net result_i_286 (joined + (portRef (member result_i 17) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_286)) + )) + (net result_i_287 (joined + (portRef (member result_i 16) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_287)) )) (net result_i_288 (joined (portRef (member result_i 15) (instanceRef FC)) @@ -642526,8 +642600,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_12_0 (joined - (portRef (member channel_debug_01_i_12 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_24_0 (joined + (portRef (member channel_debug_01_i_24 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -642554,9 +642628,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_12_2 (joined - (portRef (member channel_debug_01_i_12 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_12 0) (instanceRef FIFO)) + (net channel_debug_01_i_24_2 (joined + (portRef (member channel_debug_01_i_24 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_24 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -642741,17 +642815,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_63 (joined - (portRef reset_tdc_63) - (portRef reset_tdc_63 (instanceRef FC)) + (net reset_tdc_26 (joined + (portRef reset_tdc_26) + (portRef reset_tdc_26 (instanceRef FC)) )) - (net reset_tdc_62 (joined - (portRef reset_tdc_62) - (portRef reset_tdc_62 (instanceRef FC)) + (net reset_tdc_25 (joined + (portRef reset_tdc_25) + (portRef reset_tdc_25 (instanceRef FC)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef reset_tdc_61 (instanceRef FC)) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) + (portRef reset_tdc_24 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -642793,39 +642867,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) - (portRef reset_tdc_64 (instanceRef Encoder)) - (portRef reset_tdc_64 (instanceRef FC)) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) + (portRef reset_tdc_27 (instanceRef Encoder)) + (portRef reset_tdc_27 (instanceRef FC)) )) - (net reset_tdc_fast_36_r21 (joined - (portRef reset_tdc_fast_36_r21) - (portRef reset_tdc_fast_36_r21 (instanceRef Encoder)) + (net reset_tdc_fast_36_r33 (joined + (portRef reset_tdc_fast_36_r33) + (portRef reset_tdc_fast_36_r33 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI1DM41)) + (portRef A (instanceRef result_2_reg_RNIRMO01)) )) - (net rd_en_i_12 (joined + (net rd_en_i_24 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_12 (joined - (portRef reset_i_12) - (portRef reset_i_12 (instanceRef FIFO)) - )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef reset_i_11 (instanceRef FIFO)) + (net reset_i_6 (joined + (portRef reset_i_6) + (portRef reset_i_6 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -642848,48 +642918,48 @@ ) ) ) - (cell Channel_27 (cellType GENERIC) + (cell Channel_10 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(27:27)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(27:27)") 1) (direction INPUT)) - (port (array (rename channel_data_i_27 "channel_data_i_27(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(59:59)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(10:10)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(10:10)") 1) (direction INPUT)) + (port (array (rename channel_data_i_10 "channel_data_i_10(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(42:42)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(10:10)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) - (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) + (port (array (rename coarse_cnt "coarse_cnt(10:0)") 11) (direction INPUT)) (port G_1569_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_3 (direction INPUT)) - (port reset_i_4 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r14 (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) - (port reset_tdc_16 (direction INPUT)) - (port reset_tdc_17 (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) + (port reset_i_14 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) + (port reset_tdc_fast_36_r18 (direction INPUT)) + (port reset_tdc_fast_37_r10 (direction INPUT)) + (port reset_tdc_fast_37_r11 (direction INPUT)) + (port reset_tdc_fast_36_r17 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_68 (direction INPUT)) + (port reset_tdc_69 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_29 (direction INPUT)) - (port reset_tdc_rep2_28 (direction INPUT)) - (port reset_tdc_rep2_27 (direction INPUT)) - (port reset_tdc_rep2_26 (direction INPUT)) - (port reset_tdc_rep2_1 (direction INPUT)) + (port reset_tdc_fast_34 (direction INPUT)) + (port reset_tdc_fast_33 (direction INPUT)) + (port reset_tdc_fast_32 (direction INPUT)) + (port reset_tdc_fast_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_7_0_S0 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_5_0_S1 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_5_0_S0 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_3_0_S1 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_3_0_S0 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_1_0_S1 (direction INPUT)) - (port un3_hit_time_stamp_i_cry_1_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_s_9_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_7_0_S1 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_7_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_5_0_S1 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_5_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_3_0_S1 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_3_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_1_0_S1 (direction OUTPUT)) + (port un3_hit_time_stamp_i_cry_1_0_S0 (direction OUTPUT)) (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295511_0 (direction OUTPUT)) + (port N_295809_0 (direction OUTPUT)) (port G_1567_Q (direction INPUT)) (port sync_q_and_25 (direction OUTPUT)) (port G_1564_Q (direction INPUT)) @@ -642898,14 +642968,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIIC121 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNICAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIIC121_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIIC121_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -643702,6 +643772,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -644751,7 +644823,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIF5S21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI93KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -644832,6 +644904,120 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) + (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) + (instance un3_hit_time_stamp_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x010a")) + ) + (instance un3_hit_time_stamp_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x010a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x010a")) + ) + (instance un3_hit_time_stamp_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x010a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x010a")) + ) + (instance un3_hit_time_stamp_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x010a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x010a")) + ) + (instance un3_hit_time_stamp_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x010a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x030f")) + ) + (instance un3_hit_time_stamp_i_s_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x050c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0a0c")) + ) (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) @@ -644910,84 +645096,6 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) - (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) (instance FC (viewRef netlist (cellRef Adder_304_27)) ) (instance Encoder (viewRef netlist (cellRef Encoder_304_Bit_Encoder_60_27)) @@ -645007,32 +645115,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0)) )) (net tmp1_25_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIF5S21)) + (portRef B (instanceRef result_2_reg_RNI93KO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIIC121_1)) - (portRef B (instanceRef result_2_reg_RNIIC121_0)) - (portRef B (instanceRef result_2_reg_RNIIC121)) + (portRef B (instanceRef result_2_reg_RNICAPN_1)) + (portRef B (instanceRef result_2_reg_RNICAPN_0)) + (portRef B (instanceRef result_2_reg_RNICAPN)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIF5S21)) + (portRef C (instanceRef result_2_reg_RNI93KO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIIC121_1)) - (portRef C (instanceRef result_2_reg_RNIIC121_0)) - (portRef C (instanceRef result_2_reg_RNIIC121)) + (portRef C (instanceRef result_2_reg_RNICAPN_1)) + (portRef C (instanceRef result_2_reg_RNICAPN_0)) + (portRef C (instanceRef result_2_reg_RNICAPN)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIIC121)) + (portRef Z (instanceRef result_2_reg_RNICAPN)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -645111,9 +645219,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIIC121_1)) - (portRef A (instanceRef result_2_reg_RNIIC121_0)) - (portRef A (instanceRef result_2_reg_RNIIC121)) + (portRef A (instanceRef result_2_reg_RNICAPN_1)) + (portRef A (instanceRef result_2_reg_RNICAPN_0)) + (portRef A (instanceRef result_2_reg_RNICAPN)) )) (net GND (joined (portRef GND) @@ -645121,60 +645229,6 @@ (portRef GND (instanceRef FIFO)) (portRef GND (instanceRef Encoder)) (portRef GND (instanceRef FC)) - (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef C0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef B0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) @@ -645229,6 +645283,86 @@ (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef C0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef B0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -645268,7 +645402,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIIC121_0)) + (portRef Z (instanceRef result_2_reg_RNICAPN_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -645346,7 +645480,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIIC121_1)) + (portRef Z (instanceRef result_2_reg_RNICAPN_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -645433,9 +645567,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295511_0 (joined + (net N_295809_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295511_0) + (portRef N_295809_0) )) (net tmp1_25_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -645946,32 +646080,6 @@ (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) (portRef VCC (instanceRef FC)) - (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) @@ -645998,6 +646106,45 @@ (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -646087,7 +646234,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIF5S21)) + (portRef Z (instanceRef result_2_reg_RNI93KO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -648898,7 +649045,7 @@ (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined - (portRef (member coarse_cnt 0)) + (portRef (member coarse_cnt 10)) (portRef D (instanceRef hit_time_stamp_i_0)) )) (net hit_detect_reg (joined @@ -648928,81 +649075,45 @@ (portRef Q (instanceRef hit_time_stamp_i_1)) (portRef (member hit_time_stamp_i 9) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_1_0_S0 (joined - (portRef un3_hit_time_stamp_i_cry_1_0_S0) - (portRef D (instanceRef hit_time_stamp_i_2)) - )) (net hit_time_stamp_i_2 (joined (portRef Q (instanceRef hit_time_stamp_i_2)) (portRef (member hit_time_stamp_i 8) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_1_0_S1 (joined - (portRef un3_hit_time_stamp_i_cry_1_0_S1) - (portRef D (instanceRef hit_time_stamp_i_3)) - )) (net hit_time_stamp_i_3 (joined (portRef Q (instanceRef hit_time_stamp_i_3)) (portRef (member hit_time_stamp_i 7) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_3_0_S0 (joined - (portRef un3_hit_time_stamp_i_cry_3_0_S0) - (portRef D (instanceRef hit_time_stamp_i_4)) - )) (net hit_time_stamp_i_4 (joined (portRef Q (instanceRef hit_time_stamp_i_4)) (portRef (member hit_time_stamp_i 6) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_3_0_S1 (joined - (portRef un3_hit_time_stamp_i_cry_3_0_S1) - (portRef D (instanceRef hit_time_stamp_i_5)) - )) (net hit_time_stamp_i_5 (joined (portRef Q (instanceRef hit_time_stamp_i_5)) (portRef (member hit_time_stamp_i 5) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_5_0_S0 (joined - (portRef un3_hit_time_stamp_i_cry_5_0_S0) - (portRef D (instanceRef hit_time_stamp_i_6)) - )) (net hit_time_stamp_i_6 (joined (portRef Q (instanceRef hit_time_stamp_i_6)) (portRef (member hit_time_stamp_i 4) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_5_0_S1 (joined - (portRef un3_hit_time_stamp_i_cry_5_0_S1) - (portRef D (instanceRef hit_time_stamp_i_7)) - )) (net hit_time_stamp_i_7 (joined (portRef Q (instanceRef hit_time_stamp_i_7)) (portRef (member hit_time_stamp_i 3) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_7_0_S0 (joined - (portRef un3_hit_time_stamp_i_cry_7_0_S0) - (portRef D (instanceRef hit_time_stamp_i_8)) - )) (net hit_time_stamp_i_8 (joined (portRef Q (instanceRef hit_time_stamp_i_8)) (portRef (member hit_time_stamp_i 2) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_cry_7_0_S1 (joined - (portRef un3_hit_time_stamp_i_cry_7_0_S1) - (portRef D (instanceRef hit_time_stamp_i_9)) - )) (net hit_time_stamp_i_9 (joined (portRef Q (instanceRef hit_time_stamp_i_9)) (portRef (member hit_time_stamp_i 1) (instanceRef FIFO)) )) - (net un3_hit_time_stamp_i_s_9_0_S0 (joined - (portRef un3_hit_time_stamp_i_s_9_0_S0) - (portRef D (instanceRef hit_time_stamp_i_10)) - )) (net hit_time_stamp_i_10 (joined (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_27_1 (joined + (net channel_debug_01_i_10_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_27 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_10 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_25 (joined @@ -649197,18 +649308,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_10 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_59 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -649245,6 +649356,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -649281,258 +649393,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_27_0 (joined + (net stat_reg_42 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_10_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_27 31)) + (portRef (member channel_data_i_10 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_27_1 (joined + (net channel_data_i_10_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_27 30)) + (portRef (member channel_data_i_10 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_27_2 (joined + (net channel_data_i_10_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_27 29)) + (portRef (member channel_data_i_10 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_27_3 (joined + (net channel_data_i_10_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_27 28)) + (portRef (member channel_data_i_10 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_27_4 (joined + (net channel_data_i_10_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_27 27)) + (portRef (member channel_data_i_10 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_27_5 (joined + (net channel_data_i_10_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_27 26)) + (portRef (member channel_data_i_10 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_27_6 (joined + (net channel_data_i_10_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_27 25)) + (portRef (member channel_data_i_10 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_27_7 (joined + (net channel_data_i_10_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_27 24)) + (portRef (member channel_data_i_10 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_27_8 (joined + (net channel_data_i_10_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_27 23)) + (portRef (member channel_data_i_10 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_27_9 (joined + (net channel_data_i_10_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_27 22)) + (portRef (member channel_data_i_10 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_27_10 (joined + (net channel_data_i_10_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_27 21)) + (portRef (member channel_data_i_10 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_27_11 (joined + (net channel_data_i_10_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_27 20)) + (portRef (member channel_data_i_10 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_27_12 (joined + (net channel_data_i_10_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_27 19)) + (portRef (member channel_data_i_10 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_27_13 (joined + (net channel_data_i_10_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_27 18)) + (portRef (member channel_data_i_10 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_27_14 (joined + (net channel_data_i_10_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_27 17)) + (portRef (member channel_data_i_10 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_27_15 (joined + (net channel_data_i_10_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_27 16)) + (portRef (member channel_data_i_10 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_27_16 (joined + (net channel_data_i_10_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_27 15)) + (portRef (member channel_data_i_10 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_27_17 (joined + (net channel_data_i_10_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_27 14)) + (portRef (member channel_data_i_10 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_27_18 (joined + (net channel_data_i_10_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_27 13)) + (portRef (member channel_data_i_10 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_27_19 (joined + (net channel_data_i_10_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_27 12)) + (portRef (member channel_data_i_10 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_27_20 (joined + (net channel_data_i_10_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_27 11)) + (portRef (member channel_data_i_10 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_27_21 (joined + (net channel_data_i_10_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_27 10)) + (portRef (member channel_data_i_10 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_27_22 (joined + (net channel_data_i_10_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_27 9)) + (portRef (member channel_data_i_10 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_27_23 (joined + (net channel_data_i_10_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_27 8)) + (portRef (member channel_data_i_10 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_27_24 (joined + (net channel_data_i_10_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_27 7)) + (portRef (member channel_data_i_10 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_27_25 (joined + (net channel_data_i_10_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_27 6)) + (portRef (member channel_data_i_10 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_27_26 (joined + (net channel_data_i_10_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_27 5)) + (portRef (member channel_data_i_10 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_27_27 (joined + (net channel_data_i_10_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_27 4)) + (portRef (member channel_data_i_10 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_27_28 (joined + (net channel_data_i_10_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_27 3)) + (portRef (member channel_data_i_10 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_27_29 (joined + (net channel_data_i_10_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_27 2)) + (portRef (member channel_data_i_10 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_27_30 (joined + (net channel_data_i_10_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_27 1)) + (portRef (member channel_data_i_10 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_27_31 (joined + (net channel_data_i_10_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_27 0)) + (portRef (member channel_data_i_10 0)) )) (net sync_q_CR0_ram_DO1_25 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -649551,8 +649672,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_1 (joined - (portRef reset_tdc_rep2_1) + (net reset_tdc_fast_3 (joined + (portRef reset_tdc_fast_3) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -649674,8 +649795,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_26 (joined - (portRef reset_tdc_rep2_26) + (net reset_tdc_fast_32 (joined + (portRef reset_tdc_fast_32) (portRef B (instanceRef result_reg_3_0_i_85)) (portRef B (instanceRef result_reg_3_0_i_84)) (portRef B (instanceRef result_reg_3_0_i_83)) @@ -650092,8 +650213,8 @@ (portRef (member result_i 216) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_87)) )) - (net reset_tdc_rep2_27 (joined - (portRef reset_tdc_rep2_27) + (net reset_tdc_fast_33 (joined + (portRef reset_tdc_fast_33) (portRef B (instanceRef result_reg_3_0_i_183)) (portRef B (instanceRef result_reg_3_0_i_182)) (portRef B (instanceRef result_reg_3_0_i_181)) @@ -650585,8 +650706,8 @@ (portRef (member result_i 118) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_185)) )) - (net reset_tdc_rep2_28 (joined - (portRef reset_tdc_rep2_28) + (net reset_tdc_fast_34 (joined + (portRef reset_tdc_fast_34) (portRef B (instanceRef result_reg_3_0_i_281)) (portRef B (instanceRef result_reg_3_0_i_280)) (portRef B (instanceRef result_reg_3_0_i_279)) @@ -651078,8 +651199,10 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) - (net reset_tdc_rep2_29 (joined - (portRef reset_tdc_rep2_29) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef reset_tdc_fast_35 (instanceRef Encoder)) + (portRef A (instanceRef result_2_reg_RNI93KO)) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -651183,8 +651306,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_27_0 (joined - (portRef (member channel_debug_01_i_27 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_10_0 (joined + (portRef (member channel_debug_01_i_10 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -651211,9 +651334,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_27_2 (joined - (portRef (member channel_debug_01_i_27 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_27 0) (instanceRef FIFO)) + (net channel_debug_01_i_10_2 (joined + (portRef (member channel_debug_01_i_10 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_10 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -651278,63 +651401,6 @@ (net lost_hit_cntr_s_0_S1_25_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net un1_fifo_wr_en_i_cry_0 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) - )) - (net un1_fifo_wr_en_i_cry_0_0_S0_3 (joined - (portRef S0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - )) - (net un1_fifo_wr_en_i_cry_2 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_3_0)) - )) - (net un1_fifo_wr_en_i_cry_4 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_5_0)) - )) - (net un1_fifo_wr_en_i_cry_6 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_7_0)) - )) - (net un1_fifo_wr_en_i_cry_8 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_9_0)) - )) - (net un1_fifo_wr_en_i_cry_10 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_11_0)) - )) - (net un1_fifo_wr_en_i_cry_12 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_13_0)) - )) - (net un1_fifo_wr_en_i_cry_14 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_15_0)) - )) - (net un1_fifo_wr_en_i_cry_16 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_17_0)) - )) - (net un1_fifo_wr_en_i_cry_18 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_19_0)) - )) - (net un1_fifo_wr_en_i_cry_20 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_21_0)) - )) - (net un1_fifo_wr_en_i_cry_22 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) - (net un1_fifo_wr_en_i_s_23_0_COUT_3 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) - (net un1_fifo_wr_en_i_s_23_0_S1_3 (joined - (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) (net un1_encoder_start_i_cry_0 (joined (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) @@ -651392,23 +651458,197 @@ (net un1_encoder_start_i_s_23_0_S1_3 (joined (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net coarse_cnt_1 (joined + (portRef (member coarse_cnt 9)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + )) + (net un3_hit_time_stamp_i_cry_0 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_0_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_1_0)) + )) + (net un3_hit_time_stamp_i_cry_0_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + )) + (net un3_hit_time_stamp_i_cry_0_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) + )) + (net coarse_cnt_2 (joined + (portRef (member coarse_cnt 8)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + )) + (net coarse_cnt_3 (joined + (portRef (member coarse_cnt 7)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + )) + (net un3_hit_time_stamp_i_cry_2 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_3_0)) + )) + (net un3_hit_time_stamp_i_cry_1_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef D (instanceRef hit_time_stamp_i_2)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0) + )) + (net un3_hit_time_stamp_i_cry_1_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) + (portRef D (instanceRef hit_time_stamp_i_3)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1) + )) + (net coarse_cnt_4 (joined + (portRef (member coarse_cnt 6)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + )) + (net coarse_cnt_5 (joined + (portRef (member coarse_cnt 5)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + )) + (net un3_hit_time_stamp_i_cry_4 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_5_0)) + )) + (net un3_hit_time_stamp_i_cry_3_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef D (instanceRef hit_time_stamp_i_4)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0) + )) + (net un3_hit_time_stamp_i_cry_3_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) + (portRef D (instanceRef hit_time_stamp_i_5)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1) + )) + (net coarse_cnt_6 (joined + (portRef (member coarse_cnt 4)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + )) + (net coarse_cnt_7 (joined + (portRef (member coarse_cnt 3)) + (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + )) + (net un3_hit_time_stamp_i_cry_6 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_7_0)) + )) + (net un3_hit_time_stamp_i_cry_5_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef D (instanceRef hit_time_stamp_i_6)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0) + )) + (net un3_hit_time_stamp_i_cry_5_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) + (portRef D (instanceRef hit_time_stamp_i_7)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1) + )) + (net coarse_cnt_8 (joined + (portRef (member coarse_cnt 2)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + )) + (net coarse_cnt_9 (joined + (portRef (member coarse_cnt 1)) + (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + )) + (net un3_hit_time_stamp_i_cry_8 (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef CIN (instanceRef un3_hit_time_stamp_i_s_9_0)) + )) + (net un3_hit_time_stamp_i_cry_7_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef D (instanceRef hit_time_stamp_i_8)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0) + )) + (net un3_hit_time_stamp_i_cry_7_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) + (portRef D (instanceRef hit_time_stamp_i_9)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1) + )) + (net coarse_cnt_10 (joined + (portRef (member coarse_cnt 0)) + (portRef A0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + )) + (net un3_hit_time_stamp_i_s_9_0_COUT (joined + (portRef COUT (instanceRef un3_hit_time_stamp_i_s_9_0)) + )) + (net un3_hit_time_stamp_i_s_9_0_S0 (joined + (portRef S0 (instanceRef un3_hit_time_stamp_i_s_9_0)) + (portRef D (instanceRef hit_time_stamp_i_10)) + (portRef un3_hit_time_stamp_i_s_9_0_S0) + )) + (net un3_hit_time_stamp_i_s_9_0_S1 (joined + (portRef S1 (instanceRef un3_hit_time_stamp_i_s_9_0)) + )) + (net un1_fifo_wr_en_i_cry_0 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) + )) + (net un1_fifo_wr_en_i_cry_0_0_S0_3 (joined + (portRef S0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + )) + (net un1_fifo_wr_en_i_cry_2 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_3_0)) + )) + (net un1_fifo_wr_en_i_cry_4 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_5_0)) + )) + (net un1_fifo_wr_en_i_cry_6 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_7_0)) + )) + (net un1_fifo_wr_en_i_cry_8 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_9_0)) + )) + (net un1_fifo_wr_en_i_cry_10 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_11_0)) + )) + (net un1_fifo_wr_en_i_cry_12 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_13_0)) + )) + (net un1_fifo_wr_en_i_cry_14 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_15_0)) + )) + (net un1_fifo_wr_en_i_cry_16 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_17_0)) + )) + (net un1_fifo_wr_en_i_cry_18 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_19_0)) + )) + (net un1_fifo_wr_en_i_cry_20 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_21_0)) + )) + (net un1_fifo_wr_en_i_cry_22 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) + (net un1_fifo_wr_en_i_s_23_0_COUT_3 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) + (net un1_fifo_wr_en_i_s_23_0_S1_3 (joined + (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) (net hit_buf (joined (portRef hit_buf (instanceRef FC)) (portRef Z (instanceRef hit_buf_RNO)) ) (property NOMERGE (string "true")) ) - (net reset_tdc_17 (joined - (portRef reset_tdc_17) - (portRef reset_tdc_17 (instanceRef FC)) + (net reset_tdc_69 (joined + (portRef reset_tdc_69) + (portRef reset_tdc_69 (instanceRef FC)) )) - (net reset_tdc_16 (joined - (portRef reset_tdc_16) - (portRef reset_tdc_16 (instanceRef FC)) + (net reset_tdc_68 (joined + (portRef reset_tdc_68) + (portRef reset_tdc_68 (instanceRef FC)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) - (portRef reset_tdc_15 (instanceRef FC)) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef reset_tdc_67 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -651450,43 +651690,38 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) - (portRef reset_tdc_18 (instanceRef Encoder)) - (portRef reset_tdc_18 (instanceRef FC)) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) + (portRef reset_tdc_70 (instanceRef Encoder)) + (portRef reset_tdc_70 (instanceRef FC)) )) - (net reset_tdc_fast_37_r14 (joined - (portRef reset_tdc_fast_37_r14) - (portRef reset_tdc_fast_37_r14 (instanceRef Encoder)) + (net reset_tdc_fast_36_r17 (joined + (portRef reset_tdc_fast_36_r17) + (portRef reset_tdc_fast_36_r17 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_fast_37_r11 (joined + (portRef reset_tdc_fast_37_r11) + (portRef reset_tdc_fast_37_r11 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r10 (joined + (portRef reset_tdc_fast_37_r10) + (portRef reset_tdc_fast_37_r10 (instanceRef Encoder)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (net reset_tdc_fast_36_r18 (joined + (portRef reset_tdc_fast_36_r18) + (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIF5S21)) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) + (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) )) - (net rd_en_i_27 (joined + (net rd_en_i_10 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef reset_i_4 (instanceRef FIFO)) - )) - (net reset_i_3 (joined - (portRef reset_i_3) - (portRef reset_i_3 (instanceRef FIFO)) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef reset_i_14 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -651521,8 +651756,8 @@ (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1578_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_6 (direction INPUT)) (port reset_i_7 (direction INPUT)) + (port reset_i_8 (direction INPUT)) (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_rep1_36 (direction INPUT)) (port reset_tdc_fast_36_r31 (direction INPUT)) @@ -651535,10 +651770,9 @@ (port reset_tdc_rep2_25 (direction INPUT)) (port reset_tdc_rep2_24 (direction INPUT)) (port reset_tdc_rep2_23 (direction INPUT)) - (port reset_tdc_rep1_29 (direction INPUT)) - (port reset_tdc_rep1_28 (direction INPUT)) + (port reset_tdc_rep1_32 (direction INPUT)) + (port reset_tdc_rep1_31 (direction INPUT)) (port reset_tdc_rep1_2 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -651552,7 +651786,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295524_0 (direction OUTPUT)) + (port N_295822_0 (direction OUTPUT)) (port G_1576_Q (direction INPUT)) (port sync_q_and_24 (direction OUTPUT)) (port G_1573_Q (direction INPUT)) @@ -654096,9 +654330,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295524_0 (joined + (net N_295822_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295524_0) + (portRef N_295822_0) )) (net tmp1_24_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -658214,8 +658448,24 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) + (net reset_tdc_rep1_2 (joined + (portRef reset_tdc_rep1_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) (portRef B (instanceRef lost_hit_cntr_lm_0_7)) (portRef B (instanceRef lost_hit_cntr_lm_0_6)) (portRef B (instanceRef lost_hit_cntr_lm_0_5)) @@ -658257,25 +658507,6 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_9)) )) - (net reset_tdc_rep1_2 (joined - (portRef reset_tdc_rep1_2) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - )) (net lost_hit_cntr_s_10 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_10)) @@ -658340,12 +658571,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_28 (joined - (portRef reset_tdc_rep1_28) - (portRef B (instanceRef result_reg_3_0_i_27)) - (portRef B (instanceRef result_reg_3_0_i_26)) - (portRef B (instanceRef result_reg_3_0_i_25)) - (portRef B (instanceRef result_reg_3_0_i_24)) + (net reset_tdc_rep1_31 (joined + (portRef reset_tdc_rep1_31) (portRef B (instanceRef result_reg_3_0_i_23)) (portRef B (instanceRef result_reg_3_0_i_22)) (portRef B (instanceRef result_reg_3_0_i_21)) @@ -658452,6 +658679,27 @@ (portRef (member result_i 278) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_25)) )) + (net reset_tdc_rep1_32 (joined + (portRef reset_tdc_rep1_32) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) + (portRef B (instanceRef result_reg_3_0_i_26)) + (portRef B (instanceRef result_reg_3_0_i_25)) + (portRef B (instanceRef result_reg_3_0_i_24)) + )) (net result_i_26 (joined (portRef (member result_i 277) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_26)) @@ -658468,23 +658716,6 @@ (portRef (member result_i 274) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_29)) )) - (net reset_tdc_rep1_29 (joined - (portRef reset_tdc_rep1_29) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - )) (net result_i_30 (joined (portRef (member result_i 273) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_30)) @@ -660148,14 +660379,14 @@ (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) + (net reset_i_8 (joined + (portRef reset_i_8) + (portRef reset_i_8 (instanceRef FIFO)) + )) (net reset_i_7 (joined (portRef reset_i_7) (portRef reset_i_7 (instanceRef FIFO)) )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef reset_i_6 (instanceRef FIFO)) - )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef reset_i_rep2_1 (instanceRef FIFO)) @@ -660177,47 +660408,48 @@ ) ) ) - (cell Channel_10 (cellType GENERIC) + (cell Channel_27 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(10:10)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(10:10)") 1) (direction INPUT)) - (port (array (rename channel_data_i_10 "channel_data_i_10(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(42:42)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(27:27)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(27:27)") 1) (direction INPUT)) + (port (array (rename channel_data_i_27 "channel_data_i_27(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(59:59)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) - (port (array (rename coarse_cnt "coarse_cnt(10:0)") 11) (direction INPUT)) + (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1587_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_13 (direction INPUT)) - (port reset_tdc_fast_36_r20 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_37_r10 (direction INPUT)) - (port reset_tdc_fast_37_r11 (direction INPUT)) - (port reset_tdc_fast_36_r17 (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) - (port reset_tdc_68 (direction INPUT)) - (port reset_tdc_69 (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) + (port reset_i_4 (direction INPUT)) + (port reset_i_5 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r14 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) + (port reset_tdc_16 (direction INPUT)) + (port reset_tdc_17 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_34 (direction INPUT)) - (port reset_tdc_fast_33 (direction INPUT)) - (port reset_tdc_fast_32 (direction INPUT)) - (port reset_tdc_fast_3 (direction INPUT)) + (port reset_tdc_rep2_23 (direction INPUT)) + (port reset_tdc_rep2_22 (direction INPUT)) + (port reset_tdc_rep2_21 (direction INPUT)) + (port reset_tdc_rep2_20 (direction INPUT)) + (port reset_tdc_rep2_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) - (port un3_hit_time_stamp_i_s_9_0_S0 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_7_0_S1 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_7_0_S0 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_5_0_S1 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_5_0_S0 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_3_0_S1 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_3_0_S0 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_1_0_S1 (direction OUTPUT)) - (port un3_hit_time_stamp_i_cry_1_0_S0 (direction OUTPUT)) + (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_7_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_5_0_S1 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_5_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_3_0_S1 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_3_0_S0 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_1_0_S1 (direction INPUT)) + (port un3_hit_time_stamp_i_cry_1_0_S0 (direction INPUT)) (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295537_0 (direction OUTPUT)) + (port N_295835_0 (direction OUTPUT)) (port G_1585_Q (direction INPUT)) (port sync_q_and_23 (direction OUTPUT)) (port G_1582_Q (direction INPUT)) @@ -660226,14 +660458,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNICAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIIC121 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIIC121_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIIC121_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -662079,7 +662311,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI93KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIF5S21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -662160,42 +662392,6 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un3_hit_time_stamp_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x010a")) - ) - (instance un3_hit_time_stamp_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x010a")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x010a")) - ) - (instance un3_hit_time_stamp_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x010a")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x010a")) - ) - (instance un3_hit_time_stamp_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x010a")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x010a")) - ) - (instance un3_hit_time_stamp_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x010a")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x030f")) - ) - (instance un3_hit_time_stamp_i_s_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x050c")) - (property INJECT1_1 (string "NO")) - (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) - ) (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) @@ -662371,32 +662567,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0)) )) (net tmp1_23_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9I6C_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIH3C5_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI93KO)) + (portRef B (instanceRef result_2_reg_RNIF5S21)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNICAPN_1)) - (portRef B (instanceRef result_2_reg_RNICAPN_0)) - (portRef B (instanceRef result_2_reg_RNICAPN)) + (portRef B (instanceRef result_2_reg_RNIIC121_1)) + (portRef B (instanceRef result_2_reg_RNIIC121_0)) + (portRef B (instanceRef result_2_reg_RNIIC121)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI93KO)) + (portRef C (instanceRef result_2_reg_RNIF5S21)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNICAPN_1)) - (portRef C (instanceRef result_2_reg_RNICAPN_0)) - (portRef C (instanceRef result_2_reg_RNICAPN)) + (portRef C (instanceRef result_2_reg_RNIIC121_1)) + (portRef C (instanceRef result_2_reg_RNIIC121_0)) + (portRef C (instanceRef result_2_reg_RNIIC121)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNICAPN)) + (portRef Z (instanceRef result_2_reg_RNIIC121)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -662475,9 +662671,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNICAPN_1)) - (portRef A (instanceRef result_2_reg_RNICAPN_0)) - (portRef A (instanceRef result_2_reg_RNICAPN)) + (portRef A (instanceRef result_2_reg_RNIIC121_1)) + (portRef A (instanceRef result_2_reg_RNIIC121_0)) + (portRef A (instanceRef result_2_reg_RNIIC121)) )) (net GND (joined (portRef GND) @@ -662593,32 +662789,6 @@ (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef C1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef C0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef B0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -662658,7 +662828,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNICAPN_0)) + (portRef Z (instanceRef result_2_reg_RNIIC121_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -662736,7 +662906,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNICAPN_1)) + (portRef Z (instanceRef result_2_reg_RNIIC121_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -662823,9 +662993,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295537_0 (joined + (net N_295835_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295537_0) + (portRef N_295835_0) )) (net tmp1_23_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -663388,19 +663558,6 @@ (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef D1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef D0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -663490,7 +663647,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI93KO)) + (portRef Z (instanceRef result_2_reg_RNIF5S21)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -666301,7 +666458,7 @@ (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined - (portRef (member coarse_cnt 10)) + (portRef (member coarse_cnt 0)) (portRef D (instanceRef hit_time_stamp_i_0)) )) (net hit_detect_reg (joined @@ -666331,45 +666488,81 @@ (portRef Q (instanceRef hit_time_stamp_i_1)) (portRef (member hit_time_stamp_i 9) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_1_0_S0 (joined + (portRef un3_hit_time_stamp_i_cry_1_0_S0) + (portRef D (instanceRef hit_time_stamp_i_2)) + )) (net hit_time_stamp_i_2 (joined (portRef Q (instanceRef hit_time_stamp_i_2)) (portRef (member hit_time_stamp_i 8) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_1_0_S1 (joined + (portRef un3_hit_time_stamp_i_cry_1_0_S1) + (portRef D (instanceRef hit_time_stamp_i_3)) + )) (net hit_time_stamp_i_3 (joined (portRef Q (instanceRef hit_time_stamp_i_3)) (portRef (member hit_time_stamp_i 7) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_3_0_S0 (joined + (portRef un3_hit_time_stamp_i_cry_3_0_S0) + (portRef D (instanceRef hit_time_stamp_i_4)) + )) (net hit_time_stamp_i_4 (joined (portRef Q (instanceRef hit_time_stamp_i_4)) (portRef (member hit_time_stamp_i 6) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_3_0_S1 (joined + (portRef un3_hit_time_stamp_i_cry_3_0_S1) + (portRef D (instanceRef hit_time_stamp_i_5)) + )) (net hit_time_stamp_i_5 (joined (portRef Q (instanceRef hit_time_stamp_i_5)) (portRef (member hit_time_stamp_i 5) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_5_0_S0 (joined + (portRef un3_hit_time_stamp_i_cry_5_0_S0) + (portRef D (instanceRef hit_time_stamp_i_6)) + )) (net hit_time_stamp_i_6 (joined (portRef Q (instanceRef hit_time_stamp_i_6)) (portRef (member hit_time_stamp_i 4) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_5_0_S1 (joined + (portRef un3_hit_time_stamp_i_cry_5_0_S1) + (portRef D (instanceRef hit_time_stamp_i_7)) + )) (net hit_time_stamp_i_7 (joined (portRef Q (instanceRef hit_time_stamp_i_7)) (portRef (member hit_time_stamp_i 3) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_7_0_S0 (joined + (portRef un3_hit_time_stamp_i_cry_7_0_S0) + (portRef D (instanceRef hit_time_stamp_i_8)) + )) (net hit_time_stamp_i_8 (joined (portRef Q (instanceRef hit_time_stamp_i_8)) (portRef (member hit_time_stamp_i 2) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_cry_7_0_S1 (joined + (portRef un3_hit_time_stamp_i_cry_7_0_S1) + (portRef D (instanceRef hit_time_stamp_i_9)) + )) (net hit_time_stamp_i_9 (joined (portRef Q (instanceRef hit_time_stamp_i_9)) (portRef (member hit_time_stamp_i 1) (instanceRef FIFO)) )) + (net un3_hit_time_stamp_i_s_9_0_S0 (joined + (portRef un3_hit_time_stamp_i_s_9_0_S0) + (portRef D (instanceRef hit_time_stamp_i_10)) + )) (net hit_time_stamp_i_10 (joined (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_10_1 (joined + (net channel_debug_01_i_27_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_10 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_27 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_23 (joined @@ -666568,7 +666761,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_42 (joined + (net stat_reg_59 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -666649,257 +666842,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_10_0 (joined + (net channel_data_i_27_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_10 31)) + (portRef (member channel_data_i_27 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_10_1 (joined + (net channel_data_i_27_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_10 30)) + (portRef (member channel_data_i_27 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_10_2 (joined + (net channel_data_i_27_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_10 29)) + (portRef (member channel_data_i_27 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_10_3 (joined + (net channel_data_i_27_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_10 28)) + (portRef (member channel_data_i_27 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_10_4 (joined + (net channel_data_i_27_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_10 27)) + (portRef (member channel_data_i_27 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_10_5 (joined + (net channel_data_i_27_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_10 26)) + (portRef (member channel_data_i_27 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_10_6 (joined + (net channel_data_i_27_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_10 25)) + (portRef (member channel_data_i_27 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_10_7 (joined + (net channel_data_i_27_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_10 24)) + (portRef (member channel_data_i_27 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_10_8 (joined + (net channel_data_i_27_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_10 23)) + (portRef (member channel_data_i_27 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_10_9 (joined + (net channel_data_i_27_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_10 22)) + (portRef (member channel_data_i_27 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_10_10 (joined + (net channel_data_i_27_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_10 21)) + (portRef (member channel_data_i_27 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_10_11 (joined + (net channel_data_i_27_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_10 20)) + (portRef (member channel_data_i_27 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_10_12 (joined + (net channel_data_i_27_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_10 19)) + (portRef (member channel_data_i_27 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_10_13 (joined + (net channel_data_i_27_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_10 18)) + (portRef (member channel_data_i_27 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_10_14 (joined + (net channel_data_i_27_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_10 17)) + (portRef (member channel_data_i_27 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_10_15 (joined + (net channel_data_i_27_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_10 16)) + (portRef (member channel_data_i_27 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_10_16 (joined + (net channel_data_i_27_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_10 15)) + (portRef (member channel_data_i_27 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_10_17 (joined + (net channel_data_i_27_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_10 14)) + (portRef (member channel_data_i_27 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_10_18 (joined + (net channel_data_i_27_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_10 13)) + (portRef (member channel_data_i_27 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_10_19 (joined + (net channel_data_i_27_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_10 12)) + (portRef (member channel_data_i_27 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_10_20 (joined + (net channel_data_i_27_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_10 11)) + (portRef (member channel_data_i_27 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_10_21 (joined + (net channel_data_i_27_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_10 10)) + (portRef (member channel_data_i_27 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_10_22 (joined + (net channel_data_i_27_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_10 9)) + (portRef (member channel_data_i_27 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_10_23 (joined + (net channel_data_i_27_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_10 8)) + (portRef (member channel_data_i_27 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_10_24 (joined + (net channel_data_i_27_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_10 7)) + (portRef (member channel_data_i_27 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_10_25 (joined + (net channel_data_i_27_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_10 6)) + (portRef (member channel_data_i_27 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_10_26 (joined + (net channel_data_i_27_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_10 5)) + (portRef (member channel_data_i_27 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_10_27 (joined + (net channel_data_i_27_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_10 4)) + (portRef (member channel_data_i_27 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_10_28 (joined + (net channel_data_i_27_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_10 3)) + (portRef (member channel_data_i_27 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_10_29 (joined + (net channel_data_i_27_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_10 2)) + (portRef (member channel_data_i_27 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_10_30 (joined + (net channel_data_i_27_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_10 1)) + (portRef (member channel_data_i_27 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_10_31 (joined + (net channel_data_i_27_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_10 0)) + (portRef (member channel_data_i_27 0)) )) (net sync_q_CR0_ram_DO1_23 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -666918,8 +667111,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_3 (joined - (portRef reset_tdc_fast_3) + (net reset_tdc_rep2_1 (joined + (portRef reset_tdc_rep2_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -667041,34 +667234,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_32 (joined - (portRef reset_tdc_fast_32) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) + (net reset_tdc_rep2_20 (joined + (portRef reset_tdc_rep2_20) (portRef B (instanceRef result_reg_3_0_i_59)) (portRef B (instanceRef result_reg_3_0_i_58)) (portRef B (instanceRef result_reg_3_0_i_57)) @@ -667355,6 +667522,108 @@ (portRef (member result_i 242) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_61)) )) + (net reset_tdc_rep2_21 (joined + (portRef reset_tdc_rep2_21) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + )) (net result_i_62 (joined (portRef (member result_i 241) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_62)) @@ -667459,107 +667728,6 @@ (portRef (member result_i 216) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_87)) )) - (net reset_tdc_fast_33 (joined - (portRef reset_tdc_fast_33) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - )) (net result_i_88 (joined (portRef (member result_i 215) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_88)) @@ -667852,6 +668020,107 @@ (portRef (member result_i 143) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_160)) )) + (net reset_tdc_rep2_22 (joined + (portRef reset_tdc_rep2_22) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + )) (net result_i_161 (joined (portRef (member result_i 142) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_161)) @@ -667952,107 +668221,6 @@ (portRef (member result_i 118) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_185)) )) - (net reset_tdc_fast_34 (joined - (portRef reset_tdc_fast_34) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - )) (net result_i_186 (joined (portRef (member result_i 117) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_186)) @@ -668345,6 +668513,56 @@ (portRef (member result_i 45) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_258)) )) + (net reset_tdc_rep2_23 (joined + (portRef reset_tdc_rep2_23) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + )) (net result_i_259 (joined (portRef (member result_i 44) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_259)) @@ -668445,33 +668663,6 @@ (portRef (member result_i 20) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_283)) )) - (net reset_tdc_fast_35 (joined - (portRef reset_tdc_fast_35) - (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI93KO)) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - )) (net result_i_284 (joined (portRef (member result_i 19) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_284)) @@ -668552,8 +668743,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_10_0 (joined - (portRef (member channel_debug_01_i_10 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_27_0 (joined + (portRef (member channel_debug_01_i_27 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -668580,9 +668771,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_10_2 (joined - (portRef (member channel_debug_01_i_10 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_10 0) (instanceRef FIFO)) + (net channel_debug_01_i_27_2 (joined + (portRef (member channel_debug_01_i_27 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_27 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -668647,123 +668838,6 @@ (net lost_hit_cntr_s_0_S1_23_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net coarse_cnt_1 (joined - (portRef (member coarse_cnt 9)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - )) - (net un3_hit_time_stamp_i_cry_0 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_0_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_1_0)) - )) - (net un3_hit_time_stamp_i_cry_0_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - )) - (net un3_hit_time_stamp_i_cry_0_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_0_0)) - )) - (net coarse_cnt_2 (joined - (portRef (member coarse_cnt 8)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - )) - (net coarse_cnt_3 (joined - (portRef (member coarse_cnt 7)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - )) - (net un3_hit_time_stamp_i_cry_2 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_3_0)) - )) - (net un3_hit_time_stamp_i_cry_1_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef D (instanceRef hit_time_stamp_i_2)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0) - )) - (net un3_hit_time_stamp_i_cry_1_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_1_0)) - (portRef D (instanceRef hit_time_stamp_i_3)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1) - )) - (net coarse_cnt_4 (joined - (portRef (member coarse_cnt 6)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - )) - (net coarse_cnt_5 (joined - (portRef (member coarse_cnt 5)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - )) - (net un3_hit_time_stamp_i_cry_4 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_5_0)) - )) - (net un3_hit_time_stamp_i_cry_3_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef D (instanceRef hit_time_stamp_i_4)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0) - )) - (net un3_hit_time_stamp_i_cry_3_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_3_0)) - (portRef D (instanceRef hit_time_stamp_i_5)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1) - )) - (net coarse_cnt_6 (joined - (portRef (member coarse_cnt 4)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - )) - (net coarse_cnt_7 (joined - (portRef (member coarse_cnt 3)) - (portRef A1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - )) - (net un3_hit_time_stamp_i_cry_6 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_cry_7_0)) - )) - (net un3_hit_time_stamp_i_cry_5_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef D (instanceRef hit_time_stamp_i_6)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0) - )) - (net un3_hit_time_stamp_i_cry_5_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_5_0)) - (portRef D (instanceRef hit_time_stamp_i_7)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1) - )) - (net coarse_cnt_8 (joined - (portRef (member coarse_cnt 2)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - )) - (net coarse_cnt_9 (joined - (portRef (member coarse_cnt 1)) - (portRef B1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - )) - (net un3_hit_time_stamp_i_cry_8 (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef CIN (instanceRef un3_hit_time_stamp_i_s_9_0)) - )) - (net un3_hit_time_stamp_i_cry_7_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef D (instanceRef hit_time_stamp_i_8)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0) - )) - (net un3_hit_time_stamp_i_cry_7_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_cry_7_0)) - (portRef D (instanceRef hit_time_stamp_i_9)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1) - )) - (net coarse_cnt_10 (joined - (portRef (member coarse_cnt 0)) - (portRef A0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - )) - (net un3_hit_time_stamp_i_s_9_0_COUT (joined - (portRef COUT (instanceRef un3_hit_time_stamp_i_s_9_0)) - )) - (net un3_hit_time_stamp_i_s_9_0_S0 (joined - (portRef S0 (instanceRef un3_hit_time_stamp_i_s_9_0)) - (portRef D (instanceRef hit_time_stamp_i_10)) - (portRef un3_hit_time_stamp_i_s_9_0_S0) - )) - (net un3_hit_time_stamp_i_s_9_0_S1 (joined - (portRef S1 (instanceRef un3_hit_time_stamp_i_s_9_0)) - )) (net un1_fifo_wr_en_i_cry_0 (joined (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) @@ -668884,17 +668958,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_69 (joined - (portRef reset_tdc_69) - (portRef reset_tdc_69 (instanceRef FC)) + (net reset_tdc_17 (joined + (portRef reset_tdc_17) + (portRef reset_tdc_17 (instanceRef FC)) )) - (net reset_tdc_68 (joined - (portRef reset_tdc_68) - (portRef reset_tdc_68 (instanceRef FC)) + (net reset_tdc_16 (joined + (portRef reset_tdc_16) + (portRef reset_tdc_16 (instanceRef FC)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef reset_tdc_67 (instanceRef FC)) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) + (portRef reset_tdc_15 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -668936,38 +669010,43 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) - (portRef reset_tdc_70 (instanceRef Encoder)) - (portRef reset_tdc_70 (instanceRef FC)) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) + (portRef reset_tdc_18 (instanceRef Encoder)) + (portRef reset_tdc_18 (instanceRef FC)) )) - (net reset_tdc_fast_36_r17 (joined - (portRef reset_tdc_fast_36_r17) - (portRef reset_tdc_fast_36_r17 (instanceRef Encoder)) + (net reset_tdc_fast_37_r14 (joined + (portRef reset_tdc_fast_37_r14) + (portRef reset_tdc_fast_37_r14 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r11 (joined - (portRef reset_tdc_fast_37_r11) - (portRef reset_tdc_fast_37_r11 (instanceRef Encoder)) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r10 (joined - (portRef reset_tdc_fast_37_r10) - (portRef reset_tdc_fast_37_r10 (instanceRef Encoder)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r20 (joined - (portRef reset_tdc_fast_36_r20) - (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) + (net reset_tdc_fast_35 (joined + (portRef reset_tdc_fast_35) + (portRef reset_tdc_fast_35 (instanceRef Encoder)) + (portRef A (instanceRef result_2_reg_RNIF5S21)) )) - (net rd_en_i_10 (joined + (net rd_en_i_27 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef reset_i_13 (instanceRef FIFO)) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef reset_i_5 (instanceRef FIFO)) + )) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef reset_i_4 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -668990,34 +669069,35 @@ ) ) ) - (cell Channel_25 (cellType GENERIC) + (cell Channel_12 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(25:25)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(25:25)") 1) (direction INPUT)) - (port (array (rename channel_data_i_25 "channel_data_i_25(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(57:57)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(12:12)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(12:12)") 1) (direction INPUT)) + (port (array (rename channel_data_i_12 "channel_data_i_12(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(44:44)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(12:12)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1596_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_4 (direction INPUT)) - (port reset_i_5 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r12 (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) - (port reset_tdc_22 (direction INPUT)) - (port reset_tdc_23 (direction INPUT)) - (port reset_tdc_24 (direction INPUT)) + (port reset_i_12 (direction INPUT)) + (port reset_i_13 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r21 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) + (port reset_tdc_62 (direction INPUT)) + (port reset_tdc_63 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_23 (direction INPUT)) - (port reset_tdc_rep2_22 (direction INPUT)) - (port reset_tdc_rep2_21 (direction INPUT)) - (port reset_tdc_rep2_20 (direction INPUT)) - (port reset_tdc_rep2_1 (direction INPUT)) + (port reset_tdc_rep1_31 (direction INPUT)) + (port reset_tdc_rep1_30 (direction INPUT)) + (port reset_tdc_rep1_29 (direction INPUT)) + (port reset_tdc_rep1_28 (direction INPUT)) + (port reset_tdc_rep1_2 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -669031,7 +669111,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295550_0 (direction OUTPUT)) + (port N_295848_0 (direction OUTPUT)) (port G_1594_Q (direction INPUT)) (port sync_q_and_22 (direction OUTPUT)) (port G_1591_Q (direction INPUT)) @@ -669040,14 +669120,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIQ2VL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI4KR31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIQ2VL_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI4KR31_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIQ2VL_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI4KR31_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -669844,6 +669924,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -670893,7 +670975,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNINRPM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI1DM41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -671149,32 +671231,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0)) )) (net tmp1_22_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIB0V7_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNINRPM)) + (portRef B (instanceRef result_2_reg_RNI1DM41)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIQ2VL_1)) - (portRef B (instanceRef result_2_reg_RNIQ2VL_0)) - (portRef B (instanceRef result_2_reg_RNIQ2VL)) + (portRef B (instanceRef result_2_reg_RNI4KR31_1)) + (portRef B (instanceRef result_2_reg_RNI4KR31_0)) + (portRef B (instanceRef result_2_reg_RNI4KR31)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNINRPM)) + (portRef C (instanceRef result_2_reg_RNI1DM41)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIQ2VL_1)) - (portRef C (instanceRef result_2_reg_RNIQ2VL_0)) - (portRef C (instanceRef result_2_reg_RNIQ2VL)) + (portRef C (instanceRef result_2_reg_RNI4KR31_1)) + (portRef C (instanceRef result_2_reg_RNI4KR31_0)) + (portRef C (instanceRef result_2_reg_RNI4KR31)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIQ2VL)) + (portRef Z (instanceRef result_2_reg_RNI4KR31)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -671253,9 +671335,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIQ2VL_1)) - (portRef A (instanceRef result_2_reg_RNIQ2VL_0)) - (portRef A (instanceRef result_2_reg_RNIQ2VL)) + (portRef A (instanceRef result_2_reg_RNI4KR31_1)) + (portRef A (instanceRef result_2_reg_RNI4KR31_0)) + (portRef A (instanceRef result_2_reg_RNI4KR31)) )) (net GND (joined (portRef GND) @@ -671410,7 +671492,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIQ2VL_0)) + (portRef Z (instanceRef result_2_reg_RNI4KR31_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -671488,7 +671570,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIQ2VL_1)) + (portRef Z (instanceRef result_2_reg_RNI4KR31_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -671575,9 +671657,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295550_0 (joined + (net N_295848_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295550_0) + (portRef N_295848_0) )) (net tmp1_22_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -672229,7 +672311,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNINRPM)) + (portRef Z (instanceRef result_2_reg_RNI1DM41)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -675142,9 +675224,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_25_1 (joined + (net channel_debug_01_i_12_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_25 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_12 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_22 (joined @@ -675339,18 +675421,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_12 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_57 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -675387,6 +675469,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -675423,258 +675506,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_25_0 (joined + (net stat_reg_44 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_12_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_25 31)) + (portRef (member channel_data_i_12 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_25_1 (joined + (net channel_data_i_12_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_25 30)) + (portRef (member channel_data_i_12 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_25_2 (joined + (net channel_data_i_12_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_25 29)) + (portRef (member channel_data_i_12 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_25_3 (joined + (net channel_data_i_12_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_25 28)) + (portRef (member channel_data_i_12 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_25_4 (joined + (net channel_data_i_12_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_25 27)) + (portRef (member channel_data_i_12 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_25_5 (joined + (net channel_data_i_12_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_25 26)) + (portRef (member channel_data_i_12 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_25_6 (joined + (net channel_data_i_12_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_25 25)) + (portRef (member channel_data_i_12 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_25_7 (joined + (net channel_data_i_12_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_25 24)) + (portRef (member channel_data_i_12 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_25_8 (joined + (net channel_data_i_12_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_25 23)) + (portRef (member channel_data_i_12 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_25_9 (joined + (net channel_data_i_12_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_25 22)) + (portRef (member channel_data_i_12 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_25_10 (joined + (net channel_data_i_12_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_25 21)) + (portRef (member channel_data_i_12 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_25_11 (joined + (net channel_data_i_12_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_25 20)) + (portRef (member channel_data_i_12 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_25_12 (joined + (net channel_data_i_12_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_25 19)) + (portRef (member channel_data_i_12 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_25_13 (joined + (net channel_data_i_12_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_25 18)) + (portRef (member channel_data_i_12 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_25_14 (joined + (net channel_data_i_12_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_25 17)) + (portRef (member channel_data_i_12 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_25_15 (joined + (net channel_data_i_12_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_25 16)) + (portRef (member channel_data_i_12 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_25_16 (joined + (net channel_data_i_12_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_25 15)) + (portRef (member channel_data_i_12 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_25_17 (joined + (net channel_data_i_12_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_25 14)) + (portRef (member channel_data_i_12 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_25_18 (joined + (net channel_data_i_12_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_25 13)) + (portRef (member channel_data_i_12 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_25_19 (joined + (net channel_data_i_12_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_25 12)) + (portRef (member channel_data_i_12 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_25_20 (joined + (net channel_data_i_12_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_25 11)) + (portRef (member channel_data_i_12 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_25_21 (joined + (net channel_data_i_12_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_25 10)) + (portRef (member channel_data_i_12 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_25_22 (joined + (net channel_data_i_12_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_25 9)) + (portRef (member channel_data_i_12 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_25_23 (joined + (net channel_data_i_12_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_25 8)) + (portRef (member channel_data_i_12 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_25_24 (joined + (net channel_data_i_12_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_25 7)) + (portRef (member channel_data_i_12 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_25_25 (joined + (net channel_data_i_12_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_25 6)) + (portRef (member channel_data_i_12 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_25_26 (joined + (net channel_data_i_12_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_25 5)) + (portRef (member channel_data_i_12 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_25_27 (joined + (net channel_data_i_12_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_25 4)) + (portRef (member channel_data_i_12 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_25_28 (joined + (net channel_data_i_12_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_25 3)) + (portRef (member channel_data_i_12 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_25_29 (joined + (net channel_data_i_12_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_25 2)) + (portRef (member channel_data_i_12 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_25_30 (joined + (net channel_data_i_12_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_25 1)) + (portRef (member channel_data_i_12 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_25_31 (joined + (net channel_data_i_12_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_25 0)) + (portRef (member channel_data_i_12 0)) )) (net sync_q_CR0_ram_DO1_22 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -675693,24 +675785,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_1 (joined - (portRef reset_tdc_rep2_1) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) (portRef B (instanceRef lost_hit_cntr_lm_0_7)) (portRef B (instanceRef lost_hit_cntr_lm_0_6)) (portRef B (instanceRef lost_hit_cntr_lm_0_5)) @@ -675752,6 +675828,25 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_9)) )) + (net reset_tdc_rep1_2 (joined + (portRef reset_tdc_rep1_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + )) (net lost_hit_cntr_s_10 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_10)) @@ -675816,40 +675911,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_20 (joined - (portRef reset_tdc_rep2_20) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) + (net reset_tdc_rep1_28 (joined + (portRef reset_tdc_rep1_28) (portRef B (instanceRef result_reg_3_0_i_27)) (portRef B (instanceRef result_reg_3_0_i_26)) (portRef B (instanceRef result_reg_3_0_i_25)) @@ -675976,6 +676039,108 @@ (portRef (member result_i 274) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_29)) )) + (net reset_tdc_rep1_29 (joined + (portRef reset_tdc_rep1_29) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + )) (net result_i_30 (joined (portRef (member result_i 273) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_30)) @@ -676104,108 +676269,6 @@ (portRef (member result_i 242) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_61)) )) - (net reset_tdc_rep2_21 (joined - (portRef reset_tdc_rep2_21) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - )) (net result_i_62 (joined (portRef (member result_i 241) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_62)) @@ -676474,6 +676537,108 @@ (portRef (member result_i 175) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_128)) )) + (net reset_tdc_rep1_30 (joined + (portRef reset_tdc_rep1_30) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + )) (net result_i_129 (joined (portRef (member result_i 174) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_129)) @@ -676602,107 +676767,6 @@ (portRef (member result_i 143) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_160)) )) - (net reset_tdc_rep2_22 (joined - (portRef reset_tdc_rep2_22) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - )) (net result_i_161 (joined (portRef (member result_i 142) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_161)) @@ -676971,6 +677035,87 @@ (portRef (member result_i 76) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_227)) )) + (net reset_tdc_rep1_31 (joined + (portRef reset_tdc_rep1_31) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + )) (net result_i_228 (joined (portRef (member result_i 75) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_228)) @@ -677095,56 +677240,6 @@ (portRef (member result_i 45) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_258)) )) - (net reset_tdc_rep2_23 (joined - (portRef reset_tdc_rep2_23) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - )) (net result_i_259 (joined (portRef (member result_i 44) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_259)) @@ -677325,8 +677420,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_25_0 (joined - (portRef (member channel_debug_01_i_25 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_12_0 (joined + (portRef (member channel_debug_01_i_12 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -677353,9 +677448,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_25_2 (joined - (portRef (member channel_debug_01_i_25 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_25 0) (instanceRef FIFO)) + (net channel_debug_01_i_12_2 (joined + (portRef (member channel_debug_01_i_12 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_12 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -677540,17 +677635,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_23 (joined - (portRef reset_tdc_23) - (portRef reset_tdc_23 (instanceRef FC)) + (net reset_tdc_63 (joined + (portRef reset_tdc_63) + (portRef reset_tdc_63 (instanceRef FC)) )) - (net reset_tdc_22 (joined - (portRef reset_tdc_22) - (portRef reset_tdc_22 (instanceRef FC)) + (net reset_tdc_62 (joined + (portRef reset_tdc_62) + (portRef reset_tdc_62 (instanceRef FC)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) - (portRef reset_tdc_21 (instanceRef FC)) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef reset_tdc_61 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -677592,43 +677687,39 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_24 (joined - (portRef reset_tdc_24) - (portRef reset_tdc_24 (instanceRef Encoder)) - (portRef reset_tdc_24 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r12 (joined - (portRef reset_tdc_fast_37_r12) - (portRef reset_tdc_fast_37_r12 (instanceRef Encoder)) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef reset_tdc_64 (instanceRef Encoder)) + (portRef reset_tdc_64 (instanceRef FC)) )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_fast_36_r21 (joined + (portRef reset_tdc_fast_36_r21) + (portRef reset_tdc_fast_36_r21 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNINRPM)) + (portRef A (instanceRef result_2_reg_RNI1DM41)) )) - (net rd_en_i_25 (joined + (net rd_en_i_12 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_5 (joined - (portRef reset_i_5) - (portRef reset_i_5 (instanceRef FIFO)) + (net reset_i_13 (joined + (portRef reset_i_13) + (portRef reset_i_13 (instanceRef FIFO)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef reset_i_4 (instanceRef FIFO)) + (net reset_i_12 (joined + (portRef reset_i_12) + (portRef reset_i_12 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -677651,33 +677742,35 @@ ) ) ) - (cell Channel_13 (cellType GENERIC) + (cell Channel_25 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(13:13)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(13:13)") 1) (direction INPUT)) - (port (array (rename channel_data_i_13 "channel_data_i_13(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(45:45)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(25:25)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(25:25)") 1) (direction INPUT)) + (port (array (rename channel_data_i_25 "channel_data_i_25(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(57:57)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1605_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_11 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r22 (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) - (port reset_tdc_58 (direction INPUT)) - (port reset_tdc_59 (direction INPUT)) - (port reset_tdc_60 (direction INPUT)) - (port reset_tdc_61 (direction INPUT)) + (port reset_i_5 (direction INPUT)) + (port reset_i_6 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r12 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) + (port reset_tdc_22 (direction INPUT)) + (port reset_tdc_23 (direction INPUT)) + (port reset_tdc_24 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_28 (direction INPUT)) - (port reset_tdc_rep1_27 (direction INPUT)) - (port reset_tdc_rep1_26 (direction INPUT)) - (port reset_tdc_rep1_25 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) + (port reset_tdc_rep2_20 (direction INPUT)) + (port reset_tdc_rep2_19 (direction INPUT)) + (port reset_tdc_rep2_18 (direction INPUT)) + (port reset_tdc_rep2_17 (direction INPUT)) + (port reset_tdc_rep2_1 (direction INPUT)) + (port reset_tdc_rep2_r0 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -677691,7 +677784,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295563_0 (direction OUTPUT)) + (port N_295861_0 (direction OUTPUT)) (port G_1603_Q (direction INPUT)) (port sync_q_and_21 (direction OUTPUT)) (port G_1600_Q (direction INPUT)) @@ -677700,14 +677793,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI0PSP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIQ2VL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI0PSP_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIQ2VL_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI0PSP_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIQ2VL_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -677716,10 +677809,10 @@ (instance GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) (instance result_reg_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -679553,7 +679646,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNITHNQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNINRPM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -679807,34 +679900,34 @@ (net tmp1_21_0 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0)) )) (net tmp1_21_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFLJ9_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNITHNQ)) + (portRef B (instanceRef result_2_reg_RNINRPM)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI0PSP_1)) - (portRef B (instanceRef result_2_reg_RNI0PSP_0)) - (portRef B (instanceRef result_2_reg_RNI0PSP)) + (portRef B (instanceRef result_2_reg_RNIQ2VL_1)) + (portRef B (instanceRef result_2_reg_RNIQ2VL_0)) + (portRef B (instanceRef result_2_reg_RNIQ2VL)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNITHNQ)) + (portRef C (instanceRef result_2_reg_RNINRPM)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI0PSP_1)) - (portRef C (instanceRef result_2_reg_RNI0PSP_0)) - (portRef C (instanceRef result_2_reg_RNI0PSP)) + (portRef C (instanceRef result_2_reg_RNIQ2VL_1)) + (portRef C (instanceRef result_2_reg_RNIQ2VL_0)) + (portRef C (instanceRef result_2_reg_RNIQ2VL)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI0PSP)) + (portRef Z (instanceRef result_2_reg_RNIQ2VL)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -679913,9 +680006,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI0PSP_1)) - (portRef A (instanceRef result_2_reg_RNI0PSP_0)) - (portRef A (instanceRef result_2_reg_RNI0PSP)) + (portRef A (instanceRef result_2_reg_RNIQ2VL_1)) + (portRef A (instanceRef result_2_reg_RNIQ2VL_0)) + (portRef A (instanceRef result_2_reg_RNIQ2VL)) )) (net GND (joined (portRef GND) @@ -680070,7 +680163,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI0PSP_0)) + (portRef Z (instanceRef result_2_reg_RNIQ2VL_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -680148,7 +680241,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI0PSP_1)) + (portRef Z (instanceRef result_2_reg_RNIQ2VL_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -680235,24 +680328,24 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295563_0 (joined + (net N_295861_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295563_0) + (portRef N_295861_0) )) (net tmp1_21_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) (portRef WAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - )) - (net tmp3_21_1 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) + (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) )) (net tmp2_21_1 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) )) + (net tmp3_21_1 (joined + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) + (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) + )) (net result_i_0 (joined (portRef (member result_i 303) (instanceRef FC)) (portRef D (instanceRef result_reg_0)) @@ -680889,7 +680982,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNITHNQ)) + (portRef Z (instanceRef result_2_reg_RNINRPM)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -683802,9 +683895,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_13_1 (joined + (net channel_debug_01_i_25_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_13 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_25 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_21 (joined @@ -684003,7 +684096,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_45 (joined + (net stat_reg_57 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -684084,257 +684177,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_13_0 (joined + (net channel_data_i_25_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_13 31)) + (portRef (member channel_data_i_25 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_13_1 (joined + (net channel_data_i_25_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_13 30)) + (portRef (member channel_data_i_25 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_13_2 (joined + (net channel_data_i_25_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_13 29)) + (portRef (member channel_data_i_25 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_13_3 (joined + (net channel_data_i_25_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_13 28)) + (portRef (member channel_data_i_25 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_13_4 (joined + (net channel_data_i_25_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_13 27)) + (portRef (member channel_data_i_25 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_13_5 (joined + (net channel_data_i_25_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_13 26)) + (portRef (member channel_data_i_25 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_13_6 (joined + (net channel_data_i_25_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_13 25)) + (portRef (member channel_data_i_25 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_13_7 (joined + (net channel_data_i_25_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_13 24)) + (portRef (member channel_data_i_25 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_13_8 (joined + (net channel_data_i_25_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_13 23)) + (portRef (member channel_data_i_25 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_13_9 (joined + (net channel_data_i_25_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_13 22)) + (portRef (member channel_data_i_25 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_13_10 (joined + (net channel_data_i_25_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_13 21)) + (portRef (member channel_data_i_25 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_13_11 (joined + (net channel_data_i_25_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_13 20)) + (portRef (member channel_data_i_25 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_13_12 (joined + (net channel_data_i_25_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_13 19)) + (portRef (member channel_data_i_25 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_13_13 (joined + (net channel_data_i_25_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_13 18)) + (portRef (member channel_data_i_25 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_13_14 (joined + (net channel_data_i_25_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_13 17)) + (portRef (member channel_data_i_25 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_13_15 (joined + (net channel_data_i_25_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_13 16)) + (portRef (member channel_data_i_25 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_13_16 (joined + (net channel_data_i_25_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_13 15)) + (portRef (member channel_data_i_25 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_13_17 (joined + (net channel_data_i_25_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_13 14)) + (portRef (member channel_data_i_25 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_13_18 (joined + (net channel_data_i_25_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_13 13)) + (portRef (member channel_data_i_25 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_13_19 (joined + (net channel_data_i_25_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_13 12)) + (portRef (member channel_data_i_25 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_13_20 (joined + (net channel_data_i_25_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_13 11)) + (portRef (member channel_data_i_25 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_13_21 (joined + (net channel_data_i_25_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_13 10)) + (portRef (member channel_data_i_25 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_13_22 (joined + (net channel_data_i_25_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_13 9)) + (portRef (member channel_data_i_25 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_13_23 (joined + (net channel_data_i_25_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_13 8)) + (portRef (member channel_data_i_25 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_13_24 (joined + (net channel_data_i_25_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_13 7)) + (portRef (member channel_data_i_25 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_13_25 (joined + (net channel_data_i_25_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_13 6)) + (portRef (member channel_data_i_25 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_13_26 (joined + (net channel_data_i_25_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_13 5)) + (portRef (member channel_data_i_25 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_13_27 (joined + (net channel_data_i_25_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_13 4)) + (portRef (member channel_data_i_25 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_13_28 (joined + (net channel_data_i_25_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_13 3)) + (portRef (member channel_data_i_25 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_13_29 (joined + (net channel_data_i_25_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_13 2)) + (portRef (member channel_data_i_25 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_13_30 (joined + (net channel_data_i_25_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_13 1)) + (portRef (member channel_data_i_25 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_13_31 (joined + (net channel_data_i_25_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_13 0)) + (portRef (member channel_data_i_25 0)) )) (net sync_q_CR0_ram_DO1_21 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -684353,8 +684446,26 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) + (net reset_tdc_rep2_r0 (joined + (portRef reset_tdc_rep2_r0) + (portRef B (instanceRef lost_hit_cntr_lm_0_2)) + (portRef B (instanceRef lost_hit_cntr_lm_0_1)) + (portRef B (instanceRef lost_hit_cntr_lm_0_0)) + )) + (net lost_hit_cntr_s_2 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) + (portRef A (instanceRef lost_hit_cntr_lm_0_2)) + )) + (net lost_hit_cntr_s_3 (joined + (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_3)) + )) + (net lost_hit_cntr_s_4 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_4)) + )) + (net reset_tdc_rep2_1 (joined + (portRef reset_tdc_rep2_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -684376,21 +684487,6 @@ (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) - (portRef B (instanceRef lost_hit_cntr_lm_0_2)) - (portRef B (instanceRef lost_hit_cntr_lm_0_1)) - (portRef B (instanceRef lost_hit_cntr_lm_0_0)) - )) - (net lost_hit_cntr_s_2 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) - (portRef A (instanceRef lost_hit_cntr_lm_0_2)) - )) - (net lost_hit_cntr_s_3 (joined - (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_3)) - )) - (net lost_hit_cntr_s_4 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_4)) )) (net lost_hit_cntr_s_5 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) @@ -684476,8 +684572,40 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_25 (joined - (portRef reset_tdc_rep1_25) + (net reset_tdc_rep2_17 (joined + (portRef reset_tdc_rep2_17) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) (portRef B (instanceRef result_reg_3_0_i_31)) (portRef B (instanceRef result_reg_3_0_i_30)) (portRef B (instanceRef result_reg_3_0_i_29)) @@ -684624,108 +684752,6 @@ (portRef (member result_i 270) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_33)) )) - (net reset_tdc_rep1_26 (joined - (portRef reset_tdc_rep1_26) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - )) (net result_i_34 (joined (portRef (member result_i 269) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_34)) @@ -684854,6 +684880,108 @@ (portRef (member result_i 238) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_65)) )) + (net reset_tdc_rep2_18 (joined + (portRef reset_tdc_rep2_18) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + )) (net result_i_66 (joined (portRef (member result_i 237) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_66)) @@ -685122,108 +685250,6 @@ (portRef (member result_i 171) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_132)) )) - (net reset_tdc_rep1_27 (joined - (portRef reset_tdc_rep1_27) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - )) (net result_i_133 (joined (portRef (member result_i 170) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_133)) @@ -685352,6 +685378,108 @@ (portRef (member result_i 139) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_164)) )) + (net reset_tdc_rep2_19 (joined + (portRef reset_tdc_rep2_19) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + )) (net result_i_165 (joined (portRef (member result_i 138) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_165)) @@ -685620,83 +685748,6 @@ (portRef (member result_i 72) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_231)) )) - (net reset_tdc_rep1_28 (joined - (portRef reset_tdc_rep1_28) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - )) (net result_i_232 (joined (portRef (member result_i 71) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_232)) @@ -685825,6 +685876,51 @@ (portRef (member result_i 40) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_263)) )) + (net reset_tdc_rep2_20 (joined + (portRef reset_tdc_rep2_20) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + )) (net result_i_264 (joined (portRef (member result_i 39) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_264)) @@ -685985,8 +686081,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_13_0 (joined - (portRef (member channel_debug_01_i_13 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_25_0 (joined + (portRef (member channel_debug_01_i_25 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -686013,9 +686109,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_13_2 (joined - (portRef (member channel_debug_01_i_13 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_13 0) (instanceRef FIFO)) + (net channel_debug_01_i_25_2 (joined + (portRef (member channel_debug_01_i_25 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_25 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -686200,21 +686296,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_60 (joined - (portRef reset_tdc_60) - (portRef reset_tdc_60 (instanceRef FC)) - )) - (net reset_tdc_59 (joined - (portRef reset_tdc_59) - (portRef reset_tdc_59 (instanceRef FC)) + (net reset_tdc_23 (joined + (portRef reset_tdc_23) + (portRef reset_tdc_23 (instanceRef FC)) )) - (net reset_tdc_58 (joined - (portRef reset_tdc_58) - (portRef reset_tdc_58 (instanceRef FC)) + (net reset_tdc_22 (joined + (portRef reset_tdc_22) + (portRef reset_tdc_22 (instanceRef FC)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef reset_tdc_57 (instanceRef FC)) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) + (portRef reset_tdc_21 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -686256,35 +686348,43 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_61 (joined - (portRef reset_tdc_61) - (portRef reset_tdc_61 (instanceRef Encoder)) - (portRef reset_tdc_61 (instanceRef FC)) + (net reset_tdc_24 (joined + (portRef reset_tdc_24) + (portRef reset_tdc_24 (instanceRef Encoder)) + (portRef reset_tdc_24 (instanceRef FC)) )) - (net reset_tdc_fast_36_r22 (joined - (portRef reset_tdc_fast_36_r22) - (portRef reset_tdc_fast_36_r22 (instanceRef Encoder)) + (net reset_tdc_fast_37_r12 (joined + (portRef reset_tdc_fast_37_r12) + (portRef reset_tdc_fast_37_r12 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + )) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNITHNQ)) + (portRef A (instanceRef result_2_reg_RNINRPM)) )) - (net rd_en_i_13 (joined + (net rd_en_i_25 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef reset_i_11 (instanceRef FIFO)) + (net reset_i_6 (joined + (portRef reset_i_6) + (portRef reset_i_6 (instanceRef FIFO)) + )) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef reset_i_5 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -686307,34 +686407,33 @@ ) ) ) - (cell Channel_28 (cellType GENERIC) + (cell Channel_15 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(28:28)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(28:28)") 1) (direction INPUT)) - (port (array (rename channel_data_i_28 "channel_data_i_28(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(60:60)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(15:15)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(15:15)") 1) (direction INPUT)) + (port (array (rename channel_data_i_15 "channel_data_i_15(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(47:47)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(15:15)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1614_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_3 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r15 (direction INPUT)) - (port reset_tdc_12 (direction INPUT)) - (port reset_tdc_13 (direction INPUT)) - (port reset_tdc_14 (direction INPUT)) - (port reset_tdc_15 (direction INPUT)) + (port reset_i_11 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r24 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) + (port reset_tdc_52 (direction INPUT)) + (port reset_tdc_53 (direction INPUT)) + (port reset_tdc_54 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_20 (direction INPUT)) - (port reset_tdc_rep2_19 (direction INPUT)) - (port reset_tdc_rep2_18 (direction INPUT)) - (port reset_tdc_rep2_17 (direction INPUT)) - (port reset_tdc_rep2_1 (direction INPUT)) - (port reset_tdc_rep2_r7 (direction INPUT)) + (port reset_tdc_rep1_28 (direction INPUT)) + (port reset_tdc_rep1_27 (direction INPUT)) + (port reset_tdc_rep1_26 (direction INPUT)) + (port reset_tdc_rep1_25 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -686348,7 +686447,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295576_0 (direction OUTPUT)) + (port N_295874_0 (direction OUTPUT)) (port G_1612_Q (direction INPUT)) (port sync_q_and_20 (direction OUTPUT)) (port G_1609_Q (direction INPUT)) @@ -686357,14 +686456,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIEH2O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIO2VL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIEH2O_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIO2VL_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIEH2O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIO2VL_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -687161,6 +687260,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -688210,7 +688311,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIBATO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNILRPM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -688466,32 +688567,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0)) )) (net tmp1_20_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIBATO)) + (portRef B (instanceRef result_2_reg_RNILRPM)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIEH2O_1)) - (portRef B (instanceRef result_2_reg_RNIEH2O_0)) - (portRef B (instanceRef result_2_reg_RNIEH2O)) + (portRef B (instanceRef result_2_reg_RNIO2VL_1)) + (portRef B (instanceRef result_2_reg_RNIO2VL_0)) + (portRef B (instanceRef result_2_reg_RNIO2VL)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIBATO)) + (portRef C (instanceRef result_2_reg_RNILRPM)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIEH2O_1)) - (portRef C (instanceRef result_2_reg_RNIEH2O_0)) - (portRef C (instanceRef result_2_reg_RNIEH2O)) + (portRef C (instanceRef result_2_reg_RNIO2VL_1)) + (portRef C (instanceRef result_2_reg_RNIO2VL_0)) + (portRef C (instanceRef result_2_reg_RNIO2VL)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIEH2O)) + (portRef Z (instanceRef result_2_reg_RNIO2VL)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -688570,9 +688671,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIEH2O_1)) - (portRef A (instanceRef result_2_reg_RNIEH2O_0)) - (portRef A (instanceRef result_2_reg_RNIEH2O)) + (portRef A (instanceRef result_2_reg_RNIO2VL_1)) + (portRef A (instanceRef result_2_reg_RNIO2VL_0)) + (portRef A (instanceRef result_2_reg_RNIO2VL)) )) (net GND (joined (portRef GND) @@ -688727,7 +688828,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIEH2O_0)) + (portRef Z (instanceRef result_2_reg_RNIO2VL_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -688805,7 +688906,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIEH2O_1)) + (portRef Z (instanceRef result_2_reg_RNIO2VL_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -688892,9 +688993,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295576_0 (joined + (net N_295874_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295576_0) + (portRef N_295874_0) )) (net tmp1_20_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -689546,7 +689647,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIBATO)) + (portRef Z (instanceRef result_2_reg_RNILRPM)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -692459,9 +692560,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_28_1 (joined + (net channel_debug_01_i_15_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_28 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_15 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_20 (joined @@ -692656,18 +692757,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_15 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_60 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -692704,6 +692805,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -692740,258 +692842,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_28_0 (joined + (net stat_reg_47 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_15_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_28 31)) + (portRef (member channel_data_i_15 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_28_1 (joined + (net channel_data_i_15_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_28 30)) + (portRef (member channel_data_i_15 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_28_2 (joined + (net channel_data_i_15_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_28 29)) + (portRef (member channel_data_i_15 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_28_3 (joined + (net channel_data_i_15_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_28 28)) + (portRef (member channel_data_i_15 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_28_4 (joined + (net channel_data_i_15_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_28 27)) + (portRef (member channel_data_i_15 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_28_5 (joined + (net channel_data_i_15_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_28 26)) + (portRef (member channel_data_i_15 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_28_6 (joined + (net channel_data_i_15_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_28 25)) + (portRef (member channel_data_i_15 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_28_7 (joined + (net channel_data_i_15_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_28 24)) + (portRef (member channel_data_i_15 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_28_8 (joined + (net channel_data_i_15_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_28 23)) + (portRef (member channel_data_i_15 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_28_9 (joined + (net channel_data_i_15_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_28 22)) + (portRef (member channel_data_i_15 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_28_10 (joined + (net channel_data_i_15_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_28 21)) + (portRef (member channel_data_i_15 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_28_11 (joined + (net channel_data_i_15_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_28 20)) + (portRef (member channel_data_i_15 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_28_12 (joined + (net channel_data_i_15_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_28 19)) + (portRef (member channel_data_i_15 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_28_13 (joined + (net channel_data_i_15_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_28 18)) + (portRef (member channel_data_i_15 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_28_14 (joined + (net channel_data_i_15_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_28 17)) + (portRef (member channel_data_i_15 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_28_15 (joined + (net channel_data_i_15_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_28 16)) + (portRef (member channel_data_i_15 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_28_16 (joined + (net channel_data_i_15_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_28 15)) + (portRef (member channel_data_i_15 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_28_17 (joined + (net channel_data_i_15_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_28 14)) + (portRef (member channel_data_i_15 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_28_18 (joined + (net channel_data_i_15_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_28 13)) + (portRef (member channel_data_i_15 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_28_19 (joined + (net channel_data_i_15_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_28 12)) + (portRef (member channel_data_i_15 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_28_20 (joined + (net channel_data_i_15_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_28 11)) + (portRef (member channel_data_i_15 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_28_21 (joined + (net channel_data_i_15_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_28 10)) + (portRef (member channel_data_i_15 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_28_22 (joined + (net channel_data_i_15_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_28 9)) + (portRef (member channel_data_i_15 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_28_23 (joined + (net channel_data_i_15_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_28 8)) + (portRef (member channel_data_i_15 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_28_24 (joined + (net channel_data_i_15_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_28 7)) + (portRef (member channel_data_i_15 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_28_25 (joined + (net channel_data_i_15_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_28 6)) + (portRef (member channel_data_i_15 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_28_26 (joined + (net channel_data_i_15_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_28 5)) + (portRef (member channel_data_i_15 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_28_27 (joined + (net channel_data_i_15_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_28 4)) + (portRef (member channel_data_i_15 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_28_28 (joined + (net channel_data_i_15_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_28 3)) + (portRef (member channel_data_i_15 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_28_29 (joined + (net channel_data_i_15_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_28 2)) + (portRef (member channel_data_i_15 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_28_30 (joined + (net channel_data_i_15_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_28 1)) + (portRef (member channel_data_i_15 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_28_31 (joined + (net channel_data_i_15_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_28 0)) + (portRef (member channel_data_i_15 0)) )) (net sync_q_CR0_ram_DO1_20 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -693010,26 +693121,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r7 (joined - (portRef reset_tdc_rep2_r7) - (portRef B (instanceRef lost_hit_cntr_lm_0_2)) - (portRef B (instanceRef lost_hit_cntr_lm_0_1)) - (portRef B (instanceRef lost_hit_cntr_lm_0_0)) - )) - (net lost_hit_cntr_s_2 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) - (portRef A (instanceRef lost_hit_cntr_lm_0_2)) - )) - (net lost_hit_cntr_s_3 (joined - (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_3)) - )) - (net lost_hit_cntr_s_4 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_4)) - )) - (net reset_tdc_rep2_1 (joined - (portRef reset_tdc_rep2_1) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -693051,6 +693144,21 @@ (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) + (portRef B (instanceRef lost_hit_cntr_lm_0_2)) + (portRef B (instanceRef lost_hit_cntr_lm_0_1)) + (portRef B (instanceRef lost_hit_cntr_lm_0_0)) + )) + (net lost_hit_cntr_s_2 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) + (portRef A (instanceRef lost_hit_cntr_lm_0_2)) + )) + (net lost_hit_cntr_s_3 (joined + (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_3)) + )) + (net lost_hit_cntr_s_4 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_4)) )) (net lost_hit_cntr_s_5 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) @@ -693136,40 +693244,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_17 (joined - (portRef reset_tdc_rep2_17) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) + (net reset_tdc_rep1_25 (joined + (portRef reset_tdc_rep1_25) (portRef B (instanceRef result_reg_3_0_i_31)) (portRef B (instanceRef result_reg_3_0_i_30)) (portRef B (instanceRef result_reg_3_0_i_29)) @@ -693316,6 +693392,108 @@ (portRef (member result_i 270) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_33)) )) + (net reset_tdc_rep1_26 (joined + (portRef reset_tdc_rep1_26) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + )) (net result_i_34 (joined (portRef (member result_i 269) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_34)) @@ -693444,108 +693622,6 @@ (portRef (member result_i 238) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_65)) )) - (net reset_tdc_rep2_18 (joined - (portRef reset_tdc_rep2_18) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - )) (net result_i_66 (joined (portRef (member result_i 237) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_66)) @@ -693814,6 +693890,108 @@ (portRef (member result_i 171) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_132)) )) + (net reset_tdc_rep1_27 (joined + (portRef reset_tdc_rep1_27) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + )) (net result_i_133 (joined (portRef (member result_i 170) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_133)) @@ -693942,108 +694120,6 @@ (portRef (member result_i 139) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_164)) )) - (net reset_tdc_rep2_19 (joined - (portRef reset_tdc_rep2_19) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - )) (net result_i_165 (joined (portRef (member result_i 138) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_165)) @@ -694312,6 +694388,83 @@ (portRef (member result_i 72) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_231)) )) + (net reset_tdc_rep1_28 (joined + (portRef reset_tdc_rep1_28) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + )) (net result_i_232 (joined (portRef (member result_i 71) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_232)) @@ -694440,51 +694593,6 @@ (portRef (member result_i 40) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_263)) )) - (net reset_tdc_rep2_20 (joined - (portRef reset_tdc_rep2_20) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - )) (net result_i_264 (joined (portRef (member result_i 39) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_264)) @@ -694645,8 +694753,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_28_0 (joined - (portRef (member channel_debug_01_i_28 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_15_0 (joined + (portRef (member channel_debug_01_i_15 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -694673,9 +694781,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_28_2 (joined - (portRef (member channel_debug_01_i_28 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_28 0) (instanceRef FIFO)) + (net channel_debug_01_i_15_2 (joined + (portRef (member channel_debug_01_i_15 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_15 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -694860,17 +694968,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_14 (joined - (portRef reset_tdc_14) - (portRef reset_tdc_14 (instanceRef FC)) + (net reset_tdc_53 (joined + (portRef reset_tdc_53) + (portRef reset_tdc_53 (instanceRef FC)) )) - (net reset_tdc_13 (joined - (portRef reset_tdc_13) - (portRef reset_tdc_13 (instanceRef FC)) + (net reset_tdc_52 (joined + (portRef reset_tdc_52) + (portRef reset_tdc_52 (instanceRef FC)) )) - (net reset_tdc_12 (joined - (portRef reset_tdc_12) - (portRef reset_tdc_12 (instanceRef FC)) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) + (portRef reset_tdc_51 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -694912,39 +695020,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_15 (joined - (portRef reset_tdc_15) - (portRef reset_tdc_15 (instanceRef Encoder)) - (portRef reset_tdc_15 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r15 (joined - (portRef reset_tdc_fast_37_r15) - (portRef reset_tdc_fast_37_r15 (instanceRef Encoder)) + (net reset_tdc_54 (joined + (portRef reset_tdc_54) + (portRef reset_tdc_54 (instanceRef Encoder)) + (portRef reset_tdc_54 (instanceRef FC)) )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_fast_36_r24 (joined + (portRef reset_tdc_fast_36_r24) + (portRef reset_tdc_fast_36_r24 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIBATO)) + (portRef A (instanceRef result_2_reg_RNILRPM)) )) - (net rd_en_i_28 (joined + (net rd_en_i_15 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_3 (joined - (portRef reset_i_3) - (portRef reset_i_3 (instanceRef FIFO)) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef reset_i_11 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -694967,32 +695071,33 @@ ) ) ) - (cell Channel_23 (cellType GENERIC) + (cell Channel_30 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(23:23)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(23:23)") 1) (direction INPUT)) - (port (array (rename channel_data_i_23 "channel_data_i_23(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(55:55)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(30:30)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(30:30)") 1) (direction INPUT)) + (port (array (rename channel_data_i_30 "channel_data_i_30(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(62:62)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1623_Q (direction INPUT)) - (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_6 (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) + (port reset_i_3 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_36_r32 (direction INPUT)) - (port reset_tdc_27 (direction INPUT)) - (port reset_tdc_28 (direction INPUT)) - (port reset_tdc_29 (direction INPUT)) - (port reset_tdc_30 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_37_r17 (direction INPUT)) + (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_7 (direction INPUT)) + (port reset_tdc_8 (direction INPUT)) + (port reset_tdc_9 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_rep2_17 (direction INPUT)) (port reset_tdc_rep2_16 (direction INPUT)) (port reset_tdc_rep2_15 (direction INPUT)) (port reset_tdc_rep2_14 (direction INPUT)) - (port reset_tdc_rep2_r17 (direction INPUT)) + (port reset_tdc_rep2_r19 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -695006,7 +695111,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295589_0 (direction OUTPUT)) + (port N_295887_0 (direction OUTPUT)) (port G_1621_Q (direction INPUT)) (port sync_q_and_19 (direction OUTPUT)) (port G_1618_Q (direction INPUT)) @@ -695015,14 +695120,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI2PSP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIGAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI2PSP_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIGAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI2PSP_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIGAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -696868,7 +696973,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIVHNQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNID3KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -697124,32 +697229,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0)) )) (net tmp1_19_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIVHNQ)) + (portRef B (instanceRef result_2_reg_RNID3KO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI2PSP_1)) - (portRef B (instanceRef result_2_reg_RNI2PSP_0)) - (portRef B (instanceRef result_2_reg_RNI2PSP)) + (portRef B (instanceRef result_2_reg_RNIGAPN_1)) + (portRef B (instanceRef result_2_reg_RNIGAPN_0)) + (portRef B (instanceRef result_2_reg_RNIGAPN)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIVHNQ)) + (portRef C (instanceRef result_2_reg_RNID3KO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI2PSP_1)) - (portRef C (instanceRef result_2_reg_RNI2PSP_0)) - (portRef C (instanceRef result_2_reg_RNI2PSP)) + (portRef C (instanceRef result_2_reg_RNIGAPN_1)) + (portRef C (instanceRef result_2_reg_RNIGAPN_0)) + (portRef C (instanceRef result_2_reg_RNIGAPN)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI2PSP)) + (portRef Z (instanceRef result_2_reg_RNIGAPN)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -697228,9 +697333,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI2PSP_1)) - (portRef A (instanceRef result_2_reg_RNI2PSP_0)) - (portRef A (instanceRef result_2_reg_RNI2PSP)) + (portRef A (instanceRef result_2_reg_RNIGAPN_1)) + (portRef A (instanceRef result_2_reg_RNIGAPN_0)) + (portRef A (instanceRef result_2_reg_RNIGAPN)) )) (net GND (joined (portRef GND) @@ -697385,7 +697490,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI2PSP_0)) + (portRef Z (instanceRef result_2_reg_RNIGAPN_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -697463,7 +697568,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI2PSP_1)) + (portRef Z (instanceRef result_2_reg_RNIGAPN_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -697550,9 +697655,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295589_0 (joined + (net N_295887_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295589_0) + (portRef N_295887_0) )) (net tmp1_19_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -698204,7 +698309,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIVHNQ)) + (portRef Z (instanceRef result_2_reg_RNID3KO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -701117,9 +701222,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_23_1 (joined + (net channel_debug_01_i_30_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_23 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_30 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_19 (joined @@ -701318,7 +701423,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_55 (joined + (net stat_reg_62 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -701399,257 +701504,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_23_0 (joined + (net channel_data_i_30_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_23 31)) + (portRef (member channel_data_i_30 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_23_1 (joined + (net channel_data_i_30_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_23 30)) + (portRef (member channel_data_i_30 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_23_2 (joined + (net channel_data_i_30_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_23 29)) + (portRef (member channel_data_i_30 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_23_3 (joined + (net channel_data_i_30_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_23 28)) + (portRef (member channel_data_i_30 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_23_4 (joined + (net channel_data_i_30_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_23 27)) + (portRef (member channel_data_i_30 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_23_5 (joined + (net channel_data_i_30_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_23 26)) + (portRef (member channel_data_i_30 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_23_6 (joined + (net channel_data_i_30_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_23 25)) + (portRef (member channel_data_i_30 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_23_7 (joined + (net channel_data_i_30_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_23 24)) + (portRef (member channel_data_i_30 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_23_8 (joined + (net channel_data_i_30_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_23 23)) + (portRef (member channel_data_i_30 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_23_9 (joined + (net channel_data_i_30_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_23 22)) + (portRef (member channel_data_i_30 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_23_10 (joined + (net channel_data_i_30_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_23 21)) + (portRef (member channel_data_i_30 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_23_11 (joined + (net channel_data_i_30_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_23 20)) + (portRef (member channel_data_i_30 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_23_12 (joined + (net channel_data_i_30_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_23 19)) + (portRef (member channel_data_i_30 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_23_13 (joined + (net channel_data_i_30_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_23 18)) + (portRef (member channel_data_i_30 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_23_14 (joined + (net channel_data_i_30_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_23 17)) + (portRef (member channel_data_i_30 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_23_15 (joined + (net channel_data_i_30_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_23 16)) + (portRef (member channel_data_i_30 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_23_16 (joined + (net channel_data_i_30_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_23 15)) + (portRef (member channel_data_i_30 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_23_17 (joined + (net channel_data_i_30_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_23 14)) + (portRef (member channel_data_i_30 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_23_18 (joined + (net channel_data_i_30_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_23 13)) + (portRef (member channel_data_i_30 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_23_19 (joined + (net channel_data_i_30_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_23 12)) + (portRef (member channel_data_i_30 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_23_20 (joined + (net channel_data_i_30_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_23 11)) + (portRef (member channel_data_i_30 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_23_21 (joined + (net channel_data_i_30_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_23 10)) + (portRef (member channel_data_i_30 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_23_22 (joined + (net channel_data_i_30_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_23 9)) + (portRef (member channel_data_i_30 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_23_23 (joined + (net channel_data_i_30_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_23 8)) + (portRef (member channel_data_i_30 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_23_24 (joined + (net channel_data_i_30_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_23 7)) + (portRef (member channel_data_i_30 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_23_25 (joined + (net channel_data_i_30_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_23 6)) + (portRef (member channel_data_i_30 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_23_26 (joined + (net channel_data_i_30_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_23 5)) + (portRef (member channel_data_i_30 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_23_27 (joined + (net channel_data_i_30_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_23 4)) + (portRef (member channel_data_i_30 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_23_28 (joined + (net channel_data_i_30_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_23 3)) + (portRef (member channel_data_i_30 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_23_29 (joined + (net channel_data_i_30_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_23 2)) + (portRef (member channel_data_i_30 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_23_30 (joined + (net channel_data_i_30_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_23 1)) + (portRef (member channel_data_i_30 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_23_31 (joined + (net channel_data_i_30_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_23 0)) + (portRef (member channel_data_i_30 0)) )) (net sync_q_CR0_ram_DO1_19 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -701668,8 +701773,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r17 (joined - (portRef reset_tdc_rep2_r17) + (net reset_tdc_rep2_r19 (joined + (portRef reset_tdc_rep2_r19) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -703300,8 +703405,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_23_0 (joined - (portRef (member channel_debug_01_i_23 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_30_0 (joined + (portRef (member channel_debug_01_i_30 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -703328,9 +703433,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_23_2 (joined - (portRef (member channel_debug_01_i_23 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_23 0) (instanceRef FIFO)) + (net channel_debug_01_i_30_2 (joined + (portRef (member channel_debug_01_i_30 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_30 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -703515,17 +703620,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_29 (joined - (portRef reset_tdc_29) - (portRef reset_tdc_29 (instanceRef FC)) + (net reset_tdc_8 (joined + (portRef reset_tdc_8) + (portRef reset_tdc_8 (instanceRef FC)) )) - (net reset_tdc_28 (joined - (portRef reset_tdc_28) - (portRef reset_tdc_28 (instanceRef FC)) + (net reset_tdc_7 (joined + (portRef reset_tdc_7) + (portRef reset_tdc_7 (instanceRef FC)) )) - (net reset_tdc_27 (joined - (portRef reset_tdc_27) - (portRef reset_tdc_27 (instanceRef FC)) + (net reset_tdc_6 (joined + (portRef reset_tdc_6) + (portRef reset_tdc_6 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -703567,14 +703672,18 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_30 (joined - (portRef reset_tdc_30) - (portRef reset_tdc_30 (instanceRef Encoder)) - (portRef reset_tdc_30 (instanceRef FC)) + (net reset_tdc_9 (joined + (portRef reset_tdc_9) + (portRef reset_tdc_9 (instanceRef Encoder)) + (portRef reset_tdc_9 (instanceRef FC)) )) - (net reset_tdc_fast_36_r32 (joined - (portRef reset_tdc_fast_36_r32) - (portRef reset_tdc_fast_36_r32 (instanceRef Encoder)) + (net reset_tdc_fast_37_r17 (joined + (portRef reset_tdc_fast_37_r17) + (portRef reset_tdc_fast_37_r17 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) @@ -703587,19 +703696,19 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIVHNQ)) + (portRef A (instanceRef result_2_reg_RNID3KO)) )) - (net rd_en_i_23 (joined + (net rd_en_i_30 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef reset_i_6 (instanceRef FIFO)) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef reset_i_3 (instanceRef FIFO)) )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef FIFO)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef FIFO)) )) (net G_1621_Q (joined (portRef G_1621_Q) @@ -703618,36 +703727,34 @@ ) ) ) - (cell Channel_11 (cellType GENERIC) + (cell Channel_13 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(11:11)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(11:11)") 1) (direction INPUT)) - (port (array (rename channel_data_i_11 "channel_data_i_11(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(43:43)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(13:13)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(13:13)") 1) (direction INPUT)) + (port (array (rename channel_data_i_13 "channel_data_i_13(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(45:45)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(13:13)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1632_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port reset_i_12 (direction INPUT)) - (port reset_i_13 (direction INPUT)) - (port reset_tdc_fast_36_r20 (direction INPUT)) - (port reset_tdc_fast_36_r1 (direction INPUT)) - (port reset_tdc_fast_37_r11 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_36_r19 (direction INPUT)) - (port reset_tdc_64 (direction INPUT)) - (port reset_tdc_65 (direction INPUT)) - (port reset_tdc_66 (direction INPUT)) - (port reset_tdc_67 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r22 (direction INPUT)) + (port reset_tdc_57 (direction INPUT)) + (port reset_tdc_58 (direction INPUT)) + (port reset_tdc_59 (direction INPUT)) + (port reset_tdc_60 (direction INPUT)) + (port reset_tdc_61 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_rep1_25 (direction INPUT)) (port reset_tdc_rep1_24 (direction INPUT)) (port reset_tdc_rep1_23 (direction INPUT)) - (port reset_tdc_fast_32 (direction INPUT)) - (port reset_tdc_fast_31 (direction INPUT)) - (port reset_tdc_fast_3 (direction INPUT)) + (port reset_tdc_rep1_22 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -703661,7 +703768,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295602_0 (direction OUTPUT)) + (port N_295900_0 (direction OUTPUT)) (port G_1630_Q (direction INPUT)) (port sync_q_and_18 (direction OUTPUT)) (port G_1627_Q (direction INPUT)) @@ -703670,14 +703777,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI8FQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI0PSP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI8FQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI0PSP_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI8FQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI0PSP_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -704474,6 +704581,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -705523,7 +705632,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI58LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNITHNQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -705779,32 +705888,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0)) )) (net tmp1_18_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIC7RD_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI58LU)) + (portRef B (instanceRef result_2_reg_RNITHNQ)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI8FQT_1)) - (portRef B (instanceRef result_2_reg_RNI8FQT_0)) - (portRef B (instanceRef result_2_reg_RNI8FQT)) + (portRef B (instanceRef result_2_reg_RNI0PSP_1)) + (portRef B (instanceRef result_2_reg_RNI0PSP_0)) + (portRef B (instanceRef result_2_reg_RNI0PSP)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI58LU)) + (portRef C (instanceRef result_2_reg_RNITHNQ)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI8FQT_1)) - (portRef C (instanceRef result_2_reg_RNI8FQT_0)) - (portRef C (instanceRef result_2_reg_RNI8FQT)) + (portRef C (instanceRef result_2_reg_RNI0PSP_1)) + (portRef C (instanceRef result_2_reg_RNI0PSP_0)) + (portRef C (instanceRef result_2_reg_RNI0PSP)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI8FQT)) + (portRef Z (instanceRef result_2_reg_RNI0PSP)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -705883,9 +705992,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI8FQT_1)) - (portRef A (instanceRef result_2_reg_RNI8FQT_0)) - (portRef A (instanceRef result_2_reg_RNI8FQT)) + (portRef A (instanceRef result_2_reg_RNI0PSP_1)) + (portRef A (instanceRef result_2_reg_RNI0PSP_0)) + (portRef A (instanceRef result_2_reg_RNI0PSP)) )) (net GND (joined (portRef GND) @@ -706040,7 +706149,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI8FQT_0)) + (portRef Z (instanceRef result_2_reg_RNI0PSP_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -706118,7 +706227,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI8FQT_1)) + (portRef Z (instanceRef result_2_reg_RNI0PSP_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -706205,9 +706314,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295602_0 (joined + (net N_295900_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295602_0) + (portRef N_295900_0) )) (net tmp1_18_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -706859,7 +706968,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI58LU)) + (portRef Z (instanceRef result_2_reg_RNITHNQ)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -709772,9 +709881,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_11_1 (joined + (net channel_debug_01_i_13_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_11 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_13 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_18 (joined @@ -709969,18 +710078,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_13 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_43 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -710017,6 +710126,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -710053,258 +710163,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_11_0 (joined + (net stat_reg_45 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_13_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_11 31)) + (portRef (member channel_data_i_13 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_11_1 (joined + (net channel_data_i_13_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_11 30)) + (portRef (member channel_data_i_13 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_11_2 (joined + (net channel_data_i_13_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_11 29)) + (portRef (member channel_data_i_13 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_11_3 (joined + (net channel_data_i_13_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_11 28)) + (portRef (member channel_data_i_13 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_11_4 (joined + (net channel_data_i_13_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_11 27)) + (portRef (member channel_data_i_13 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_11_5 (joined + (net channel_data_i_13_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_11 26)) + (portRef (member channel_data_i_13 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_11_6 (joined + (net channel_data_i_13_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_11 25)) + (portRef (member channel_data_i_13 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_11_7 (joined + (net channel_data_i_13_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_11 24)) + (portRef (member channel_data_i_13 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_11_8 (joined + (net channel_data_i_13_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_11 23)) + (portRef (member channel_data_i_13 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_11_9 (joined + (net channel_data_i_13_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_11 22)) + (portRef (member channel_data_i_13 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_11_10 (joined + (net channel_data_i_13_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_11 21)) + (portRef (member channel_data_i_13 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_11_11 (joined + (net channel_data_i_13_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_11 20)) + (portRef (member channel_data_i_13 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_11_12 (joined + (net channel_data_i_13_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_11 19)) + (portRef (member channel_data_i_13 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_11_13 (joined + (net channel_data_i_13_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_11 18)) + (portRef (member channel_data_i_13 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_11_14 (joined + (net channel_data_i_13_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_11 17)) + (portRef (member channel_data_i_13 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_11_15 (joined + (net channel_data_i_13_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_11 16)) + (portRef (member channel_data_i_13 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_11_16 (joined + (net channel_data_i_13_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_11 15)) + (portRef (member channel_data_i_13 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_11_17 (joined + (net channel_data_i_13_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_11 14)) + (portRef (member channel_data_i_13 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_11_18 (joined + (net channel_data_i_13_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_11 13)) + (portRef (member channel_data_i_13 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_11_19 (joined + (net channel_data_i_13_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_11 12)) + (portRef (member channel_data_i_13 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_11_20 (joined + (net channel_data_i_13_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_11 11)) + (portRef (member channel_data_i_13 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_11_21 (joined + (net channel_data_i_13_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_11 10)) + (portRef (member channel_data_i_13 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_11_22 (joined + (net channel_data_i_13_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_11 9)) + (portRef (member channel_data_i_13 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_11_23 (joined + (net channel_data_i_13_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_11 8)) + (portRef (member channel_data_i_13 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_11_24 (joined + (net channel_data_i_13_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_11 7)) + (portRef (member channel_data_i_13 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_11_25 (joined + (net channel_data_i_13_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_11 6)) + (portRef (member channel_data_i_13 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_11_26 (joined + (net channel_data_i_13_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_11 5)) + (portRef (member channel_data_i_13 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_11_27 (joined + (net channel_data_i_13_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_11 4)) + (portRef (member channel_data_i_13 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_11_28 (joined + (net channel_data_i_13_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_11 3)) + (portRef (member channel_data_i_13 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_11_29 (joined + (net channel_data_i_13_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_11 2)) + (portRef (member channel_data_i_13 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_11_30 (joined + (net channel_data_i_13_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_11 1)) + (portRef (member channel_data_i_13 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_11_31 (joined + (net channel_data_i_13_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_11 0)) + (portRef (member channel_data_i_13 0)) )) (net sync_q_CR0_ram_DO1_18 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -710323,8 +710442,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_3 (joined - (portRef reset_tdc_fast_3) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -710446,71 +710565,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_31 (joined - (portRef reset_tdc_fast_31) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) + (net reset_tdc_rep1_22 (joined + (portRef reset_tdc_rep1_22) (portRef B (instanceRef result_reg_3_0_i_35)) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) @@ -710677,6 +710733,108 @@ (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) )) + (net reset_tdc_rep1_23 (joined + (portRef reset_tdc_rep1_23) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + )) (net result_i_38 (joined (portRef (member result_i 265) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_38)) @@ -710929,24 +711087,6 @@ (portRef (member result_i 203) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_100)) )) - (net reset_tdc_fast_32 (joined - (portRef reset_tdc_fast_32) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - )) (net result_i_101 (joined (portRef (member result_i 202) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_101)) @@ -711007,30 +711147,6 @@ (portRef (member result_i 188) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_115)) )) - (net reset_tdc_rep1_23 (joined - (portRef reset_tdc_rep1_23) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - )) (net result_i_116 (joined (portRef (member result_i 187) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_116)) @@ -711958,8 +712074,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_11_0 (joined - (portRef (member channel_debug_01_i_11 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_13_0 (joined + (portRef (member channel_debug_01_i_13 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -711986,9 +712102,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_11_2 (joined - (portRef (member channel_debug_01_i_11 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_11 0) (instanceRef FIFO)) + (net channel_debug_01_i_13_2 (joined + (portRef (member channel_debug_01_i_13 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_13 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -712173,17 +712289,21 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_66 (joined - (portRef reset_tdc_66) - (portRef reset_tdc_66 (instanceRef FC)) + (net reset_tdc_60 (joined + (portRef reset_tdc_60) + (portRef reset_tdc_60 (instanceRef FC)) )) - (net reset_tdc_65 (joined - (portRef reset_tdc_65) - (portRef reset_tdc_65 (instanceRef FC)) + (net reset_tdc_59 (joined + (portRef reset_tdc_59) + (portRef reset_tdc_59 (instanceRef FC)) )) - (net reset_tdc_64 (joined - (portRef reset_tdc_64) - (portRef reset_tdc_64 (instanceRef FC)) + (net reset_tdc_58 (joined + (portRef reset_tdc_58) + (portRef reset_tdc_58 (instanceRef FC)) + )) + (net reset_tdc_57 (joined + (portRef reset_tdc_57) + (portRef reset_tdc_57 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -712225,44 +712345,32 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_67 (joined - (portRef reset_tdc_67) - (portRef reset_tdc_67 (instanceRef Encoder)) - (portRef reset_tdc_67 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r19 (joined - (portRef reset_tdc_fast_36_r19) - (portRef reset_tdc_fast_36_r19 (instanceRef Encoder)) - )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + (net reset_tdc_61 (joined + (portRef reset_tdc_61) + (portRef reset_tdc_61 (instanceRef Encoder)) + (portRef reset_tdc_61 (instanceRef FC)) )) - (net reset_tdc_fast_37_r11 (joined - (portRef reset_tdc_fast_37_r11) - (portRef reset_tdc_fast_37_r11 (instanceRef Encoder)) + (net reset_tdc_fast_36_r22 (joined + (portRef reset_tdc_fast_36_r22) + (portRef reset_tdc_fast_36_r22 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r1 (joined - (portRef reset_tdc_fast_36_r1) - (portRef reset_tdc_fast_36_r1 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r20 (joined - (portRef reset_tdc_fast_36_r20) - (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI58LU)) + (portRef A (instanceRef result_2_reg_RNITHNQ)) )) - (net rd_en_i_11 (joined + (net rd_en_i_13 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef reset_i_13 (instanceRef FIFO)) - )) (net reset_i_12 (joined (portRef reset_i_12) (portRef reset_i_12 (instanceRef FIFO)) @@ -712288,33 +712396,33 @@ ) ) ) - (cell Channel_26 (cellType GENERIC) + (cell Channel_16 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(26:26)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(26:26)") 1) (direction INPUT)) - (port (array (rename channel_data_i_26 "channel_data_i_26(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(58:58)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(16:16)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(16:16)") 1) (direction INPUT)) + (port (array (rename channel_data_i_16 "channel_data_i_16(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(48:48)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1641_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_4 (direction INPUT)) - (port reset_tdc_rep2_35 (direction INPUT)) - (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_37_r13 (direction INPUT)) - (port reset_tdc_18 (direction INPUT)) - (port reset_tdc_19 (direction INPUT)) - (port reset_tdc_20 (direction INPUT)) - (port reset_tdc_21 (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port reset_i_11 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r25 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) + (port reset_tdc_49 (direction INPUT)) + (port reset_tdc_50 (direction INPUT)) + (port reset_tdc_51 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep2_14 (direction INPUT)) - (port reset_tdc_rep2_13 (direction INPUT)) - (port reset_tdc_rep2_12 (direction INPUT)) - (port reset_tdc_rep2_11 (direction INPUT)) - (port reset_tdc_rep2_r18 (direction INPUT)) + (port reset_tdc_rep1_22 (direction INPUT)) + (port reset_tdc_rep1_21 (direction INPUT)) + (port reset_tdc_rep1_20 (direction INPUT)) + (port reset_tdc_rep1_19 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -712328,7 +712436,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295615_0 (direction OUTPUT)) + (port N_295913_0 (direction OUTPUT)) (port G_1639_Q (direction INPUT)) (port sync_q_and_17 (direction OUTPUT)) (port G_1636_Q (direction INPUT)) @@ -712337,14 +712445,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIM70S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIK70S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIM70S_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIK70S_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIM70S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIK70S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -714190,7 +714298,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIJ0RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIH0RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -714446,32 +714554,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0)) )) (net tmp1_17_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIFSFF_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIJ0RS)) + (portRef B (instanceRef result_2_reg_RNIH0RS)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIM70S_1)) - (portRef B (instanceRef result_2_reg_RNIM70S_0)) - (portRef B (instanceRef result_2_reg_RNIM70S)) + (portRef B (instanceRef result_2_reg_RNIK70S_1)) + (portRef B (instanceRef result_2_reg_RNIK70S_0)) + (portRef B (instanceRef result_2_reg_RNIK70S)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIJ0RS)) + (portRef C (instanceRef result_2_reg_RNIH0RS)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIM70S_1)) - (portRef C (instanceRef result_2_reg_RNIM70S_0)) - (portRef C (instanceRef result_2_reg_RNIM70S)) + (portRef C (instanceRef result_2_reg_RNIK70S_1)) + (portRef C (instanceRef result_2_reg_RNIK70S_0)) + (portRef C (instanceRef result_2_reg_RNIK70S)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIM70S)) + (portRef Z (instanceRef result_2_reg_RNIK70S)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -714550,9 +714658,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIM70S_1)) - (portRef A (instanceRef result_2_reg_RNIM70S_0)) - (portRef A (instanceRef result_2_reg_RNIM70S)) + (portRef A (instanceRef result_2_reg_RNIK70S_1)) + (portRef A (instanceRef result_2_reg_RNIK70S_0)) + (portRef A (instanceRef result_2_reg_RNIK70S)) )) (net GND (joined (portRef GND) @@ -714707,7 +714815,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIM70S_0)) + (portRef Z (instanceRef result_2_reg_RNIK70S_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -714785,7 +714893,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIM70S_1)) + (portRef Z (instanceRef result_2_reg_RNIK70S_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -714872,9 +714980,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295615_0 (joined + (net N_295913_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295615_0) + (portRef N_295913_0) )) (net tmp1_17_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -715526,7 +715634,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIJ0RS)) + (portRef Z (instanceRef result_2_reg_RNIH0RS)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -718439,9 +718547,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_26_1 (joined + (net channel_debug_01_i_16_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_26 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_16 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_17 (joined @@ -718640,7 +718748,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_58 (joined + (net stat_reg_48 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -718721,257 +718829,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_26_0 (joined + (net channel_data_i_16_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_26 31)) + (portRef (member channel_data_i_16 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_26_1 (joined + (net channel_data_i_16_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_26 30)) + (portRef (member channel_data_i_16 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_26_2 (joined + (net channel_data_i_16_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_26 29)) + (portRef (member channel_data_i_16 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_26_3 (joined + (net channel_data_i_16_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_26 28)) + (portRef (member channel_data_i_16 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_26_4 (joined + (net channel_data_i_16_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_26 27)) + (portRef (member channel_data_i_16 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_26_5 (joined + (net channel_data_i_16_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_26 26)) + (portRef (member channel_data_i_16 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_26_6 (joined + (net channel_data_i_16_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_26 25)) + (portRef (member channel_data_i_16 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_26_7 (joined + (net channel_data_i_16_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_26 24)) + (portRef (member channel_data_i_16 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_26_8 (joined + (net channel_data_i_16_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_26 23)) + (portRef (member channel_data_i_16 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_26_9 (joined + (net channel_data_i_16_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_26 22)) + (portRef (member channel_data_i_16 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_26_10 (joined + (net channel_data_i_16_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_26 21)) + (portRef (member channel_data_i_16 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_26_11 (joined + (net channel_data_i_16_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_26 20)) + (portRef (member channel_data_i_16 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_26_12 (joined + (net channel_data_i_16_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_26 19)) + (portRef (member channel_data_i_16 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_26_13 (joined + (net channel_data_i_16_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_26 18)) + (portRef (member channel_data_i_16 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_26_14 (joined + (net channel_data_i_16_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_26 17)) + (portRef (member channel_data_i_16 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_26_15 (joined + (net channel_data_i_16_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_26 16)) + (portRef (member channel_data_i_16 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_26_16 (joined + (net channel_data_i_16_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_26 15)) + (portRef (member channel_data_i_16 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_26_17 (joined + (net channel_data_i_16_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_26 14)) + (portRef (member channel_data_i_16 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_26_18 (joined + (net channel_data_i_16_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_26 13)) + (portRef (member channel_data_i_16 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_26_19 (joined + (net channel_data_i_16_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_26 12)) + (portRef (member channel_data_i_16 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_26_20 (joined + (net channel_data_i_16_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_26 11)) + (portRef (member channel_data_i_16 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_26_21 (joined + (net channel_data_i_16_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_26 10)) + (portRef (member channel_data_i_16 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_26_22 (joined + (net channel_data_i_16_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_26 9)) + (portRef (member channel_data_i_16 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_26_23 (joined + (net channel_data_i_16_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_26 8)) + (portRef (member channel_data_i_16 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_26_24 (joined + (net channel_data_i_16_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_26 7)) + (portRef (member channel_data_i_16 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_26_25 (joined + (net channel_data_i_16_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_26 6)) + (portRef (member channel_data_i_16 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_26_26 (joined + (net channel_data_i_16_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_26 5)) + (portRef (member channel_data_i_16 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_26_27 (joined + (net channel_data_i_16_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_26 4)) + (portRef (member channel_data_i_16 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_26_28 (joined + (net channel_data_i_16_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_26 3)) + (portRef (member channel_data_i_16 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_26_29 (joined + (net channel_data_i_16_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_26 2)) + (portRef (member channel_data_i_16 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_26_30 (joined + (net channel_data_i_16_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_26 1)) + (portRef (member channel_data_i_16 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_26_31 (joined + (net channel_data_i_16_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_26 0)) + (portRef (member channel_data_i_16 0)) )) (net sync_q_CR0_ram_DO1_17 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -718990,8 +719098,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r18 (joined - (portRef reset_tdc_rep2_r18) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -719113,40 +719221,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_11 (joined - (portRef reset_tdc_rep2_11) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) + (net reset_tdc_rep1_19 (joined + (portRef reset_tdc_rep1_19) (portRef B (instanceRef result_reg_3_0_i_39)) (portRef B (instanceRef result_reg_3_0_i_38)) (portRef B (instanceRef result_reg_3_0_i_37)) @@ -719333,6 +719409,108 @@ (portRef (member result_i 262) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_41)) )) + (net reset_tdc_rep1_20 (joined + (portRef reset_tdc_rep1_20) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + )) (net result_i_42 (joined (portRef (member result_i 261) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_42)) @@ -719461,108 +719639,6 @@ (portRef (member result_i 230) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_73)) )) - (net reset_tdc_rep2_12 (joined - (portRef reset_tdc_rep2_12) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - )) (net result_i_74 (joined (portRef (member result_i 229) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_74)) @@ -719831,168 +719907,8 @@ (portRef (member result_i 163) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_140)) )) - (net result_i_141 (joined - (portRef (member result_i 162) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_141)) - )) - (net result_i_142 (joined - (portRef (member result_i 161) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_142)) - )) - (net result_i_143 (joined - (portRef (member result_i 160) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_143)) - )) - (net result_i_144 (joined - (portRef (member result_i 159) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_144)) - )) - (net result_i_145 (joined - (portRef (member result_i 158) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_145)) - )) - (net result_i_146 (joined - (portRef (member result_i 157) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_146)) - )) - (net result_i_147 (joined - (portRef (member result_i 156) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_147)) - )) - (net result_i_148 (joined - (portRef (member result_i 155) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_148)) - )) - (net result_i_149 (joined - (portRef (member result_i 154) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_149)) - )) - (net result_i_150 (joined - (portRef (member result_i 153) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_150)) - )) - (net result_i_151 (joined - (portRef (member result_i 152) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_151)) - )) - (net result_i_152 (joined - (portRef (member result_i 151) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_152)) - )) - (net result_i_153 (joined - (portRef (member result_i 150) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_153)) - )) - (net result_i_154 (joined - (portRef (member result_i 149) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_154)) - )) - (net result_i_155 (joined - (portRef (member result_i 148) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_155)) - )) - (net result_i_156 (joined - (portRef (member result_i 147) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_156)) - )) - (net result_i_157 (joined - (portRef (member result_i 146) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_157)) - )) - (net result_i_158 (joined - (portRef (member result_i 145) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_158)) - )) - (net result_i_159 (joined - (portRef (member result_i 144) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_159)) - )) - (net result_i_160 (joined - (portRef (member result_i 143) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_160)) - )) - (net result_i_161 (joined - (portRef (member result_i 142) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_161)) - )) - (net result_i_162 (joined - (portRef (member result_i 141) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_162)) - )) - (net result_i_163 (joined - (portRef (member result_i 140) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_163)) - )) - (net result_i_164 (joined - (portRef (member result_i 139) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_164)) - )) - (net result_i_165 (joined - (portRef (member result_i 138) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_165)) - )) - (net result_i_166 (joined - (portRef (member result_i 137) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_166)) - )) - (net result_i_167 (joined - (portRef (member result_i 136) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_167)) - )) - (net result_i_168 (joined - (portRef (member result_i 135) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_168)) - )) - (net result_i_169 (joined - (portRef (member result_i 134) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_169)) - )) - (net result_i_170 (joined - (portRef (member result_i 133) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_170)) - )) - (net result_i_171 (joined - (portRef (member result_i 132) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_171)) - )) - (net result_i_172 (joined - (portRef (member result_i 131) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_172)) - )) - (net reset_tdc_rep2_13 (joined - (portRef reset_tdc_rep2_13) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) + (net reset_tdc_rep1_21 (joined + (portRef reset_tdc_rep1_21) (portRef B (instanceRef result_reg_3_0_i_237)) (portRef B (instanceRef result_reg_3_0_i_236)) (portRef B (instanceRef result_reg_3_0_i_235)) @@ -720060,6 +719976,166 @@ (portRef B (instanceRef result_reg_3_0_i_173)) (portRef B (instanceRef result_reg_3_0_i_172)) (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + )) + (net result_i_141 (joined + (portRef (member result_i 162) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_141)) + )) + (net result_i_142 (joined + (portRef (member result_i 161) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_142)) + )) + (net result_i_143 (joined + (portRef (member result_i 160) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_143)) + )) + (net result_i_144 (joined + (portRef (member result_i 159) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_144)) + )) + (net result_i_145 (joined + (portRef (member result_i 158) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_145)) + )) + (net result_i_146 (joined + (portRef (member result_i 157) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_146)) + )) + (net result_i_147 (joined + (portRef (member result_i 156) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_147)) + )) + (net result_i_148 (joined + (portRef (member result_i 155) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_148)) + )) + (net result_i_149 (joined + (portRef (member result_i 154) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_149)) + )) + (net result_i_150 (joined + (portRef (member result_i 153) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_150)) + )) + (net result_i_151 (joined + (portRef (member result_i 152) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_151)) + )) + (net result_i_152 (joined + (portRef (member result_i 151) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_152)) + )) + (net result_i_153 (joined + (portRef (member result_i 150) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_153)) + )) + (net result_i_154 (joined + (portRef (member result_i 149) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_154)) + )) + (net result_i_155 (joined + (portRef (member result_i 148) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_155)) + )) + (net result_i_156 (joined + (portRef (member result_i 147) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_156)) + )) + (net result_i_157 (joined + (portRef (member result_i 146) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_157)) + )) + (net result_i_158 (joined + (portRef (member result_i 145) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_158)) + )) + (net result_i_159 (joined + (portRef (member result_i 144) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_159)) + )) + (net result_i_160 (joined + (portRef (member result_i 143) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_160)) + )) + (net result_i_161 (joined + (portRef (member result_i 142) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_161)) + )) + (net result_i_162 (joined + (portRef (member result_i 141) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_162)) + )) + (net result_i_163 (joined + (portRef (member result_i 140) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_163)) + )) + (net result_i_164 (joined + (portRef (member result_i 139) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_164)) + )) + (net result_i_165 (joined + (portRef (member result_i 138) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_165)) + )) + (net result_i_166 (joined + (portRef (member result_i 137) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_166)) + )) + (net result_i_167 (joined + (portRef (member result_i 136) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_167)) + )) + (net result_i_168 (joined + (portRef (member result_i 135) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_168)) + )) + (net result_i_169 (joined + (portRef (member result_i 134) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_169)) + )) + (net result_i_170 (joined + (portRef (member result_i 133) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_170)) + )) + (net result_i_171 (joined + (portRef (member result_i 132) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_171)) + )) + (net result_i_172 (joined + (portRef (member result_i 131) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_172)) )) (net result_i_173 (joined (portRef (member result_i 130) (instanceRef FC)) @@ -720329,6 +720405,75 @@ (portRef (member result_i 64) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_239)) )) + (net reset_tdc_rep1_22 (joined + (portRef reset_tdc_rep1_22) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + )) (net result_i_240 (joined (portRef (member result_i 63) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_240)) @@ -720457,43 +720602,6 @@ (portRef (member result_i 32) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_271)) )) - (net reset_tdc_rep2_14 (joined - (portRef reset_tdc_rep2_14) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - )) (net result_i_272 (joined (portRef (member result_i 31) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_272)) @@ -720622,8 +720730,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_26_0 (joined - (portRef (member channel_debug_01_i_26 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_16_0 (joined + (portRef (member channel_debug_01_i_16 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -720650,9 +720758,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_26_2 (joined - (portRef (member channel_debug_01_i_26 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_26 0) (instanceRef FIFO)) + (net channel_debug_01_i_16_2 (joined + (portRef (member channel_debug_01_i_16 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_16 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -720837,17 +720945,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_20 (joined - (portRef reset_tdc_20) - (portRef reset_tdc_20 (instanceRef FC)) + (net reset_tdc_50 (joined + (portRef reset_tdc_50) + (portRef reset_tdc_50 (instanceRef FC)) )) - (net reset_tdc_19 (joined - (portRef reset_tdc_19) - (portRef reset_tdc_19 (instanceRef FC)) + (net reset_tdc_49 (joined + (portRef reset_tdc_49) + (portRef reset_tdc_49 (instanceRef FC)) )) - (net reset_tdc_18 (joined - (portRef reset_tdc_18) - (portRef reset_tdc_18 (instanceRef FC)) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) + (portRef reset_tdc_48 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -720889,39 +720997,39 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_21 (joined - (portRef reset_tdc_21) - (portRef reset_tdc_21 (instanceRef Encoder)) - (portRef reset_tdc_21 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r13 (joined - (portRef reset_tdc_fast_37_r13) - (portRef reset_tdc_fast_37_r13 (instanceRef Encoder)) + (net reset_tdc_51 (joined + (portRef reset_tdc_51) + (portRef reset_tdc_51 (instanceRef Encoder)) + (portRef reset_tdc_51 (instanceRef FC)) )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_fast_36_r25 (joined + (portRef reset_tdc_fast_36_r25) + (portRef reset_tdc_fast_36_r25 (instanceRef Encoder)) )) - (net reset_tdc_rep2_36 (joined - (portRef reset_tdc_rep2_36) - (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_rep2_35 (joined - (portRef reset_tdc_rep2_35) - (portRef reset_tdc_rep2_35 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIJ0RS)) + (portRef A (instanceRef result_2_reg_RNIH0RS)) )) - (net rd_en_i_26 (joined + (net rd_en_i_16 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef reset_i_4 (instanceRef FIFO)) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef reset_i_11 (instanceRef FIFO)) + )) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef reset_i_10 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -720944,34 +721052,32 @@ ) ) ) - (cell Channel_31 (cellType GENERIC) + (cell Channel_23 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(31:31)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(31:31)") 1) (direction INPUT)) - (port (array (rename channel_data_i_31 "channel_data_i_31(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(63:63)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(23:23)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(23:23)") 1) (direction INPUT)) + (port (array (rename channel_data_i_23 "channel_data_i_23(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(55:55)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1650_Q (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) - (port reset_i_2 (direction INPUT)) + (port reset_i_rep2_1 (direction INPUT)) + (port reset_i_7 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_37_r18 (direction INPUT)) - (port reset_tdc_3 (direction INPUT)) - (port reset_tdc_4 (direction INPUT)) - (port reset_tdc_5 (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) + (port reset_tdc_fast_36_r32 (direction INPUT)) + (port reset_tdc_27 (direction INPUT)) + (port reset_tdc_28 (direction INPUT)) + (port reset_tdc_29 (direction INPUT)) + (port reset_tdc_30 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) + (port reset_tdc_rep2_14 (direction INPUT)) + (port reset_tdc_rep2_13 (direction INPUT)) + (port reset_tdc_rep2_12 (direction INPUT)) (port reset_tdc_rep2_11 (direction INPUT)) - (port reset_tdc_rep2_10 (direction INPUT)) - (port reset_tdc_rep2_9 (direction INPUT)) - (port reset_tdc_rep2_8 (direction INPUT)) - (port reset_tdc_rep2_r20 (direction INPUT)) + (port reset_tdc_rep2_r16 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -720985,7 +721091,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295628_0 (direction OUTPUT)) + (port N_295926_0 (direction OUTPUT)) (port G_1648_Q (direction INPUT)) (port sync_q_and_16 (direction OUTPUT)) (port G_1645_Q (direction INPUT)) @@ -720994,14 +721100,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNICFQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI2PSP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICFQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI2PSP_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICFQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI2PSP_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -722847,7 +722953,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI98LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIVHNQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -723103,32 +723209,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0)) )) (net tmp1_16_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNICP22_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNID7RD_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI98LU)) + (portRef B (instanceRef result_2_reg_RNIVHNQ)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNICFQT_1)) - (portRef B (instanceRef result_2_reg_RNICFQT_0)) - (portRef B (instanceRef result_2_reg_RNICFQT)) + (portRef B (instanceRef result_2_reg_RNI2PSP_1)) + (portRef B (instanceRef result_2_reg_RNI2PSP_0)) + (portRef B (instanceRef result_2_reg_RNI2PSP)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI98LU)) + (portRef C (instanceRef result_2_reg_RNIVHNQ)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNICFQT_1)) - (portRef C (instanceRef result_2_reg_RNICFQT_0)) - (portRef C (instanceRef result_2_reg_RNICFQT)) + (portRef C (instanceRef result_2_reg_RNI2PSP_1)) + (portRef C (instanceRef result_2_reg_RNI2PSP_0)) + (portRef C (instanceRef result_2_reg_RNI2PSP)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNICFQT)) + (portRef Z (instanceRef result_2_reg_RNI2PSP)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -723207,9 +723313,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNICFQT_1)) - (portRef A (instanceRef result_2_reg_RNICFQT_0)) - (portRef A (instanceRef result_2_reg_RNICFQT)) + (portRef A (instanceRef result_2_reg_RNI2PSP_1)) + (portRef A (instanceRef result_2_reg_RNI2PSP_0)) + (portRef A (instanceRef result_2_reg_RNI2PSP)) )) (net GND (joined (portRef GND) @@ -723364,7 +723470,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNICFQT_0)) + (portRef Z (instanceRef result_2_reg_RNI2PSP_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -723442,7 +723548,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNICFQT_1)) + (portRef Z (instanceRef result_2_reg_RNI2PSP_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -723529,9 +723635,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295628_0 (joined + (net N_295926_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295628_0) + (portRef N_295926_0) )) (net tmp1_16_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -724183,7 +724289,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI98LU)) + (portRef Z (instanceRef result_2_reg_RNIVHNQ)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -727096,9 +727202,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_31_1 (joined + (net channel_debug_01_i_23_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_31 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_23 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_16 (joined @@ -727297,7 +727403,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_63 (joined + (net stat_reg_55 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -727378,257 +727484,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_31_0 (joined + (net channel_data_i_23_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_31 31)) + (portRef (member channel_data_i_23 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_31_1 (joined + (net channel_data_i_23_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_31 30)) + (portRef (member channel_data_i_23 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_31_2 (joined + (net channel_data_i_23_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_31 29)) + (portRef (member channel_data_i_23 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_31_3 (joined + (net channel_data_i_23_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_31 28)) + (portRef (member channel_data_i_23 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_31_4 (joined + (net channel_data_i_23_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_31 27)) + (portRef (member channel_data_i_23 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_31_5 (joined + (net channel_data_i_23_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_31 26)) + (portRef (member channel_data_i_23 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_31_6 (joined + (net channel_data_i_23_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_31 25)) + (portRef (member channel_data_i_23 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_31_7 (joined + (net channel_data_i_23_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_31 24)) + (portRef (member channel_data_i_23 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_31_8 (joined + (net channel_data_i_23_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_31 23)) + (portRef (member channel_data_i_23 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_31_9 (joined + (net channel_data_i_23_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_31 22)) + (portRef (member channel_data_i_23 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_31_10 (joined + (net channel_data_i_23_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_31 21)) + (portRef (member channel_data_i_23 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_31_11 (joined + (net channel_data_i_23_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_31 20)) + (portRef (member channel_data_i_23 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_31_12 (joined + (net channel_data_i_23_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_31 19)) + (portRef (member channel_data_i_23 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_31_13 (joined + (net channel_data_i_23_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_31 18)) + (portRef (member channel_data_i_23 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_31_14 (joined + (net channel_data_i_23_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_31 17)) + (portRef (member channel_data_i_23 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_31_15 (joined + (net channel_data_i_23_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_31 16)) + (portRef (member channel_data_i_23 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_31_16 (joined + (net channel_data_i_23_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_31 15)) + (portRef (member channel_data_i_23 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_31_17 (joined + (net channel_data_i_23_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_31 14)) + (portRef (member channel_data_i_23 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_31_18 (joined + (net channel_data_i_23_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_31 13)) + (portRef (member channel_data_i_23 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_31_19 (joined + (net channel_data_i_23_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_31 12)) + (portRef (member channel_data_i_23 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_31_20 (joined + (net channel_data_i_23_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_31 11)) + (portRef (member channel_data_i_23 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_31_21 (joined + (net channel_data_i_23_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_31 10)) + (portRef (member channel_data_i_23 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_31_22 (joined + (net channel_data_i_23_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_31 9)) + (portRef (member channel_data_i_23 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_31_23 (joined + (net channel_data_i_23_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_31 8)) + (portRef (member channel_data_i_23 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_31_24 (joined + (net channel_data_i_23_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_31 7)) + (portRef (member channel_data_i_23 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_31_25 (joined + (net channel_data_i_23_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_31 6)) + (portRef (member channel_data_i_23 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_31_26 (joined + (net channel_data_i_23_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_31 5)) + (portRef (member channel_data_i_23 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_31_27 (joined + (net channel_data_i_23_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_31 4)) + (portRef (member channel_data_i_23 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_31_28 (joined + (net channel_data_i_23_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_31 3)) + (portRef (member channel_data_i_23 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_31_29 (joined + (net channel_data_i_23_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_31 2)) + (portRef (member channel_data_i_23 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_31_30 (joined + (net channel_data_i_23_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_31 1)) + (portRef (member channel_data_i_23 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_31_31 (joined + (net channel_data_i_23_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_31 0)) + (portRef (member channel_data_i_23 0)) )) (net sync_q_CR0_ram_DO1_16 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -727647,8 +727753,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r20 (joined - (portRef reset_tdc_rep2_r20) + (net reset_tdc_rep2_r16 (joined + (portRef reset_tdc_rep2_r16) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -727770,12 +727876,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep2_8 (joined - (portRef reset_tdc_rep2_8) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) + (net reset_tdc_rep2_11 (joined + (portRef reset_tdc_rep2_11) (portRef B (instanceRef result_reg_3_0_i_71)) (portRef B (instanceRef result_reg_3_0_i_70)) (portRef B (instanceRef result_reg_3_0_i_69)) @@ -728122,28 +728224,8 @@ (portRef (member result_i 230) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_73)) )) - (net result_i_74 (joined - (portRef (member result_i 229) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_74)) - )) - (net result_i_75 (joined - (portRef (member result_i 228) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_75)) - )) - (net result_i_76 (joined - (portRef (member result_i 227) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_76)) - )) - (net result_i_77 (joined - (portRef (member result_i 226) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_77)) - )) - (net reset_tdc_rep2_9 (joined - (portRef reset_tdc_rep2_9) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) + (net reset_tdc_rep2_12 (joined + (portRef reset_tdc_rep2_12) (portRef B (instanceRef result_reg_3_0_i_170)) (portRef B (instanceRef result_reg_3_0_i_169)) (portRef B (instanceRef result_reg_3_0_i_168)) @@ -728239,6 +728321,26 @@ (portRef B (instanceRef result_reg_3_0_i_78)) (portRef B (instanceRef result_reg_3_0_i_77)) (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + )) + (net result_i_74 (joined + (portRef (member result_i 229) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_74)) + )) + (net result_i_75 (joined + (portRef (member result_i 228) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_75)) + )) + (net result_i_76 (joined + (portRef (member result_i 227) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_76)) + )) + (net result_i_77 (joined + (portRef (member result_i 226) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_77)) )) (net result_i_78 (joined (portRef (member result_i 225) (instanceRef FC)) @@ -728620,28 +728722,8 @@ (portRef (member result_i 131) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_172)) )) - (net result_i_173 (joined - (portRef (member result_i 130) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_173)) - )) - (net result_i_174 (joined - (portRef (member result_i 129) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_174)) - )) - (net result_i_175 (joined - (portRef (member result_i 128) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_175)) - )) - (net result_i_176 (joined - (portRef (member result_i 127) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_176)) - )) - (net reset_tdc_rep2_10 (joined - (portRef reset_tdc_rep2_10) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) + (net reset_tdc_rep2_13 (joined + (portRef reset_tdc_rep2_13) (portRef B (instanceRef result_reg_3_0_i_269)) (portRef B (instanceRef result_reg_3_0_i_268)) (portRef B (instanceRef result_reg_3_0_i_267)) @@ -728737,6 +728819,26 @@ (portRef B (instanceRef result_reg_3_0_i_177)) (portRef B (instanceRef result_reg_3_0_i_176)) (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + )) + (net result_i_173 (joined + (portRef (member result_i 130) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_173)) + )) + (net result_i_174 (joined + (portRef (member result_i 129) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_174)) + )) + (net result_i_175 (joined + (portRef (member result_i 128) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_175)) + )) + (net result_i_176 (joined + (portRef (member result_i 127) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_176)) )) (net result_i_177 (joined (portRef (member result_i 126) (instanceRef FC)) @@ -729118,24 +729220,8 @@ (portRef (member result_i 32) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_271)) )) - (net result_i_272 (joined - (portRef (member result_i 31) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_272)) - )) - (net result_i_273 (joined - (portRef (member result_i 30) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_273)) - )) - (net result_i_274 (joined - (portRef (member result_i 29) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_274)) - )) - (net result_i_275 (joined - (portRef (member result_i 28) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_275)) - )) - (net reset_tdc_rep2_11 (joined - (portRef reset_tdc_rep2_11) + (net reset_tdc_rep2_14 (joined + (portRef reset_tdc_rep2_14) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -729166,6 +729252,26 @@ (portRef B (instanceRef result_reg_3_0_i_276)) (portRef B (instanceRef result_reg_3_0_i_275)) (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + )) + (net result_i_272 (joined + (portRef (member result_i 31) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_272)) + )) + (net result_i_273 (joined + (portRef (member result_i 30) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_273)) + )) + (net result_i_274 (joined + (portRef (member result_i 29) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_274)) + )) + (net result_i_275 (joined + (portRef (member result_i 28) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_275)) )) (net result_i_276 (joined (portRef (member result_i 27) (instanceRef FC)) @@ -729279,8 +729385,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_31_0 (joined - (portRef (member channel_debug_01_i_31 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_23_0 (joined + (portRef (member channel_debug_01_i_23 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -729307,9 +729413,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_31_2 (joined - (portRef (member channel_debug_01_i_31 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_31 0) (instanceRef FIFO)) + (net channel_debug_01_i_23_2 (joined + (portRef (member channel_debug_01_i_23 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_23 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -729494,17 +729600,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_5 (joined - (portRef reset_tdc_5) - (portRef reset_tdc_5 (instanceRef FC)) + (net reset_tdc_29 (joined + (portRef reset_tdc_29) + (portRef reset_tdc_29 (instanceRef FC)) )) - (net reset_tdc_4 (joined - (portRef reset_tdc_4) - (portRef reset_tdc_4 (instanceRef FC)) + (net reset_tdc_28 (joined + (portRef reset_tdc_28) + (portRef reset_tdc_28 (instanceRef FC)) )) - (net reset_tdc_3 (joined - (portRef reset_tdc_3) - (portRef reset_tdc_3 (instanceRef FC)) + (net reset_tdc_27 (joined + (portRef reset_tdc_27) + (portRef reset_tdc_27 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -729546,18 +729652,14 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef reset_tdc_6 (instanceRef Encoder)) - (portRef reset_tdc_6 (instanceRef FC)) - )) - (net reset_tdc_fast_37_r18 (joined - (portRef reset_tdc_fast_37_r18) - (portRef reset_tdc_fast_37_r18 (instanceRef Encoder)) + (net reset_tdc_30 (joined + (portRef reset_tdc_30) + (portRef reset_tdc_30 (instanceRef Encoder)) + (portRef reset_tdc_30 (instanceRef FC)) )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + (net reset_tdc_fast_36_r32 (joined + (portRef reset_tdc_fast_36_r32) + (portRef reset_tdc_fast_36_r32 (instanceRef Encoder)) )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) @@ -729570,23 +729672,19 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI98LU)) + (portRef A (instanceRef result_2_reg_RNIVHNQ)) )) - (net rd_en_i_31 (joined + (net rd_en_i_23 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef reset_i_2 (instanceRef FIFO)) - )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef reset_i_1_1 (instanceRef FIFO)) + (net reset_i_7 (joined + (portRef reset_i_7) + (portRef reset_i_7 (instanceRef FIFO)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef FIFO)) + (net reset_i_rep2_1 (joined + (portRef reset_i_rep2_1) + (portRef reset_i_rep2_1 (instanceRef FIFO)) )) (net G_1648_Q (joined (portRef G_1648_Q) @@ -729605,33 +729703,34 @@ ) ) ) - (cell Channel_14 (cellType GENERIC) + (cell Channel_28 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(14:14)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(14:14)") 1) (direction INPUT)) - (port (array (rename channel_data_i_14 "channel_data_i_14(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(46:46)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(28:28)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(28:28)") 1) (direction INPUT)) + (port (array (rename channel_data_i_28 "channel_data_i_28(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(60:60)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1659_Q (direction INPUT)) + (port reset_i_rep2 (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_10 (direction INPUT)) - (port reset_i_11 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r23 (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) - (port reset_tdc_55 (direction INPUT)) - (port reset_tdc_56 (direction INPUT)) - (port reset_tdc_57 (direction INPUT)) + (port reset_i_4 (direction INPUT)) + (port reset_tdc_rep2_35 (direction INPUT)) + (port reset_tdc_rep2_36 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r15 (direction INPUT)) + (port reset_tdc_12 (direction INPUT)) + (port reset_tdc_13 (direction INPUT)) + (port reset_tdc_14 (direction INPUT)) + (port reset_tdc_15 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_23 (direction INPUT)) - (port reset_tdc_rep1_22 (direction INPUT)) - (port reset_tdc_rep1_21 (direction INPUT)) - (port reset_tdc_rep1_20 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) + (port reset_tdc_rep2_11 (direction INPUT)) + (port reset_tdc_rep2_10 (direction INPUT)) + (port reset_tdc_rep2_9 (direction INPUT)) + (port reset_tdc_rep2_8 (direction INPUT)) + (port reset_tdc_rep2_r18 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -729645,7 +729744,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295641_0 (direction OUTPUT)) + (port N_295939_0 (direction OUTPUT)) (port G_1657_Q (direction INPUT)) (port sync_q_and_15 (direction OUTPUT)) (port G_1654_Q (direction INPUT)) @@ -729654,14 +729753,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNISTTV (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIEH2O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNISTTV_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIEH2O_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNISTTV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIEH2O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -731507,7 +731606,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIPMO01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIBATO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -731763,32 +731862,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0)) )) (net tmp1_15_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIDEN3_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIA8B_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIPMO01)) + (portRef B (instanceRef result_2_reg_RNIBATO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNISTTV_1)) - (portRef B (instanceRef result_2_reg_RNISTTV_0)) - (portRef B (instanceRef result_2_reg_RNISTTV)) + (portRef B (instanceRef result_2_reg_RNIEH2O_1)) + (portRef B (instanceRef result_2_reg_RNIEH2O_0)) + (portRef B (instanceRef result_2_reg_RNIEH2O)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIPMO01)) + (portRef C (instanceRef result_2_reg_RNIBATO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNISTTV_1)) - (portRef C (instanceRef result_2_reg_RNISTTV_0)) - (portRef C (instanceRef result_2_reg_RNISTTV)) + (portRef C (instanceRef result_2_reg_RNIEH2O_1)) + (portRef C (instanceRef result_2_reg_RNIEH2O_0)) + (portRef C (instanceRef result_2_reg_RNIEH2O)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNISTTV)) + (portRef Z (instanceRef result_2_reg_RNIEH2O)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -731867,9 +731966,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNISTTV_1)) - (portRef A (instanceRef result_2_reg_RNISTTV_0)) - (portRef A (instanceRef result_2_reg_RNISTTV)) + (portRef A (instanceRef result_2_reg_RNIEH2O_1)) + (portRef A (instanceRef result_2_reg_RNIEH2O_0)) + (portRef A (instanceRef result_2_reg_RNIEH2O)) )) (net GND (joined (portRef GND) @@ -732024,7 +732123,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNISTTV_0)) + (portRef Z (instanceRef result_2_reg_RNIEH2O_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -732102,7 +732201,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNISTTV_1)) + (portRef Z (instanceRef result_2_reg_RNIEH2O_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -732189,9 +732288,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295641_0 (joined + (net N_295939_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295641_0) + (portRef N_295939_0) )) (net tmp1_15_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -732843,7 +732942,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIPMO01)) + (portRef Z (instanceRef result_2_reg_RNIBATO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -735756,9 +735855,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_14_1 (joined + (net channel_debug_01_i_28_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_14 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_28 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_15 (joined @@ -735957,7 +736056,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_46 (joined + (net stat_reg_60 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -736038,257 +736137,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_14_0 (joined + (net channel_data_i_28_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_14 31)) + (portRef (member channel_data_i_28 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_14_1 (joined + (net channel_data_i_28_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_14 30)) + (portRef (member channel_data_i_28 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_14_2 (joined + (net channel_data_i_28_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_14 29)) + (portRef (member channel_data_i_28 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_14_3 (joined + (net channel_data_i_28_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_14 28)) + (portRef (member channel_data_i_28 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_14_4 (joined + (net channel_data_i_28_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_14 27)) + (portRef (member channel_data_i_28 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_14_5 (joined + (net channel_data_i_28_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_14 26)) + (portRef (member channel_data_i_28 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_14_6 (joined + (net channel_data_i_28_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_14 25)) + (portRef (member channel_data_i_28 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_14_7 (joined + (net channel_data_i_28_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_14 24)) + (portRef (member channel_data_i_28 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_14_8 (joined + (net channel_data_i_28_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_14 23)) + (portRef (member channel_data_i_28 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_14_9 (joined + (net channel_data_i_28_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_14 22)) + (portRef (member channel_data_i_28 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_14_10 (joined + (net channel_data_i_28_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_14 21)) + (portRef (member channel_data_i_28 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_14_11 (joined + (net channel_data_i_28_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_14 20)) + (portRef (member channel_data_i_28 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_14_12 (joined + (net channel_data_i_28_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_14 19)) + (portRef (member channel_data_i_28 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_14_13 (joined + (net channel_data_i_28_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_14 18)) + (portRef (member channel_data_i_28 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_14_14 (joined + (net channel_data_i_28_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_14 17)) + (portRef (member channel_data_i_28 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_14_15 (joined + (net channel_data_i_28_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_14 16)) + (portRef (member channel_data_i_28 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_14_16 (joined + (net channel_data_i_28_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_14 15)) + (portRef (member channel_data_i_28 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_14_17 (joined + (net channel_data_i_28_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_14 14)) + (portRef (member channel_data_i_28 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_14_18 (joined + (net channel_data_i_28_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_14 13)) + (portRef (member channel_data_i_28 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_14_19 (joined + (net channel_data_i_28_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_14 12)) + (portRef (member channel_data_i_28 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_14_20 (joined + (net channel_data_i_28_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_14 11)) + (portRef (member channel_data_i_28 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_14_21 (joined + (net channel_data_i_28_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_14 10)) + (portRef (member channel_data_i_28 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_14_22 (joined + (net channel_data_i_28_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_14 9)) + (portRef (member channel_data_i_28 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_14_23 (joined + (net channel_data_i_28_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_14 8)) + (portRef (member channel_data_i_28 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_14_24 (joined + (net channel_data_i_28_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_14 7)) + (portRef (member channel_data_i_28 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_14_25 (joined + (net channel_data_i_28_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_14 6)) + (portRef (member channel_data_i_28 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_14_26 (joined + (net channel_data_i_28_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_14 5)) + (portRef (member channel_data_i_28 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_14_27 (joined + (net channel_data_i_28_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_14 4)) + (portRef (member channel_data_i_28 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_14_28 (joined + (net channel_data_i_28_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_14 3)) + (portRef (member channel_data_i_28 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_14_29 (joined + (net channel_data_i_28_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_14 2)) + (portRef (member channel_data_i_28 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_14_30 (joined + (net channel_data_i_28_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_14 1)) + (portRef (member channel_data_i_28 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_14_31 (joined + (net channel_data_i_28_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_14 0)) + (portRef (member channel_data_i_28 0)) )) (net sync_q_CR0_ram_DO1_15 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -736307,8 +736406,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) + (net reset_tdc_rep2_r18 (joined + (portRef reset_tdc_rep2_r18) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -736430,8 +736529,56 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_20 (joined - (portRef reset_tdc_rep1_20) + (net reset_tdc_rep2_8 (joined + (portRef reset_tdc_rep2_8) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) (portRef B (instanceRef result_reg_3_0_i_27)) (portRef B (instanceRef result_reg_3_0_i_26)) (portRef B (instanceRef result_reg_3_0_i_25)) @@ -736558,108 +736705,6 @@ (portRef (member result_i 274) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_29)) )) - (net reset_tdc_rep1_21 (joined - (portRef reset_tdc_rep1_21) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - )) (net result_i_30 (joined (portRef (member result_i 273) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_30)) @@ -736852,6 +736897,108 @@ (portRef (member result_i 226) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_77)) )) + (net reset_tdc_rep2_9 (joined + (portRef reset_tdc_rep2_9) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + )) (net result_i_78 (joined (portRef (member result_i 225) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_78)) @@ -737056,108 +737203,6 @@ (portRef (member result_i 175) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_128)) )) - (net reset_tdc_rep1_22 (joined - (portRef reset_tdc_rep1_22) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - )) (net result_i_129 (joined (portRef (member result_i 174) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_129)) @@ -737350,6 +737395,108 @@ (portRef (member result_i 127) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_176)) )) + (net reset_tdc_rep2_10 (joined + (portRef reset_tdc_rep2_10) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + )) (net result_i_177 (joined (portRef (member result_i 126) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_177)) @@ -737554,87 +737701,6 @@ (portRef (member result_i 76) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_227)) )) - (net reset_tdc_rep1_23 (joined - (portRef reset_tdc_rep1_23) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - )) (net result_i_228 (joined (portRef (member result_i 75) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_228)) @@ -737827,6 +737893,39 @@ (portRef (member result_i 28) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_275)) )) + (net reset_tdc_rep2_11 (joined + (portRef reset_tdc_rep2_11) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + )) (net result_i_276 (joined (portRef (member result_i 27) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_276)) @@ -737939,8 +738038,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_14_0 (joined - (portRef (member channel_debug_01_i_14 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_28_0 (joined + (portRef (member channel_debug_01_i_28 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -737967,9 +738066,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_14_2 (joined - (portRef (member channel_debug_01_i_14 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_14 0) (instanceRef FIFO)) + (net channel_debug_01_i_28_2 (joined + (portRef (member channel_debug_01_i_28 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_28 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -738154,17 +738253,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_56 (joined - (portRef reset_tdc_56) - (portRef reset_tdc_56 (instanceRef FC)) + (net reset_tdc_14 (joined + (portRef reset_tdc_14) + (portRef reset_tdc_14 (instanceRef FC)) )) - (net reset_tdc_55 (joined - (portRef reset_tdc_55) - (portRef reset_tdc_55 (instanceRef FC)) + (net reset_tdc_13 (joined + (portRef reset_tdc_13) + (portRef reset_tdc_13 (instanceRef FC)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) - (portRef reset_tdc_54 (instanceRef FC)) + (net reset_tdc_12 (joined + (portRef reset_tdc_12) + (portRef reset_tdc_12 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -738206,44 +738305,48 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_57 (joined - (portRef reset_tdc_57) - (portRef reset_tdc_57 (instanceRef Encoder)) - (portRef reset_tdc_57 (instanceRef FC)) + (net reset_tdc_15 (joined + (portRef reset_tdc_15) + (portRef reset_tdc_15 (instanceRef Encoder)) + (portRef reset_tdc_15 (instanceRef FC)) )) - (net reset_tdc_fast_36_r23 (joined - (portRef reset_tdc_fast_36_r23) - (portRef reset_tdc_fast_36_r23 (instanceRef Encoder)) + (net reset_tdc_fast_37_r15 (joined + (portRef reset_tdc_fast_37_r15) + (portRef reset_tdc_fast_37_r15 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_rep2_36 (joined + (portRef reset_tdc_rep2_36) + (portRef reset_tdc_rep2_36 (instanceRef Encoder)) + )) + (net reset_tdc_rep2_35 (joined + (portRef reset_tdc_rep2_35) + (portRef reset_tdc_rep2_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIPMO01)) + (portRef A (instanceRef result_2_reg_RNIBATO)) )) - (net rd_en_i_14 (joined + (net rd_en_i_28 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef reset_i_11 (instanceRef FIFO)) - )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef reset_i_10 (instanceRef FIFO)) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef reset_i_4 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef reset_i_rep2_1 (instanceRef FIFO)) )) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef FIFO)) + )) (net G_1657_Q (joined (portRef G_1657_Q) (portRef G_1657_Q (instanceRef Edge_To_Pulse_Hit)) @@ -738261,34 +738364,33 @@ ) ) ) - (cell Channel_30 (cellType GENERIC) + (cell Channel_26 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(30:30)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(30:30)") 1) (direction INPUT)) - (port (array (rename channel_data_i_30 "channel_data_i_30(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(62:62)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(26:26)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(26:26)") 1) (direction INPUT)) + (port (array (rename channel_data_i_26 "channel_data_i_26(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(58:58)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1668_Q (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_2 (direction INPUT)) + (port reset_i_5 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) - (port reset_tdc_fast_37_r20 (direction INPUT)) - (port reset_tdc_fast_37_r17 (direction INPUT)) - (port reset_tdc_6 (direction INPUT)) - (port reset_tdc_7 (direction INPUT)) - (port reset_tdc_8 (direction INPUT)) - (port reset_tdc_9 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r13 (direction INPUT)) + (port reset_tdc_18 (direction INPUT)) + (port reset_tdc_19 (direction INPUT)) + (port reset_tdc_20 (direction INPUT)) + (port reset_tdc_21 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_rep2_8 (direction INPUT)) (port reset_tdc_rep2_7 (direction INPUT)) (port reset_tdc_rep2_6 (direction INPUT)) (port reset_tdc_rep2_5 (direction INPUT)) - (port reset_tdc_rep2_r19 (direction INPUT)) + (port reset_tdc_rep2_r17 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -738302,7 +738404,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295654_0 (direction OUTPUT)) + (port N_295952_0 (direction OUTPUT)) (port G_1666_Q (direction INPUT)) (port sync_q_and_14 (direction OUTPUT)) (port G_1663_Q (direction INPUT)) @@ -738311,14 +738413,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIGAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIM70S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIGAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIM70S_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIGAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIM70S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -740164,7 +740266,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNID3KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIJ0RS (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -740420,32 +740522,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0)) )) (net tmp1_14_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBI6C_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIGSFF_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNID3KO)) + (portRef B (instanceRef result_2_reg_RNIJ0RS)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIGAPN_1)) - (portRef B (instanceRef result_2_reg_RNIGAPN_0)) - (portRef B (instanceRef result_2_reg_RNIGAPN)) + (portRef B (instanceRef result_2_reg_RNIM70S_1)) + (portRef B (instanceRef result_2_reg_RNIM70S_0)) + (portRef B (instanceRef result_2_reg_RNIM70S)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNID3KO)) + (portRef C (instanceRef result_2_reg_RNIJ0RS)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIGAPN_1)) - (portRef C (instanceRef result_2_reg_RNIGAPN_0)) - (portRef C (instanceRef result_2_reg_RNIGAPN)) + (portRef C (instanceRef result_2_reg_RNIM70S_1)) + (portRef C (instanceRef result_2_reg_RNIM70S_0)) + (portRef C (instanceRef result_2_reg_RNIM70S)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIGAPN)) + (portRef Z (instanceRef result_2_reg_RNIM70S)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -740524,9 +740626,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIGAPN_1)) - (portRef A (instanceRef result_2_reg_RNIGAPN_0)) - (portRef A (instanceRef result_2_reg_RNIGAPN)) + (portRef A (instanceRef result_2_reg_RNIM70S_1)) + (portRef A (instanceRef result_2_reg_RNIM70S_0)) + (portRef A (instanceRef result_2_reg_RNIM70S)) )) (net GND (joined (portRef GND) @@ -740681,7 +740783,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIGAPN_0)) + (portRef Z (instanceRef result_2_reg_RNIM70S_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -740759,7 +740861,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIGAPN_1)) + (portRef Z (instanceRef result_2_reg_RNIM70S_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -740846,9 +740948,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295654_0 (joined + (net N_295952_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295654_0) + (portRef N_295952_0) )) (net tmp1_14_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -741500,7 +741602,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNID3KO)) + (portRef Z (instanceRef result_2_reg_RNIJ0RS)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -744413,9 +744515,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_30_1 (joined + (net channel_debug_01_i_26_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_30 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_26 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_14 (joined @@ -744614,7 +744716,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_62 (joined + (net stat_reg_58 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -744695,257 +744797,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_30_0 (joined + (net channel_data_i_26_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_30 31)) + (portRef (member channel_data_i_26 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_30_1 (joined + (net channel_data_i_26_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_30 30)) + (portRef (member channel_data_i_26 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_30_2 (joined + (net channel_data_i_26_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_30 29)) + (portRef (member channel_data_i_26 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_30_3 (joined + (net channel_data_i_26_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_30 28)) + (portRef (member channel_data_i_26 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_30_4 (joined + (net channel_data_i_26_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_30 27)) + (portRef (member channel_data_i_26 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_30_5 (joined + (net channel_data_i_26_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_30 26)) + (portRef (member channel_data_i_26 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_30_6 (joined + (net channel_data_i_26_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_30 25)) + (portRef (member channel_data_i_26 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_30_7 (joined + (net channel_data_i_26_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_30 24)) + (portRef (member channel_data_i_26 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_30_8 (joined + (net channel_data_i_26_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_30 23)) + (portRef (member channel_data_i_26 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_30_9 (joined + (net channel_data_i_26_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_30 22)) + (portRef (member channel_data_i_26 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_30_10 (joined + (net channel_data_i_26_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_30 21)) + (portRef (member channel_data_i_26 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_30_11 (joined + (net channel_data_i_26_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_30 20)) + (portRef (member channel_data_i_26 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_30_12 (joined + (net channel_data_i_26_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_30 19)) + (portRef (member channel_data_i_26 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_30_13 (joined + (net channel_data_i_26_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_30 18)) + (portRef (member channel_data_i_26 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_30_14 (joined + (net channel_data_i_26_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_30 17)) + (portRef (member channel_data_i_26 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_30_15 (joined + (net channel_data_i_26_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_30 16)) + (portRef (member channel_data_i_26 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_30_16 (joined + (net channel_data_i_26_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_30 15)) + (portRef (member channel_data_i_26 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_30_17 (joined + (net channel_data_i_26_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_30 14)) + (portRef (member channel_data_i_26 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_30_18 (joined + (net channel_data_i_26_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_30 13)) + (portRef (member channel_data_i_26 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_30_19 (joined + (net channel_data_i_26_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_30 12)) + (portRef (member channel_data_i_26 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_30_20 (joined + (net channel_data_i_26_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_30 11)) + (portRef (member channel_data_i_26 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_30_21 (joined + (net channel_data_i_26_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_30 10)) + (portRef (member channel_data_i_26 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_30_22 (joined + (net channel_data_i_26_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_30 9)) + (portRef (member channel_data_i_26 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_30_23 (joined + (net channel_data_i_26_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_30 8)) + (portRef (member channel_data_i_26 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_30_24 (joined + (net channel_data_i_26_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_30 7)) + (portRef (member channel_data_i_26 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_30_25 (joined + (net channel_data_i_26_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_30 6)) + (portRef (member channel_data_i_26 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_30_26 (joined + (net channel_data_i_26_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_30 5)) + (portRef (member channel_data_i_26 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_30_27 (joined + (net channel_data_i_26_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_30 4)) + (portRef (member channel_data_i_26 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_30_28 (joined + (net channel_data_i_26_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_30 3)) + (portRef (member channel_data_i_26 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_30_29 (joined + (net channel_data_i_26_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_30 2)) + (portRef (member channel_data_i_26 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_30_30 (joined + (net channel_data_i_26_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_30 1)) + (portRef (member channel_data_i_26 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_30_31 (joined + (net channel_data_i_26_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_30 0)) + (portRef (member channel_data_i_26 0)) )) (net sync_q_CR0_ram_DO1_14 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -744964,8 +745066,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep2_r19 (joined - (portRef reset_tdc_rep2_r19) + (net reset_tdc_rep2_r17 (joined + (portRef reset_tdc_rep2_r17) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -746596,8 +746698,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_30_0 (joined - (portRef (member channel_debug_01_i_30 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_26_0 (joined + (portRef (member channel_debug_01_i_26 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -746624,9 +746726,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_30_2 (joined - (portRef (member channel_debug_01_i_30 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_30 0) (instanceRef FIFO)) + (net channel_debug_01_i_26_2 (joined + (portRef (member channel_debug_01_i_26 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_26 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -746811,17 +746913,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_8 (joined - (portRef reset_tdc_8) - (portRef reset_tdc_8 (instanceRef FC)) + (net reset_tdc_20 (joined + (portRef reset_tdc_20) + (portRef reset_tdc_20 (instanceRef FC)) )) - (net reset_tdc_7 (joined - (portRef reset_tdc_7) - (portRef reset_tdc_7 (instanceRef FC)) + (net reset_tdc_19 (joined + (portRef reset_tdc_19) + (portRef reset_tdc_19 (instanceRef FC)) )) - (net reset_tdc_6 (joined - (portRef reset_tdc_6) - (portRef reset_tdc_6 (instanceRef FC)) + (net reset_tdc_18 (joined + (portRef reset_tdc_18) + (portRef reset_tdc_18 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -746863,18 +746965,18 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_9 (joined - (portRef reset_tdc_9) - (portRef reset_tdc_9 (instanceRef Encoder)) - (portRef reset_tdc_9 (instanceRef FC)) + (net reset_tdc_21 (joined + (portRef reset_tdc_21) + (portRef reset_tdc_21 (instanceRef Encoder)) + (portRef reset_tdc_21 (instanceRef FC)) )) - (net reset_tdc_fast_37_r17 (joined - (portRef reset_tdc_fast_37_r17) - (portRef reset_tdc_fast_37_r17 (instanceRef Encoder)) + (net reset_tdc_fast_37_r13 (joined + (portRef reset_tdc_fast_37_r13) + (portRef reset_tdc_fast_37_r13 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r20 (joined - (portRef reset_tdc_fast_37_r20) - (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) )) (net reset_tdc_rep2_36 (joined (portRef reset_tdc_rep2_36) @@ -746887,24 +746989,20 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNID3KO)) + (portRef A (instanceRef result_2_reg_RNIJ0RS)) )) - (net rd_en_i_30 (joined + (net rd_en_i_26 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef reset_i_2 (instanceRef FIFO)) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef reset_i_5 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) (portRef reset_i_rep2_1 (instanceRef FIFO)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef FIFO)) - )) (net G_1666_Q (joined (portRef G_1666_Q) (portRef G_1666_Q (instanceRef Edge_To_Pulse_Hit)) @@ -746922,32 +747020,37 @@ ) ) ) - (cell Channel_15 (cellType GENERIC) + (cell Channel_11 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(15:15)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(15:15)") 1) (direction INPUT)) - (port (array (rename channel_data_i_15 "channel_data_i_15(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(47:47)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(11:11)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(11:11)") 1) (direction INPUT)) + (port (array (rename channel_data_i_11 "channel_data_i_11(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(43:43)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(11:11)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1677_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_10 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r24 (direction INPUT)) - (port reset_tdc_51 (direction INPUT)) - (port reset_tdc_52 (direction INPUT)) - (port reset_tdc_53 (direction INPUT)) - (port reset_tdc_54 (direction INPUT)) + (port reset_i_13 (direction INPUT)) + (port reset_i_14 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) + (port reset_tdc_fast_36_r1 (direction INPUT)) + (port reset_tdc_fast_37_r11 (direction INPUT)) + (port reset_tdc_fast_37_r20 (direction INPUT)) + (port reset_tdc_fast_36_r19 (direction INPUT)) + (port reset_tdc_64 (direction INPUT)) + (port reset_tdc_65 (direction INPUT)) + (port reset_tdc_66 (direction INPUT)) + (port reset_tdc_67 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_20 (direction INPUT)) (port reset_tdc_rep1_19 (direction INPUT)) (port reset_tdc_rep1_18 (direction INPUT)) (port reset_tdc_rep1_17 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) + (port reset_tdc_fast_32 (direction INPUT)) + (port reset_tdc_fast_31 (direction INPUT)) + (port reset_tdc_fast_3 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -746961,7 +747064,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295667_0 (direction OUTPUT)) + (port N_295965_0 (direction OUTPUT)) (port G_1675_Q (direction INPUT)) (port sync_q_and_13 (direction OUTPUT)) (port G_1672_Q (direction INPUT)) @@ -746970,14 +747073,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIO2VL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI8FQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIO2VL_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI8FQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIO2VL_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI8FQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -746986,10 +747089,10 @@ (instance GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) (instance result_reg_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -747774,6 +747877,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -748823,7 +748928,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNILRPM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI58LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -749077,34 +749182,34 @@ (net tmp1_13_0 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0)) )) (net tmp1_13_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIELJ9_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAP22_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNILRPM)) + (portRef B (instanceRef result_2_reg_RNI58LU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIO2VL_1)) - (portRef B (instanceRef result_2_reg_RNIO2VL_0)) - (portRef B (instanceRef result_2_reg_RNIO2VL)) + (portRef B (instanceRef result_2_reg_RNI8FQT_1)) + (portRef B (instanceRef result_2_reg_RNI8FQT_0)) + (portRef B (instanceRef result_2_reg_RNI8FQT)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNILRPM)) + (portRef C (instanceRef result_2_reg_RNI58LU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIO2VL_1)) - (portRef C (instanceRef result_2_reg_RNIO2VL_0)) - (portRef C (instanceRef result_2_reg_RNIO2VL)) + (portRef C (instanceRef result_2_reg_RNI8FQT_1)) + (portRef C (instanceRef result_2_reg_RNI8FQT_0)) + (portRef C (instanceRef result_2_reg_RNI8FQT)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIO2VL)) + (portRef Z (instanceRef result_2_reg_RNI8FQT)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -749183,9 +749288,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIO2VL_1)) - (portRef A (instanceRef result_2_reg_RNIO2VL_0)) - (portRef A (instanceRef result_2_reg_RNIO2VL)) + (portRef A (instanceRef result_2_reg_RNI8FQT_1)) + (portRef A (instanceRef result_2_reg_RNI8FQT_0)) + (portRef A (instanceRef result_2_reg_RNI8FQT)) )) (net GND (joined (portRef GND) @@ -749340,7 +749445,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIO2VL_0)) + (portRef Z (instanceRef result_2_reg_RNI8FQT_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -749418,7 +749523,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIO2VL_1)) + (portRef Z (instanceRef result_2_reg_RNI8FQT_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -749505,24 +749610,24 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295667_0 (joined + (net N_295965_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295667_0) + (portRef N_295965_0) )) (net tmp1_13_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) (portRef WAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - )) - (net tmp2_13_1 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) + (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) )) (net tmp3_13_1 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) + (net tmp2_13_1 (joined + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) + (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) + )) (net result_i_0 (joined (portRef (member result_i 303) (instanceRef FC)) (portRef D (instanceRef result_reg_0)) @@ -750159,7 +750264,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNILRPM)) + (portRef Z (instanceRef result_2_reg_RNI58LU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -753072,9 +753177,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_15_1 (joined + (net channel_debug_01_i_11_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_15 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_11 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_13 (joined @@ -753269,18 +753374,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_11 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_47 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -753317,6 +753422,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -753353,258 +753459,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_15_0 (joined + (net stat_reg_43 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_11_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_15 31)) + (portRef (member channel_data_i_11 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_15_1 (joined + (net channel_data_i_11_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_15 30)) + (portRef (member channel_data_i_11 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_15_2 (joined + (net channel_data_i_11_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_15 29)) + (portRef (member channel_data_i_11 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_15_3 (joined + (net channel_data_i_11_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_15 28)) + (portRef (member channel_data_i_11 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_15_4 (joined + (net channel_data_i_11_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_15 27)) + (portRef (member channel_data_i_11 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_15_5 (joined + (net channel_data_i_11_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_15 26)) + (portRef (member channel_data_i_11 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_15_6 (joined + (net channel_data_i_11_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_15 25)) + (portRef (member channel_data_i_11 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_15_7 (joined + (net channel_data_i_11_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_15 24)) + (portRef (member channel_data_i_11 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_15_8 (joined + (net channel_data_i_11_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_15 23)) + (portRef (member channel_data_i_11 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_15_9 (joined + (net channel_data_i_11_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_15 22)) + (portRef (member channel_data_i_11 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_15_10 (joined + (net channel_data_i_11_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_15 21)) + (portRef (member channel_data_i_11 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_15_11 (joined + (net channel_data_i_11_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_15 20)) + (portRef (member channel_data_i_11 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_15_12 (joined + (net channel_data_i_11_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_15 19)) + (portRef (member channel_data_i_11 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_15_13 (joined + (net channel_data_i_11_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_15 18)) + (portRef (member channel_data_i_11 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_15_14 (joined + (net channel_data_i_11_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_15 17)) + (portRef (member channel_data_i_11 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_15_15 (joined + (net channel_data_i_11_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_15 16)) + (portRef (member channel_data_i_11 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_15_16 (joined + (net channel_data_i_11_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_15 15)) + (portRef (member channel_data_i_11 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_15_17 (joined + (net channel_data_i_11_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_15 14)) + (portRef (member channel_data_i_11 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_15_18 (joined + (net channel_data_i_11_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_15 13)) + (portRef (member channel_data_i_11 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_15_19 (joined + (net channel_data_i_11_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_15 12)) + (portRef (member channel_data_i_11 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_15_20 (joined + (net channel_data_i_11_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_15 11)) + (portRef (member channel_data_i_11 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_15_21 (joined + (net channel_data_i_11_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_15 10)) + (portRef (member channel_data_i_11 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_15_22 (joined + (net channel_data_i_11_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_15 9)) + (portRef (member channel_data_i_11 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_15_23 (joined + (net channel_data_i_11_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_15 8)) + (portRef (member channel_data_i_11 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_15_24 (joined + (net channel_data_i_11_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_15 7)) + (portRef (member channel_data_i_11 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_15_25 (joined + (net channel_data_i_11_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_15 6)) + (portRef (member channel_data_i_11 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_15_26 (joined + (net channel_data_i_11_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_15 5)) + (portRef (member channel_data_i_11 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_15_27 (joined + (net channel_data_i_11_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_15 4)) + (portRef (member channel_data_i_11 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_15_28 (joined + (net channel_data_i_11_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_15 3)) + (portRef (member channel_data_i_11 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_15_29 (joined + (net channel_data_i_11_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_15 2)) + (portRef (member channel_data_i_11 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_15_30 (joined + (net channel_data_i_11_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_15 1)) + (portRef (member channel_data_i_11 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_15_31 (joined + (net channel_data_i_11_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_15 0)) + (portRef (member channel_data_i_11 0)) )) (net sync_q_CR0_ram_DO1_13 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -753623,8 +753738,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) + (net reset_tdc_fast_3 (joined + (portRef reset_tdc_fast_3) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -753746,8 +753861,75 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_17 (joined - (portRef reset_tdc_rep1_17) + (net reset_tdc_fast_31 (joined + (portRef reset_tdc_fast_31) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) (portRef B (instanceRef result_reg_3_0_i_31)) (portRef B (instanceRef result_reg_3_0_i_30)) (portRef B (instanceRef result_reg_3_0_i_29)) @@ -753894,108 +754076,6 @@ (portRef (member result_i 270) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_33)) )) - (net reset_tdc_rep1_18 (joined - (portRef reset_tdc_rep1_18) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - )) (net result_i_34 (joined (portRef (member result_i 269) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_34)) @@ -754264,6 +754344,24 @@ (portRef (member result_i 203) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_100)) )) + (net reset_tdc_fast_32 (joined + (portRef reset_tdc_fast_32) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + )) (net result_i_101 (joined (portRef (member result_i 202) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_101)) @@ -754324,6 +754422,38 @@ (portRef (member result_i 188) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_115)) )) + (net reset_tdc_rep1_17 (joined + (portRef reset_tdc_rep1_17) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + )) (net result_i_116 (joined (portRef (member result_i 187) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_116)) @@ -754392,8 +754522,68 @@ (portRef (member result_i 171) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_132)) )) - (net reset_tdc_rep1_19 (joined - (portRef reset_tdc_rep1_19) + (net result_i_133 (joined + (portRef (member result_i 170) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_133)) + )) + (net result_i_134 (joined + (portRef (member result_i 169) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_134)) + )) + (net result_i_135 (joined + (portRef (member result_i 168) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_135)) + )) + (net result_i_136 (joined + (portRef (member result_i 167) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_136)) + )) + (net result_i_137 (joined + (portRef (member result_i 166) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_137)) + )) + (net result_i_138 (joined + (portRef (member result_i 165) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_138)) + )) + (net result_i_139 (joined + (portRef (member result_i 164) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_139)) + )) + (net result_i_140 (joined + (portRef (member result_i 163) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_140)) + )) + (net result_i_141 (joined + (portRef (member result_i 162) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_141)) + )) + (net result_i_142 (joined + (portRef (member result_i 161) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_142)) + )) + (net result_i_143 (joined + (portRef (member result_i 160) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_143)) + )) + (net result_i_144 (joined + (portRef (member result_i 159) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_144)) + )) + (net reset_tdc_rep1_18 (joined + (portRef reset_tdc_rep1_18) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) (portRef B (instanceRef result_reg_3_0_i_229)) (portRef B (instanceRef result_reg_3_0_i_228)) (portRef B (instanceRef result_reg_3_0_i_227)) @@ -754481,66 +754671,6 @@ (portRef B (instanceRef result_reg_3_0_i_145)) (portRef B (instanceRef result_reg_3_0_i_144)) (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - )) - (net result_i_133 (joined - (portRef (member result_i 170) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_133)) - )) - (net result_i_134 (joined - (portRef (member result_i 169) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_134)) - )) - (net result_i_135 (joined - (portRef (member result_i 168) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_135)) - )) - (net result_i_136 (joined - (portRef (member result_i 167) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_136)) - )) - (net result_i_137 (joined - (portRef (member result_i 166) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_137)) - )) - (net result_i_138 (joined - (portRef (member result_i 165) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_138)) - )) - (net result_i_139 (joined - (portRef (member result_i 164) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_139)) - )) - (net result_i_140 (joined - (portRef (member result_i 163) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_140)) - )) - (net result_i_141 (joined - (portRef (member result_i 162) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_141)) - )) - (net result_i_142 (joined - (portRef (member result_i 161) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_142)) - )) - (net result_i_143 (joined - (portRef (member result_i 160) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_143)) - )) - (net result_i_144 (joined - (portRef (member result_i 159) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_144)) )) (net result_i_145 (joined (portRef (member result_i 158) (instanceRef FC)) @@ -754890,8 +755020,56 @@ (portRef (member result_i 72) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_231)) )) - (net reset_tdc_rep1_20 (joined - (portRef reset_tdc_rep1_20) + (net result_i_232 (joined + (portRef (member result_i 71) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_232)) + )) + (net result_i_233 (joined + (portRef (member result_i 70) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_234 (joined + (portRef (member result_i 69) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_234)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) + )) + (net result_i_239 (joined + (portRef (member result_i 64) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_239)) + )) + (net result_i_240 (joined + (portRef (member result_i 63) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_240)) + )) + (net result_i_241 (joined + (portRef (member result_i 62) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_241)) + )) + (net result_i_242 (joined + (portRef (member result_i 61) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_242)) + )) + (net result_i_243 (joined + (portRef (member result_i 60) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_243)) + )) + (net reset_tdc_rep1_19 (joined + (portRef reset_tdc_rep1_19) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -754954,66 +755132,6 @@ (portRef B (instanceRef result_reg_3_0_i_244)) (portRef B (instanceRef result_reg_3_0_i_243)) (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - )) - (net result_i_232 (joined - (portRef (member result_i 71) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_232)) - )) - (net result_i_233 (joined - (portRef (member result_i 70) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_234 (joined - (portRef (member result_i 69) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_234)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) - )) - (net result_i_239 (joined - (portRef (member result_i 64) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_239)) - )) - (net result_i_240 (joined - (portRef (member result_i 63) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_240)) - )) - (net result_i_241 (joined - (portRef (member result_i 62) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_241)) - )) - (net result_i_242 (joined - (portRef (member result_i 61) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_242)) - )) - (net result_i_243 (joined - (portRef (member result_i 60) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_243)) )) (net result_i_244 (joined (portRef (member result_i 59) (instanceRef FC)) @@ -755255,8 +755373,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_15_0 (joined - (portRef (member channel_debug_01_i_15 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_11_0 (joined + (portRef (member channel_debug_01_i_11 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -755283,9 +755401,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_15_2 (joined - (portRef (member channel_debug_01_i_15 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_15 0) (instanceRef FIFO)) + (net channel_debug_01_i_11_2 (joined + (portRef (member channel_debug_01_i_11 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_11 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -755470,17 +755588,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_53 (joined - (portRef reset_tdc_53) - (portRef reset_tdc_53 (instanceRef FC)) + (net reset_tdc_66 (joined + (portRef reset_tdc_66) + (portRef reset_tdc_66 (instanceRef FC)) )) - (net reset_tdc_52 (joined - (portRef reset_tdc_52) - (portRef reset_tdc_52 (instanceRef FC)) + (net reset_tdc_65 (joined + (portRef reset_tdc_65) + (portRef reset_tdc_65 (instanceRef FC)) )) - (net reset_tdc_51 (joined - (portRef reset_tdc_51) - (portRef reset_tdc_51 (instanceRef FC)) + (net reset_tdc_64 (joined + (portRef reset_tdc_64) + (portRef reset_tdc_64 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -755522,35 +755640,47 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_54 (joined - (portRef reset_tdc_54) - (portRef reset_tdc_54 (instanceRef Encoder)) - (portRef reset_tdc_54 (instanceRef FC)) + (net reset_tdc_67 (joined + (portRef reset_tdc_67) + (portRef reset_tdc_67 (instanceRef Encoder)) + (portRef reset_tdc_67 (instanceRef FC)) )) - (net reset_tdc_fast_36_r24 (joined - (portRef reset_tdc_fast_36_r24) - (portRef reset_tdc_fast_36_r24 (instanceRef Encoder)) + (net reset_tdc_fast_36_r19 (joined + (portRef reset_tdc_fast_36_r19) + (portRef reset_tdc_fast_36_r19 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r20 (joined + (portRef reset_tdc_fast_37_r20) + (portRef reset_tdc_fast_37_r20 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r11 (joined + (portRef reset_tdc_fast_37_r11) + (portRef reset_tdc_fast_37_r11 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r1 (joined + (portRef reset_tdc_fast_36_r1) + (portRef reset_tdc_fast_36_r1 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) + (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNILRPM)) + (portRef A (instanceRef result_2_reg_RNI58LU)) )) - (net rd_en_i_15 (joined + (net rd_en_i_11 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef reset_i_10 (instanceRef FIFO)) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef reset_i_14 (instanceRef FIFO)) + )) + (net reset_i_13 (joined + (portRef reset_i_13) + (portRef reset_i_13 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -755573,37 +755703,33 @@ ) ) ) - (cell Channel_7 (cellType GENERIC) + (cell Channel_21 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(7:7)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(7:7)") 1) (direction INPUT)) - (port (array (rename channel_data_i_7 "channel_data_i_7(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(39:39)") 1) (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(7:7)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(21:21)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(21:21)") 1) (direction INPUT)) + (port (array (rename channel_data_i_21 "channel_data_i_21(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(53:53)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1686_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_i_15 (direction INPUT)) - (port reset_tdc_fast_36_r13 (direction INPUT)) - (port reset_tdc_fast_37_r7 (direction INPUT)) - (port reset_tdc_fast_37_r8 (direction INPUT)) - (port reset_tdc_fast_36_r12 (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) - (port reset_tdc_77 (direction INPUT)) - (port reset_tdc_78 (direction INPUT)) - (port reset_tdc_79 (direction INPUT)) + (port reset_i_8 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r30 (direction INPUT)) + (port reset_tdc_33 (direction INPUT)) + (port reset_tdc_34 (direction INPUT)) + (port reset_tdc_35 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_31 (direction INPUT)) - (port reset_tdc_fast_30 (direction INPUT)) - (port reset_tdc_fast_29 (direction INPUT)) - (port reset_tdc_fast_28 (direction INPUT)) - (port reset_tdc_fast_27 (direction INPUT)) - (port reset_tdc_fast_3 (direction INPUT)) - (port reset_tdc_fast_2 (direction INPUT)) + (port reset_tdc_rep1_17 (direction INPUT)) + (port reset_tdc_rep1_16 (direction INPUT)) + (port reset_tdc_rep1_15 (direction INPUT)) + (port reset_tdc_rep1_14 (direction INPUT)) + (port reset_tdc_rep1_1 (direction INPUT)) + (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -755617,7 +755743,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295680_0 (direction OUTPUT)) + (port N_295978_0 (direction OUTPUT)) (port G_1684_Q (direction INPUT)) (port sync_q_and_12 (direction OUTPUT)) (port G_1681_Q (direction INPUT)) @@ -755626,14 +755752,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI2MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIAFQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI2MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIAFQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI2MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIAFQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -756430,8 +756556,6 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -757481,7 +757605,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIVE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI78LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -757562,157 +757686,157 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -757737,32 +757861,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0)) )) (net tmp1_12_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIVE6H)) + (portRef B (instanceRef result_2_reg_RNI78LU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI2MBG_1)) - (portRef B (instanceRef result_2_reg_RNI2MBG_0)) - (portRef B (instanceRef result_2_reg_RNI2MBG)) + (portRef B (instanceRef result_2_reg_RNIAFQT_1)) + (portRef B (instanceRef result_2_reg_RNIAFQT_0)) + (portRef B (instanceRef result_2_reg_RNIAFQT)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIVE6H)) + (portRef C (instanceRef result_2_reg_RNI78LU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI2MBG_1)) - (portRef C (instanceRef result_2_reg_RNI2MBG_0)) - (portRef C (instanceRef result_2_reg_RNI2MBG)) + (portRef C (instanceRef result_2_reg_RNIAFQT_1)) + (portRef C (instanceRef result_2_reg_RNIAFQT_0)) + (portRef C (instanceRef result_2_reg_RNIAFQT)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI2MBG)) + (portRef Z (instanceRef result_2_reg_RNIAFQT)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -757841,9 +757965,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI2MBG_1)) - (portRef A (instanceRef result_2_reg_RNI2MBG_0)) - (portRef A (instanceRef result_2_reg_RNI2MBG)) + (portRef A (instanceRef result_2_reg_RNIAFQT_1)) + (portRef A (instanceRef result_2_reg_RNIAFQT_0)) + (portRef A (instanceRef result_2_reg_RNIAFQT)) )) (net GND (joined (portRef GND) @@ -757851,60 +757975,6 @@ (portRef GND (instanceRef FIFO)) (portRef GND (instanceRef Encoder)) (portRef GND (instanceRef FC)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) @@ -757959,6 +758029,60 @@ (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -757998,7 +758122,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI2MBG_0)) + (portRef Z (instanceRef result_2_reg_RNIAFQT_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -758076,7 +758200,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI2MBG_1)) + (portRef Z (instanceRef result_2_reg_RNIAFQT_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -758163,9 +758287,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295680_0 (joined + (net N_295978_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295680_0) + (portRef N_295978_0) )) (net tmp1_12_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -758676,32 +758800,6 @@ (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) (portRef VCC (instanceRef FC)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) @@ -758728,6 +758826,32 @@ (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -758817,7 +758941,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIVE6H)) + (portRef Z (instanceRef result_2_reg_RNI78LU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -761730,9 +761854,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_7_1 (joined + (net channel_debug_01_i_21_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_7 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_21 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_12 (joined @@ -761927,18 +762051,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) - (net fifo_full_i (joined - (portRef fifo_full_i (instanceRef FIFO)) - (portRef D (instanceRef FIFO_FULL_OUT)) - )) - (net channel_full_i_7 (joined - (portRef Q (instanceRef FIFO_FULL_OUT)) - (portRef (member channel_full_i 0)) - )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) + (net stat_reg_53 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -761975,7 +762099,6 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) - (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -762012,267 +762135,258 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) - (portRef CD (instanceRef FIFO_FULL_OUT)) - )) - (net stat_reg_39 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_7_0 (joined + (net channel_data_i_21_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_7 31)) + (portRef (member channel_data_i_21 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_7_1 (joined + (net channel_data_i_21_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_7 30)) + (portRef (member channel_data_i_21 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_7_2 (joined + (net channel_data_i_21_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_7 29)) + (portRef (member channel_data_i_21 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_7_3 (joined + (net channel_data_i_21_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_7 28)) + (portRef (member channel_data_i_21 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_7_4 (joined + (net channel_data_i_21_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_7 27)) + (portRef (member channel_data_i_21 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_7_5 (joined + (net channel_data_i_21_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_7 26)) + (portRef (member channel_data_i_21 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_7_6 (joined + (net channel_data_i_21_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_7 25)) + (portRef (member channel_data_i_21 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_7_7 (joined + (net channel_data_i_21_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_7 24)) + (portRef (member channel_data_i_21 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_7_8 (joined + (net channel_data_i_21_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_7 23)) + (portRef (member channel_data_i_21 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_7_9 (joined + (net channel_data_i_21_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_7 22)) + (portRef (member channel_data_i_21 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_7_10 (joined + (net channel_data_i_21_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_7 21)) + (portRef (member channel_data_i_21 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_7_11 (joined + (net channel_data_i_21_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_7 20)) + (portRef (member channel_data_i_21 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_7_12 (joined + (net channel_data_i_21_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_7 19)) + (portRef (member channel_data_i_21 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_7_13 (joined + (net channel_data_i_21_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_7 18)) + (portRef (member channel_data_i_21 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_7_14 (joined + (net channel_data_i_21_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_7 17)) + (portRef (member channel_data_i_21 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_7_15 (joined + (net channel_data_i_21_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_7 16)) + (portRef (member channel_data_i_21 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_7_16 (joined + (net channel_data_i_21_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_7 15)) + (portRef (member channel_data_i_21 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_7_17 (joined + (net channel_data_i_21_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_7 14)) + (portRef (member channel_data_i_21 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_7_18 (joined + (net channel_data_i_21_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_7 13)) + (portRef (member channel_data_i_21 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_7_19 (joined + (net channel_data_i_21_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_7 12)) + (portRef (member channel_data_i_21 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_7_20 (joined + (net channel_data_i_21_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_7 11)) + (portRef (member channel_data_i_21 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_7_21 (joined + (net channel_data_i_21_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_7 10)) + (portRef (member channel_data_i_21 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_7_22 (joined + (net channel_data_i_21_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_7 9)) + (portRef (member channel_data_i_21 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_7_23 (joined + (net channel_data_i_21_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_7 8)) + (portRef (member channel_data_i_21 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_7_24 (joined + (net channel_data_i_21_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_7 7)) + (portRef (member channel_data_i_21 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_7_25 (joined + (net channel_data_i_21_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_7 6)) + (portRef (member channel_data_i_21 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_7_26 (joined + (net channel_data_i_21_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_7 5)) + (portRef (member channel_data_i_21 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_7_27 (joined + (net channel_data_i_21_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_7 4)) + (portRef (member channel_data_i_21 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_7_28 (joined + (net channel_data_i_21_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_7 3)) + (portRef (member channel_data_i_21 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_7_29 (joined + (net channel_data_i_21_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_7 2)) + (portRef (member channel_data_i_21 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_7_30 (joined + (net channel_data_i_21_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_7 1)) + (portRef (member channel_data_i_21 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_7_31 (joined + (net channel_data_i_21_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_7 0)) + (portRef (member channel_data_i_21 0)) )) (net sync_q_CR0_ram_DO1_12 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -762291,13 +762405,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_2 (joined - (portRef reset_tdc_fast_2) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - (portRef B (instanceRef lost_hit_cntr_lm_0_7)) - (portRef B (instanceRef lost_hit_cntr_lm_0_6)) - (portRef B (instanceRef lost_hit_cntr_lm_0_5)) - (portRef B (instanceRef lost_hit_cntr_lm_0_4)) + (net reset_tdc_rep1 (joined + (portRef reset_tdc_rep1) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) (portRef B (instanceRef lost_hit_cntr_lm_0_2)) (portRef B (instanceRef lost_hit_cntr_lm_0_1)) @@ -762319,6 +762428,29 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) (portRef A (instanceRef lost_hit_cntr_lm_0_5)) )) + (net reset_tdc_rep1_1 (joined + (portRef reset_tdc_rep1_1) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (portRef B (instanceRef lost_hit_cntr_lm_0_7)) + (portRef B (instanceRef lost_hit_cntr_lm_0_6)) + (portRef B (instanceRef lost_hit_cntr_lm_0_5)) + (portRef B (instanceRef lost_hit_cntr_lm_0_4)) + )) (net lost_hit_cntr_s_6 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_5)) (portRef A (instanceRef lost_hit_cntr_lm_0_6)) @@ -762339,24 +762471,6 @@ (portRef S1 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_10)) )) - (net reset_tdc_fast_3 (joined - (portRef reset_tdc_fast_3) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - )) (net lost_hit_cntr_s_11 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_11)) (portRef A (instanceRef lost_hit_cntr_lm_0_11)) @@ -762417,102 +762531,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_27 (joined - (portRef reset_tdc_fast_27) - (portRef B (instanceRef result_reg_3_0_i_6)) - (portRef B (instanceRef result_reg_3_0_i_5)) - (portRef B (instanceRef result_reg_3_0_i_4)) - (portRef B (instanceRef result_reg_3_0_i_3)) - )) - (net result_i_5 (joined - (portRef (member result_i 298) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_5)) - )) - (net result_i_6 (joined - (portRef (member result_i 297) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_6)) - )) - (net result_i_7 (joined - (portRef (member result_i 296) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_7)) - )) - (net result_i_8 (joined - (portRef (member result_i 295) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_8)) - )) - (net reset_tdc_fast_28 (joined - (portRef reset_tdc_fast_28) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) + (net reset_tdc_rep1_14 (joined + (portRef reset_tdc_rep1_14) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) @@ -762541,6 +762561,26 @@ (portRef B (instanceRef result_reg_3_0_i_9)) (portRef B (instanceRef result_reg_3_0_i_8)) (portRef B (instanceRef result_reg_3_0_i_7)) + (portRef B (instanceRef result_reg_3_0_i_6)) + (portRef B (instanceRef result_reg_3_0_i_5)) + (portRef B (instanceRef result_reg_3_0_i_4)) + (portRef B (instanceRef result_reg_3_0_i_3)) + )) + (net result_i_5 (joined + (portRef (member result_i 298) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_5)) + )) + (net result_i_6 (joined + (portRef (member result_i 297) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_6)) + )) + (net result_i_7 (joined + (portRef (member result_i 296) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_7)) + )) + (net result_i_8 (joined + (portRef (member result_i 295) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_8)) )) (net result_i_9 (joined (portRef (member result_i 294) (instanceRef FC)) @@ -762654,6 +762694,109 @@ (portRef (member result_i 267) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_36)) )) + (net reset_tdc_rep1_15 (joined + (portRef reset_tdc_rep1_15) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + )) (net result_i_37 (joined (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) @@ -762938,107 +763081,6 @@ (portRef (member result_i 196) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_107)) )) - (net reset_tdc_fast_29 (joined - (portRef reset_tdc_fast_29) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - )) (net result_i_108 (joined (portRef (member result_i 195) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_108)) @@ -763155,6 +763197,108 @@ (portRef (member result_i 167) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_136)) )) + (net reset_tdc_rep1_16 (joined + (portRef reset_tdc_rep1_16) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + )) (net result_i_137 (joined (portRef (member result_i 166) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_137)) @@ -763431,107 +763575,6 @@ (portRef (member result_i 98) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_205)) )) - (net reset_tdc_fast_30 (joined - (portRef reset_tdc_fast_30) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - )) (net result_i_206 (joined (portRef (member result_i 97) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_206)) @@ -763652,6 +763695,79 @@ (portRef (member result_i 68) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_235)) )) + (net reset_tdc_rep1_17 (joined + (portRef reset_tdc_rep1_17) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + )) (net result_i_236 (joined (portRef (member result_i 67) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_236)) @@ -763924,13 +764040,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net reset_tdc_fast_31 (joined - (portRef reset_tdc_fast_31) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - )) - (net channel_debug_01_i_7_0 (joined - (portRef (member channel_debug_01_i_7 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_21_0 (joined + (portRef (member channel_debug_01_i_21 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -763957,9 +764068,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_7_2 (joined - (portRef (member channel_debug_01_i_7 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_7 0) (instanceRef FIFO)) + (net channel_debug_01_i_21_2 (joined + (portRef (member channel_debug_01_i_21 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_21 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -764024,63 +764135,6 @@ (net lost_hit_cntr_s_0_S1_12_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net un1_encoder_start_i_cry_0 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) - )) - (net un1_encoder_start_i_cry_0_0_S0_16 (joined - (portRef S0 (instanceRef un1_encoder_start_i_cry_0_0)) - )) - (net un1_encoder_start_i_cry_2 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_3_0)) - )) - (net un1_encoder_start_i_cry_4 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_5_0)) - )) - (net un1_encoder_start_i_cry_6 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_7_0)) - )) - (net un1_encoder_start_i_cry_8 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_9_0)) - )) - (net un1_encoder_start_i_cry_10 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_11_0)) - )) - (net un1_encoder_start_i_cry_12 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_13_0)) - )) - (net un1_encoder_start_i_cry_14 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_15_0)) - )) - (net un1_encoder_start_i_cry_16 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_17_0)) - )) - (net un1_encoder_start_i_cry_18 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_19_0)) - )) - (net un1_encoder_start_i_cry_20 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_21_0)) - )) - (net un1_encoder_start_i_cry_22 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef CIN (instanceRef un1_encoder_start_i_s_23_0)) - )) - (net un1_encoder_start_i_s_23_0_COUT_16 (joined - (portRef COUT (instanceRef un1_encoder_start_i_s_23_0)) - )) - (net un1_encoder_start_i_s_23_0_S1_16 (joined - (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) - )) (net un1_fifo_wr_en_i_cry_0 (joined (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) @@ -764138,23 +764192,80 @@ (net un1_fifo_wr_en_i_s_23_0_S1_16 (joined (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) + (net un1_encoder_start_i_cry_0 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) + )) + (net un1_encoder_start_i_cry_0_0_S0_16 (joined + (portRef S0 (instanceRef un1_encoder_start_i_cry_0_0)) + )) + (net un1_encoder_start_i_cry_2 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_3_0)) + )) + (net un1_encoder_start_i_cry_4 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_5_0)) + )) + (net un1_encoder_start_i_cry_6 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_7_0)) + )) + (net un1_encoder_start_i_cry_8 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_9_0)) + )) + (net un1_encoder_start_i_cry_10 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_11_0)) + )) + (net un1_encoder_start_i_cry_12 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_13_0)) + )) + (net un1_encoder_start_i_cry_14 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_15_0)) + )) + (net un1_encoder_start_i_cry_16 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_17_0)) + )) + (net un1_encoder_start_i_cry_18 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_19_0)) + )) + (net un1_encoder_start_i_cry_20 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_21_0)) + )) + (net un1_encoder_start_i_cry_22 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef CIN (instanceRef un1_encoder_start_i_s_23_0)) + )) + (net un1_encoder_start_i_s_23_0_COUT_16 (joined + (portRef COUT (instanceRef un1_encoder_start_i_s_23_0)) + )) + (net un1_encoder_start_i_s_23_0_S1_16 (joined + (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) + )) (net hit_buf (joined (portRef hit_buf (instanceRef FC)) (portRef Z (instanceRef hit_buf_RNO)) ) (property NOMERGE (string "true")) ) - (net reset_tdc_78 (joined - (portRef reset_tdc_78) - (portRef reset_tdc_78 (instanceRef FC)) + (net reset_tdc_35 (joined + (portRef reset_tdc_35) + (portRef reset_tdc_35 (instanceRef FC)) )) - (net reset_tdc_77 (joined - (portRef reset_tdc_77) - (portRef reset_tdc_77 (instanceRef FC)) + (net reset_tdc_34 (joined + (portRef reset_tdc_34) + (portRef reset_tdc_34 (instanceRef FC)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef reset_tdc_76 (instanceRef FC)) + (net reset_tdc_33 (joined + (portRef reset_tdc_33) + (portRef reset_tdc_33 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -764196,43 +764307,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_79 (joined - (portRef reset_tdc_79) - (portRef reset_tdc_79 (instanceRef Encoder)) - (portRef reset_tdc_79 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r12 (joined - (portRef reset_tdc_fast_36_r12) - (portRef reset_tdc_fast_36_r12 (instanceRef Encoder)) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) + (portRef reset_tdc_36 (instanceRef Encoder)) + (portRef reset_tdc_36 (instanceRef FC)) )) - (net reset_tdc_fast_37_r8 (joined - (portRef reset_tdc_fast_37_r8) - (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) + (net reset_tdc_fast_36_r30 (joined + (portRef reset_tdc_fast_36_r30) + (portRef reset_tdc_fast_36_r30 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r7 (joined - (portRef reset_tdc_fast_37_r7) - (portRef reset_tdc_fast_37_r7 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r13 (joined - (portRef reset_tdc_fast_36_r13) - (portRef reset_tdc_fast_36_r13 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIVE6H)) + (portRef A (instanceRef result_2_reg_RNI78LU)) )) - (net rd_en_i_7 (joined + (net rd_en_i_21 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_15 (joined - (portRef reset_i_15) - (portRef reset_i_15 (instanceRef FIFO)) - )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef reset_i_14 (instanceRef FIFO)) + (net reset_i_8 (joined + (portRef reset_i_8) + (portRef reset_i_8 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -764255,107 +764358,32 @@ ) ) ) - (cell Channel_2 (cellType GENERIC) + (cell Channel_17 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(2:2)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(2:2)") 1) (direction INPUT)) - (port (array (rename channel_data_i_2 "channel_data_i_2(31:0)") 32) (direction OUTPUT)) - (port stat_reg_597 (direction OUTPUT)) - (port stat_reg_596 (direction OUTPUT)) - (port stat_reg_595 (direction OUTPUT)) - (port stat_reg_594 (direction OUTPUT)) - (port stat_reg_593 (direction OUTPUT)) - (port stat_reg_592 (direction OUTPUT)) - (port stat_reg_591 (direction OUTPUT)) - (port stat_reg_590 (direction OUTPUT)) - (port stat_reg_589 (direction OUTPUT)) - (port stat_reg_588 (direction OUTPUT)) - (port stat_reg_587 (direction OUTPUT)) - (port stat_reg_586 (direction OUTPUT)) - (port stat_reg_585 (direction OUTPUT)) - (port stat_reg_584 (direction OUTPUT)) - (port stat_reg_583 (direction OUTPUT)) - (port stat_reg_582 (direction OUTPUT)) - (port stat_reg_581 (direction OUTPUT)) - (port stat_reg_580 (direction OUTPUT)) - (port stat_reg_579 (direction OUTPUT)) - (port stat_reg_578 (direction OUTPUT)) - (port stat_reg_577 (direction OUTPUT)) - (port stat_reg_576 (direction OUTPUT)) - (port stat_reg_575 (direction OUTPUT)) - (port stat_reg_574 (direction OUTPUT)) - (port stat_reg_629 (direction OUTPUT)) - (port stat_reg_628 (direction OUTPUT)) - (port stat_reg_627 (direction OUTPUT)) - (port stat_reg_626 (direction OUTPUT)) - (port stat_reg_625 (direction OUTPUT)) - (port stat_reg_624 (direction OUTPUT)) - (port stat_reg_623 (direction OUTPUT)) - (port stat_reg_622 (direction OUTPUT)) - (port stat_reg_621 (direction OUTPUT)) - (port stat_reg_620 (direction OUTPUT)) - (port stat_reg_619 (direction OUTPUT)) - (port stat_reg_618 (direction OUTPUT)) - (port stat_reg_617 (direction OUTPUT)) - (port stat_reg_616 (direction OUTPUT)) - (port stat_reg_615 (direction OUTPUT)) - (port stat_reg_614 (direction OUTPUT)) - (port stat_reg_613 (direction OUTPUT)) - (port stat_reg_612 (direction OUTPUT)) - (port stat_reg_611 (direction OUTPUT)) - (port stat_reg_610 (direction OUTPUT)) - (port stat_reg_609 (direction OUTPUT)) - (port stat_reg_608 (direction OUTPUT)) - (port stat_reg_607 (direction OUTPUT)) - (port stat_reg_606 (direction OUTPUT)) - (port stat_reg_565 (direction OUTPUT)) - (port stat_reg_564 (direction OUTPUT)) - (port stat_reg_563 (direction OUTPUT)) - (port stat_reg_562 (direction OUTPUT)) - (port stat_reg_561 (direction OUTPUT)) - (port stat_reg_560 (direction OUTPUT)) - (port stat_reg_559 (direction OUTPUT)) - (port stat_reg_558 (direction OUTPUT)) - (port stat_reg_557 (direction OUTPUT)) - (port stat_reg_556 (direction OUTPUT)) - (port stat_reg_555 (direction OUTPUT)) - (port stat_reg_554 (direction OUTPUT)) - (port stat_reg_553 (direction OUTPUT)) - (port stat_reg_552 (direction OUTPUT)) - (port stat_reg_551 (direction OUTPUT)) - (port stat_reg_550 (direction OUTPUT)) - (port stat_reg_549 (direction OUTPUT)) - (port stat_reg_548 (direction OUTPUT)) - (port stat_reg_547 (direction OUTPUT)) - (port stat_reg_546 (direction OUTPUT)) - (port stat_reg_545 (direction OUTPUT)) - (port stat_reg_544 (direction OUTPUT)) - (port stat_reg_543 (direction OUTPUT)) - (port stat_reg_542 (direction OUTPUT)) - (port stat_reg_0 (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(2:2)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(17:17)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(17:17)") 1) (direction INPUT)) + (port (array (rename channel_data_i_17 "channel_data_i_17(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(49:49)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1695_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port reset_tdc_fast_36_r5 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_37_r2 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_36_r3 (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) - (port reset_tdc_92 (direction INPUT)) - (port reset_tdc_93 (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r26 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) + (port reset_tdc_46 (direction INPUT)) + (port reset_tdc_47 (direction INPUT)) + (port reset_tdc_48 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_27 (direction INPUT)) - (port reset_tdc_fast_26 (direction INPUT)) - (port reset_tdc_fast_25 (direction INPUT)) - (port reset_tdc_fast_24 (direction INPUT)) - (port reset_tdc_fast_2 (direction INPUT)) + (port reset_tdc_rep1_14 (direction INPUT)) + (port reset_tdc_rep1_13 (direction INPUT)) + (port reset_tdc_rep1_12 (direction INPUT)) + (port reset_tdc_rep1_11 (direction INPUT)) + (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -764369,7 +764397,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295693_0 (direction OUTPUT)) + (port N_295991_0 (direction OUTPUT)) (port G_1693_Q (direction INPUT)) (port sync_q_and_11 (direction OUTPUT)) (port G_1690_Q (direction INPUT)) @@ -764378,14 +764406,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIOLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIGC121 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIOLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIGC121_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIOLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIGC121_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -765182,8 +765210,6 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -766233,7 +766259,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNILE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNID5S21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -766478,12 +766504,6 @@ ) (instance Edge_To_Pulse_Hit (viewRef netlist (cellRef edge_to_pulse_0_12)) ) - (instance Lost_Hit_Sync (viewRef netlist (cellRef signal_sync_24_3_36)) - ) - (instance Encoder_Start_Sync (viewRef netlist (cellRef signal_sync_24_3_37)) - ) - (instance Measurement_Sync (viewRef netlist (cellRef signal_sync_24_3_38)) - ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) (portRef (member hit_in_iZ0 0)) @@ -766495,32 +766515,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0)) )) (net tmp1_11_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNILE6H)) + (portRef B (instanceRef result_2_reg_RNID5S21)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIOLBG_1)) - (portRef B (instanceRef result_2_reg_RNIOLBG_0)) - (portRef B (instanceRef result_2_reg_RNIOLBG)) + (portRef B (instanceRef result_2_reg_RNIGC121_1)) + (portRef B (instanceRef result_2_reg_RNIGC121_0)) + (portRef B (instanceRef result_2_reg_RNIGC121)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNILE6H)) + (portRef C (instanceRef result_2_reg_RNID5S21)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIOLBG_1)) - (portRef C (instanceRef result_2_reg_RNIOLBG_0)) - (portRef C (instanceRef result_2_reg_RNIOLBG)) + (portRef C (instanceRef result_2_reg_RNIGC121_1)) + (portRef C (instanceRef result_2_reg_RNIGC121_0)) + (portRef C (instanceRef result_2_reg_RNIGC121)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIOLBG)) + (portRef Z (instanceRef result_2_reg_RNIGC121)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -766599,9 +766619,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIOLBG_1)) - (portRef A (instanceRef result_2_reg_RNIOLBG_0)) - (portRef A (instanceRef result_2_reg_RNIOLBG)) + (portRef A (instanceRef result_2_reg_RNIGC121_1)) + (portRef A (instanceRef result_2_reg_RNIGC121_0)) + (portRef A (instanceRef result_2_reg_RNIGC121)) )) (net GND (joined (portRef GND) @@ -766756,7 +766776,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIOLBG_0)) + (portRef Z (instanceRef result_2_reg_RNIGC121_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -766834,7 +766854,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIOLBG_1)) + (portRef Z (instanceRef result_2_reg_RNIGC121_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -766921,9 +766941,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295693_0 (joined + (net N_295991_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295693_0) + (portRef N_295991_0) )) (net tmp1_11_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -766959,9 +766979,6 @@ )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) - (portRef CLK_PCLK_LEFT_c (instanceRef Measurement_Sync)) - (portRef CLK_PCLK_LEFT_c (instanceRef Encoder_Start_Sync)) - (portRef CLK_PCLK_LEFT_c (instanceRef Lost_Hit_Sync)) (portRef CLK_PCLK_LEFT_c (instanceRef Edge_To_Pulse_Hit)) (portRef CLK_PCLK_LEFT_c (instanceRef FIFO)) (portRef CLK_PCLK_LEFT_c (instanceRef Encoder)) @@ -767433,9 +767450,6 @@ )) (net VCC (joined (portRef VCC) - (portRef VCC (instanceRef Measurement_Sync)) - (portRef VCC (instanceRef Encoder_Start_Sync)) - (portRef VCC (instanceRef Lost_Hit_Sync)) (portRef VCC (instanceRef Edge_To_Pulse_Hit)) (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) @@ -767581,7 +767595,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNILE6H)) + (portRef Z (instanceRef result_2_reg_RNID5S21)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -770013,7 +770027,6 @@ )) (net measurement_cntr_0 (joined (portRef Q (instanceRef measurement_cntr_0)) - (portRef (member measurement_cntr 23) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S0_11 (joined @@ -770022,7 +770035,6 @@ )) (net measurement_cntr_1 (joined (portRef Q (instanceRef measurement_cntr_1)) - (portRef (member measurement_cntr 22) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S1_11 (joined @@ -770031,7 +770043,6 @@ )) (net measurement_cntr_2 (joined (portRef Q (instanceRef measurement_cntr_2)) - (portRef (member measurement_cntr 21) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S0_11 (joined @@ -770040,7 +770051,6 @@ )) (net measurement_cntr_3 (joined (portRef Q (instanceRef measurement_cntr_3)) - (portRef (member measurement_cntr 20) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S1_11 (joined @@ -770049,7 +770059,6 @@ )) (net measurement_cntr_4 (joined (portRef Q (instanceRef measurement_cntr_4)) - (portRef (member measurement_cntr 19) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S0_11 (joined @@ -770058,7 +770067,6 @@ )) (net measurement_cntr_5 (joined (portRef Q (instanceRef measurement_cntr_5)) - (portRef (member measurement_cntr 18) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S1_11 (joined @@ -770067,7 +770075,6 @@ )) (net measurement_cntr_6 (joined (portRef Q (instanceRef measurement_cntr_6)) - (portRef (member measurement_cntr 17) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S0_11 (joined @@ -770076,7 +770083,6 @@ )) (net measurement_cntr_7 (joined (portRef Q (instanceRef measurement_cntr_7)) - (portRef (member measurement_cntr 16) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S1_11 (joined @@ -770085,7 +770091,6 @@ )) (net measurement_cntr_8 (joined (portRef Q (instanceRef measurement_cntr_8)) - (portRef (member measurement_cntr 15) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S0_11 (joined @@ -770094,7 +770099,6 @@ )) (net measurement_cntr_9 (joined (portRef Q (instanceRef measurement_cntr_9)) - (portRef (member measurement_cntr 14) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S1_11 (joined @@ -770103,7 +770107,6 @@ )) (net measurement_cntr_10 (joined (portRef Q (instanceRef measurement_cntr_10)) - (portRef (member measurement_cntr 13) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S0_11 (joined @@ -770112,7 +770115,6 @@ )) (net measurement_cntr_11 (joined (portRef Q (instanceRef measurement_cntr_11)) - (portRef (member measurement_cntr 12) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S1_11 (joined @@ -770121,7 +770123,6 @@ )) (net measurement_cntr_12 (joined (portRef Q (instanceRef measurement_cntr_12)) - (portRef (member measurement_cntr 11) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S0_11 (joined @@ -770130,7 +770131,6 @@ )) (net measurement_cntr_13 (joined (portRef Q (instanceRef measurement_cntr_13)) - (portRef (member measurement_cntr 10) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S1_11 (joined @@ -770139,7 +770139,6 @@ )) (net measurement_cntr_14 (joined (portRef Q (instanceRef measurement_cntr_14)) - (portRef (member measurement_cntr 9) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S0_11 (joined @@ -770148,7 +770147,6 @@ )) (net measurement_cntr_15 (joined (portRef Q (instanceRef measurement_cntr_15)) - (portRef (member measurement_cntr 8) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S1_11 (joined @@ -770157,7 +770155,6 @@ )) (net measurement_cntr_16 (joined (portRef Q (instanceRef measurement_cntr_16)) - (portRef (member measurement_cntr 7) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S0_11 (joined @@ -770166,7 +770163,6 @@ )) (net measurement_cntr_17 (joined (portRef Q (instanceRef measurement_cntr_17)) - (portRef (member measurement_cntr 6) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S1_11 (joined @@ -770175,7 +770171,6 @@ )) (net measurement_cntr_18 (joined (portRef Q (instanceRef measurement_cntr_18)) - (portRef (member measurement_cntr 5) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S0_11 (joined @@ -770184,7 +770179,6 @@ )) (net measurement_cntr_19 (joined (portRef Q (instanceRef measurement_cntr_19)) - (portRef (member measurement_cntr 4) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S1_11 (joined @@ -770193,7 +770187,6 @@ )) (net measurement_cntr_20 (joined (portRef Q (instanceRef measurement_cntr_20)) - (portRef (member measurement_cntr 3) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S0_11 (joined @@ -770202,7 +770195,6 @@ )) (net measurement_cntr_21 (joined (portRef Q (instanceRef measurement_cntr_21)) - (portRef (member measurement_cntr 2) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S1_11 (joined @@ -770211,7 +770203,6 @@ )) (net measurement_cntr_22 (joined (portRef Q (instanceRef measurement_cntr_22)) - (portRef (member measurement_cntr 1) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_s_23_0_S0_11 (joined @@ -770220,7 +770211,6 @@ )) (net measurement_cntr_23 (joined (portRef Q (instanceRef measurement_cntr_23)) - (portRef (member measurement_cntr 0) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) (net lost_hit_cntr_lm_0 (joined @@ -770229,7 +770219,6 @@ )) (net lost_hit_cntr_0 (joined (portRef Q (instanceRef lost_hit_cntr_0)) - (portRef (member lost_hit_cntr 23) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_0)) )) (net lost_hit_cntr_lm_1 (joined @@ -770238,7 +770227,6 @@ )) (net lost_hit_cntr_1 (joined (portRef Q (instanceRef lost_hit_cntr_1)) - (portRef (member lost_hit_cntr 22) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_2 (joined @@ -770247,7 +770235,6 @@ )) (net lost_hit_cntr_2 (joined (portRef Q (instanceRef lost_hit_cntr_2)) - (portRef (member lost_hit_cntr 21) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_3 (joined @@ -770256,7 +770243,6 @@ )) (net lost_hit_cntr_3 (joined (portRef Q (instanceRef lost_hit_cntr_3)) - (portRef (member lost_hit_cntr 20) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_4 (joined @@ -770265,7 +770251,6 @@ )) (net lost_hit_cntr_4 (joined (portRef Q (instanceRef lost_hit_cntr_4)) - (portRef (member lost_hit_cntr 19) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_5 (joined @@ -770274,7 +770259,6 @@ )) (net lost_hit_cntr_5 (joined (portRef Q (instanceRef lost_hit_cntr_5)) - (portRef (member lost_hit_cntr 18) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_6 (joined @@ -770283,7 +770267,6 @@ )) (net lost_hit_cntr_6 (joined (portRef Q (instanceRef lost_hit_cntr_6)) - (portRef (member lost_hit_cntr 17) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_7 (joined @@ -770292,7 +770275,6 @@ )) (net lost_hit_cntr_7 (joined (portRef Q (instanceRef lost_hit_cntr_7)) - (portRef (member lost_hit_cntr 16) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_8 (joined @@ -770301,7 +770283,6 @@ )) (net lost_hit_cntr_8 (joined (portRef Q (instanceRef lost_hit_cntr_8)) - (portRef (member lost_hit_cntr 15) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_9 (joined @@ -770310,7 +770291,6 @@ )) (net lost_hit_cntr_9 (joined (portRef Q (instanceRef lost_hit_cntr_9)) - (portRef (member lost_hit_cntr 14) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_10 (joined @@ -770319,7 +770299,6 @@ )) (net lost_hit_cntr_10 (joined (portRef Q (instanceRef lost_hit_cntr_10)) - (portRef (member lost_hit_cntr 13) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_11 (joined @@ -770328,7 +770307,6 @@ )) (net lost_hit_cntr_11 (joined (portRef Q (instanceRef lost_hit_cntr_11)) - (portRef (member lost_hit_cntr 12) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_12 (joined @@ -770337,7 +770315,6 @@ )) (net lost_hit_cntr_12 (joined (portRef Q (instanceRef lost_hit_cntr_12)) - (portRef (member lost_hit_cntr 11) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_13 (joined @@ -770346,7 +770323,6 @@ )) (net lost_hit_cntr_13 (joined (portRef Q (instanceRef lost_hit_cntr_13)) - (portRef (member lost_hit_cntr 10) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_14 (joined @@ -770355,7 +770331,6 @@ )) (net lost_hit_cntr_14 (joined (portRef Q (instanceRef lost_hit_cntr_14)) - (portRef (member lost_hit_cntr 9) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_15 (joined @@ -770364,7 +770339,6 @@ )) (net lost_hit_cntr_15 (joined (portRef Q (instanceRef lost_hit_cntr_15)) - (portRef (member lost_hit_cntr 8) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_16 (joined @@ -770373,7 +770347,6 @@ )) (net lost_hit_cntr_16 (joined (portRef Q (instanceRef lost_hit_cntr_16)) - (portRef (member lost_hit_cntr 7) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_17 (joined @@ -770382,7 +770355,6 @@ )) (net lost_hit_cntr_17 (joined (portRef Q (instanceRef lost_hit_cntr_17)) - (portRef (member lost_hit_cntr 6) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_18 (joined @@ -770391,7 +770363,6 @@ )) (net lost_hit_cntr_18 (joined (portRef Q (instanceRef lost_hit_cntr_18)) - (portRef (member lost_hit_cntr 5) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_19 (joined @@ -770400,7 +770371,6 @@ )) (net lost_hit_cntr_19 (joined (portRef Q (instanceRef lost_hit_cntr_19)) - (portRef (member lost_hit_cntr 4) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_20 (joined @@ -770409,7 +770379,6 @@ )) (net lost_hit_cntr_20 (joined (portRef Q (instanceRef lost_hit_cntr_20)) - (portRef (member lost_hit_cntr 3) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_21 (joined @@ -770418,7 +770387,6 @@ )) (net lost_hit_cntr_21 (joined (portRef Q (instanceRef lost_hit_cntr_21)) - (portRef (member lost_hit_cntr 2) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_22 (joined @@ -770427,7 +770395,6 @@ )) (net lost_hit_cntr_22 (joined (portRef Q (instanceRef lost_hit_cntr_22)) - (portRef (member lost_hit_cntr 1) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_23 (joined @@ -770436,7 +770403,6 @@ )) (net lost_hit_cntr_23 (joined (portRef Q (instanceRef lost_hit_cntr_23)) - (portRef (member lost_hit_cntr 0) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined @@ -770542,9 +770508,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_2_1 (joined + (net channel_debug_01_i_17_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_2 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_17 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_11 (joined @@ -770553,7 +770519,6 @@ )) (net encoder_start_cntr_0 (joined (portRef Q (instanceRef encoder_start_cntr_0)) - (portRef (member encoder_start_cntr 23) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_1_0_S0_11 (joined @@ -770562,7 +770527,6 @@ )) (net encoder_start_cntr_1 (joined (portRef Q (instanceRef encoder_start_cntr_1)) - (portRef (member encoder_start_cntr 22) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_1_0_S1_11 (joined @@ -770571,7 +770535,6 @@ )) (net encoder_start_cntr_2 (joined (portRef Q (instanceRef encoder_start_cntr_2)) - (portRef (member encoder_start_cntr 21) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_3_0_S0_11 (joined @@ -770580,7 +770543,6 @@ )) (net encoder_start_cntr_3 (joined (portRef Q (instanceRef encoder_start_cntr_3)) - (portRef (member encoder_start_cntr 20) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_3_0_S1_11 (joined @@ -770589,7 +770551,6 @@ )) (net encoder_start_cntr_4 (joined (portRef Q (instanceRef encoder_start_cntr_4)) - (portRef (member encoder_start_cntr 19) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_5_0_S0_11 (joined @@ -770598,7 +770559,6 @@ )) (net encoder_start_cntr_5 (joined (portRef Q (instanceRef encoder_start_cntr_5)) - (portRef (member encoder_start_cntr 18) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_5_0_S1_11 (joined @@ -770607,7 +770567,6 @@ )) (net encoder_start_cntr_6 (joined (portRef Q (instanceRef encoder_start_cntr_6)) - (portRef (member encoder_start_cntr 17) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_7_0_S0_11 (joined @@ -770616,7 +770575,6 @@ )) (net encoder_start_cntr_7 (joined (portRef Q (instanceRef encoder_start_cntr_7)) - (portRef (member encoder_start_cntr 16) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_7_0_S1_11 (joined @@ -770625,7 +770583,6 @@ )) (net encoder_start_cntr_8 (joined (portRef Q (instanceRef encoder_start_cntr_8)) - (portRef (member encoder_start_cntr 15) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_9_0_S0_11 (joined @@ -770634,7 +770591,6 @@ )) (net encoder_start_cntr_9 (joined (portRef Q (instanceRef encoder_start_cntr_9)) - (portRef (member encoder_start_cntr 14) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_9_0_S1_11 (joined @@ -770643,7 +770599,6 @@ )) (net encoder_start_cntr_10 (joined (portRef Q (instanceRef encoder_start_cntr_10)) - (portRef (member encoder_start_cntr 13) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_11_0_S0_11 (joined @@ -770652,7 +770607,6 @@ )) (net encoder_start_cntr_11 (joined (portRef Q (instanceRef encoder_start_cntr_11)) - (portRef (member encoder_start_cntr 12) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_11_0_S1_11 (joined @@ -770661,7 +770615,6 @@ )) (net encoder_start_cntr_12 (joined (portRef Q (instanceRef encoder_start_cntr_12)) - (portRef (member encoder_start_cntr 11) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_13_0_S0_11 (joined @@ -770670,7 +770623,6 @@ )) (net encoder_start_cntr_13 (joined (portRef Q (instanceRef encoder_start_cntr_13)) - (portRef (member encoder_start_cntr 10) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_13_0_S1_11 (joined @@ -770679,7 +770631,6 @@ )) (net encoder_start_cntr_14 (joined (portRef Q (instanceRef encoder_start_cntr_14)) - (portRef (member encoder_start_cntr 9) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_15_0_S0_11 (joined @@ -770688,7 +770639,6 @@ )) (net encoder_start_cntr_15 (joined (portRef Q (instanceRef encoder_start_cntr_15)) - (portRef (member encoder_start_cntr 8) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_15_0_S1_11 (joined @@ -770697,7 +770647,6 @@ )) (net encoder_start_cntr_16 (joined (portRef Q (instanceRef encoder_start_cntr_16)) - (portRef (member encoder_start_cntr 7) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_17_0_S0_11 (joined @@ -770706,7 +770655,6 @@ )) (net encoder_start_cntr_17 (joined (portRef Q (instanceRef encoder_start_cntr_17)) - (portRef (member encoder_start_cntr 6) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_17_0_S1_11 (joined @@ -770715,7 +770663,6 @@ )) (net encoder_start_cntr_18 (joined (portRef Q (instanceRef encoder_start_cntr_18)) - (portRef (member encoder_start_cntr 5) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_19_0_S0_11 (joined @@ -770724,7 +770671,6 @@ )) (net encoder_start_cntr_19 (joined (portRef Q (instanceRef encoder_start_cntr_19)) - (portRef (member encoder_start_cntr 4) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_19_0_S1_11 (joined @@ -770733,7 +770679,6 @@ )) (net encoder_start_cntr_20 (joined (portRef Q (instanceRef encoder_start_cntr_20)) - (portRef (member encoder_start_cntr 3) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_21_0_S0_11 (joined @@ -770742,7 +770687,6 @@ )) (net encoder_start_cntr_21 (joined (portRef Q (instanceRef encoder_start_cntr_21)) - (portRef (member encoder_start_cntr 2) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_cry_21_0_S1_11 (joined @@ -770751,7 +770695,6 @@ )) (net encoder_start_cntr_22 (joined (portRef Q (instanceRef encoder_start_cntr_22)) - (portRef (member encoder_start_cntr 1) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_s_23_0_S0_11 (joined @@ -770760,26 +770703,22 @@ )) (net encoder_start_cntr_23 (joined (portRef Q (instanceRef encoder_start_cntr_23)) - (portRef (member encoder_start_cntr 0) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) - (net fifo_full_i (joined - (portRef fifo_full_i (instanceRef FIFO)) - (portRef D (instanceRef FIFO_FULL_OUT)) - )) - (net channel_full_i_2 (joined - (portRef Q (instanceRef FIFO_FULL_OUT)) - (portRef (member channel_full_i 0)) - )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) + (net stat_reg_49 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) - (portRef clk_100_i_c (instanceRef Measurement_Sync)) - (portRef clk_100_i_c (instanceRef Encoder_Start_Sync)) - (portRef clk_100_i_c (instanceRef Lost_Hit_Sync)) (portRef clk_100_i_c (instanceRef FIFO)) (portRef CK (instanceRef FIFO_DATA_OUT_31)) (portRef CK (instanceRef FIFO_DATA_OUT_30)) @@ -770814,13 +770753,9 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) - (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) - (portRef (member final_reset_iso 0) (instanceRef Measurement_Sync)) - (portRef (member final_reset_iso 0) (instanceRef Encoder_Start_Sync)) - (portRef (member final_reset_iso 0) (instanceRef Lost_Hit_Sync)) (portRef PD (instanceRef FIFO_DATA_OUT_31)) (portRef PD (instanceRef FIFO_DATA_OUT_30)) (portRef PD (instanceRef FIFO_DATA_OUT_29)) @@ -770854,267 +770789,258 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) - (portRef CD (instanceRef FIFO_FULL_OUT)) - )) - (net stat_reg_0 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef stat_reg_0) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_2_0 (joined + (net channel_data_i_17_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_2 31)) + (portRef (member channel_data_i_17 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_2_1 (joined + (net channel_data_i_17_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_2 30)) + (portRef (member channel_data_i_17 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_2_2 (joined + (net channel_data_i_17_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_2 29)) + (portRef (member channel_data_i_17 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_2_3 (joined + (net channel_data_i_17_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_2 28)) + (portRef (member channel_data_i_17 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_2_4 (joined + (net channel_data_i_17_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_2 27)) + (portRef (member channel_data_i_17 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_2_5 (joined + (net channel_data_i_17_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_2 26)) + (portRef (member channel_data_i_17 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_2_6 (joined + (net channel_data_i_17_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_2 25)) + (portRef (member channel_data_i_17 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_2_7 (joined + (net channel_data_i_17_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_2 24)) + (portRef (member channel_data_i_17 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_2_8 (joined + (net channel_data_i_17_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_2 23)) + (portRef (member channel_data_i_17 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_2_9 (joined + (net channel_data_i_17_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_2 22)) + (portRef (member channel_data_i_17 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_2_10 (joined + (net channel_data_i_17_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_2 21)) + (portRef (member channel_data_i_17 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_2_11 (joined + (net channel_data_i_17_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_2 20)) + (portRef (member channel_data_i_17 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_2_12 (joined + (net channel_data_i_17_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_2 19)) + (portRef (member channel_data_i_17 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_2_13 (joined + (net channel_data_i_17_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_2 18)) + (portRef (member channel_data_i_17 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_2_14 (joined + (net channel_data_i_17_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_2 17)) + (portRef (member channel_data_i_17 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_2_15 (joined + (net channel_data_i_17_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_2 16)) + (portRef (member channel_data_i_17 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_2_16 (joined + (net channel_data_i_17_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_2 15)) + (portRef (member channel_data_i_17 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_2_17 (joined + (net channel_data_i_17_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_2 14)) + (portRef (member channel_data_i_17 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_2_18 (joined + (net channel_data_i_17_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_2 13)) + (portRef (member channel_data_i_17 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_2_19 (joined + (net channel_data_i_17_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_2 12)) + (portRef (member channel_data_i_17 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_2_20 (joined + (net channel_data_i_17_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_2 11)) + (portRef (member channel_data_i_17 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_2_21 (joined + (net channel_data_i_17_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_2 10)) + (portRef (member channel_data_i_17 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_2_22 (joined + (net channel_data_i_17_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_2 9)) + (portRef (member channel_data_i_17 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_2_23 (joined + (net channel_data_i_17_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_2 8)) + (portRef (member channel_data_i_17 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_2_24 (joined + (net channel_data_i_17_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_2 7)) + (portRef (member channel_data_i_17 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_2_25 (joined + (net channel_data_i_17_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_2 6)) + (portRef (member channel_data_i_17 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_2_26 (joined + (net channel_data_i_17_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_2 5)) + (portRef (member channel_data_i_17 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_2_27 (joined + (net channel_data_i_17_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_2 4)) + (portRef (member channel_data_i_17 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_2_28 (joined + (net channel_data_i_17_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_2 3)) + (portRef (member channel_data_i_17 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_2_29 (joined + (net channel_data_i_17_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_2 2)) + (portRef (member channel_data_i_17 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_2_30 (joined + (net channel_data_i_17_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_2 1)) + (portRef (member channel_data_i_17 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_2_31 (joined + (net channel_data_i_17_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_2 0)) + (portRef (member channel_data_i_17 0)) )) (net sync_q_CR0_ram_DO1_11 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -771133,8 +771059,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_2 (joined - (portRef reset_tdc_fast_2) + (net reset_tdc_rep1 (joined + (portRef reset_tdc_rep1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -771256,8 +771182,33 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_24 (joined - (portRef reset_tdc_fast_24) + (net reset_tdc_rep1_11 (joined + (portRef reset_tdc_rep1_11) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) + (portRef B (instanceRef result_reg_3_0_i_26)) + (portRef B (instanceRef result_reg_3_0_i_25)) + (portRef B (instanceRef result_reg_3_0_i_24)) + (portRef B (instanceRef result_reg_3_0_i_23)) + (portRef B (instanceRef result_reg_3_0_i_22)) + (portRef B (instanceRef result_reg_3_0_i_21)) + (portRef B (instanceRef result_reg_3_0_i_20)) + (portRef B (instanceRef result_reg_3_0_i_19)) + (portRef B (instanceRef result_reg_3_0_i_18)) + (portRef B (instanceRef result_reg_3_0_i_17)) + (portRef B (instanceRef result_reg_3_0_i_16)) + (portRef B (instanceRef result_reg_3_0_i_15)) + (portRef B (instanceRef result_reg_3_0_i_14)) + (portRef B (instanceRef result_reg_3_0_i_13)) + (portRef B (instanceRef result_reg_3_0_i_12)) + (portRef B (instanceRef result_reg_3_0_i_11)) (portRef B (instanceRef result_reg_3_0_i_10)) (portRef B (instanceRef result_reg_3_0_i_9)) (portRef B (instanceRef result_reg_3_0_i_8)) @@ -771299,108 +771250,6 @@ (portRef (member result_i 291) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_12)) )) - (net reset_tdc_fast_25 (joined - (portRef reset_tdc_fast_25) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - (portRef B (instanceRef result_reg_3_0_i_27)) - (portRef B (instanceRef result_reg_3_0_i_26)) - (portRef B (instanceRef result_reg_3_0_i_25)) - (portRef B (instanceRef result_reg_3_0_i_24)) - (portRef B (instanceRef result_reg_3_0_i_23)) - (portRef B (instanceRef result_reg_3_0_i_22)) - (portRef B (instanceRef result_reg_3_0_i_21)) - (portRef B (instanceRef result_reg_3_0_i_20)) - (portRef B (instanceRef result_reg_3_0_i_19)) - (portRef B (instanceRef result_reg_3_0_i_18)) - (portRef B (instanceRef result_reg_3_0_i_17)) - (portRef B (instanceRef result_reg_3_0_i_16)) - (portRef B (instanceRef result_reg_3_0_i_15)) - (portRef B (instanceRef result_reg_3_0_i_14)) - (portRef B (instanceRef result_reg_3_0_i_13)) - (portRef B (instanceRef result_reg_3_0_i_12)) - (portRef B (instanceRef result_reg_3_0_i_11)) - )) (net result_i_13 (joined (portRef (member result_i 290) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_13)) @@ -771501,6 +771350,109 @@ (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) )) + (net reset_tdc_rep1_12 (joined + (portRef reset_tdc_rep1_12) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + )) (net result_i_38 (joined (portRef (member result_i 265) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_38)) @@ -771797,108 +771749,6 @@ (portRef (member result_i 192) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_111)) )) - (net reset_tdc_fast_26 (joined - (portRef reset_tdc_fast_26) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - )) (net result_i_112 (joined (portRef (member result_i 191) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_112)) @@ -772003,6 +771853,109 @@ (portRef (member result_i 166) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_137)) )) + (net reset_tdc_rep1_13 (joined + (portRef reset_tdc_rep1_13) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + )) (net result_i_138 (joined (portRef (member result_i 165) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_138)) @@ -772295,104 +772248,6 @@ (portRef (member result_i 93) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_210)) )) - (net reset_tdc_fast_27 (joined - (portRef reset_tdc_fast_27) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - )) (net result_i_211 (joined (portRef (member result_i 92) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_211)) @@ -772501,6 +772356,77 @@ (portRef (member result_i 66) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_237)) )) + (net reset_tdc_rep1_14 (joined + (portRef reset_tdc_rep1_14) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + )) (net result_i_238 (joined (portRef (member result_i 65) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_238)) @@ -772765,8 +772691,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_2_0 (joined - (portRef (member channel_debug_01_i_2 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_17_0 (joined + (portRef (member channel_debug_01_i_17 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -772793,9 +772719,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_2_2 (joined - (portRef (member channel_debug_01_i_2 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_2 0) (instanceRef FIFO)) + (net channel_debug_01_i_17_2 (joined + (portRef (member channel_debug_01_i_17 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_17 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -772980,17 +772906,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_93 (joined - (portRef reset_tdc_93) - (portRef reset_tdc_93 (instanceRef FC)) + (net reset_tdc_47 (joined + (portRef reset_tdc_47) + (portRef reset_tdc_47 (instanceRef FC)) )) - (net reset_tdc_92 (joined - (portRef reset_tdc_92) - (portRef reset_tdc_92 (instanceRef FC)) + (net reset_tdc_46 (joined + (portRef reset_tdc_46) + (portRef reset_tdc_46 (instanceRef FC)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) - (portRef reset_tdc_91 (instanceRef FC)) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef reset_tdc_45 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -773032,43 +772958,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) - (portRef reset_tdc_94 (instanceRef Encoder)) - (portRef reset_tdc_94 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r3 (joined - (portRef reset_tdc_fast_36_r3) - (portRef reset_tdc_fast_36_r3 (instanceRef Encoder)) - )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) + (net reset_tdc_48 (joined + (portRef reset_tdc_48) + (portRef reset_tdc_48 (instanceRef Encoder)) + (portRef reset_tdc_48 (instanceRef FC)) )) - (net reset_tdc_fast_37_r2 (joined - (portRef reset_tdc_fast_37_r2) - (portRef reset_tdc_fast_37_r2 (instanceRef Encoder)) + (net reset_tdc_fast_36_r26 (joined + (portRef reset_tdc_fast_36_r26) + (portRef reset_tdc_fast_36_r26 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef reset_tdc_fast_36_r9 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r5 (joined - (portRef reset_tdc_fast_36_r5) - (portRef reset_tdc_fast_36_r5 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNILE6H)) + (portRef A (instanceRef result_2_reg_RNID5S21)) )) - (net rd_en_i_2 (joined + (net rd_en_i_17 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef reset_i_17 (instanceRef FIFO)) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef reset_i_10 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -773088,324 +773006,113 @@ (portRef G_1695_Q) (portRef G_1695_Q (instanceRef Edge_To_Pulse_Hit)) )) - (net stat_reg_542 (joined - (portRef (member stat_reg 23) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_542) - )) - (net stat_reg_543 (joined - (portRef (member stat_reg 22) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_543) - )) - (net stat_reg_544 (joined - (portRef (member stat_reg 21) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_544) - )) - (net stat_reg_545 (joined - (portRef (member stat_reg 20) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_545) - )) - (net stat_reg_546 (joined - (portRef (member stat_reg 19) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_546) - )) - (net stat_reg_547 (joined - (portRef (member stat_reg 18) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_547) - )) - (net stat_reg_548 (joined - (portRef (member stat_reg 17) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_548) - )) - (net stat_reg_549 (joined - (portRef (member stat_reg 16) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_549) - )) - (net stat_reg_550 (joined - (portRef (member stat_reg 15) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_550) - )) - (net stat_reg_551 (joined - (portRef (member stat_reg 14) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_551) - )) - (net stat_reg_552 (joined - (portRef (member stat_reg 13) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_552) - )) - (net stat_reg_553 (joined - (portRef (member stat_reg 12) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_553) - )) - (net stat_reg_554 (joined - (portRef (member stat_reg 11) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_554) - )) - (net stat_reg_555 (joined - (portRef (member stat_reg 10) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_555) - )) - (net stat_reg_556 (joined - (portRef (member stat_reg 9) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_556) - )) - (net stat_reg_557 (joined - (portRef (member stat_reg 8) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_557) - )) - (net stat_reg_558 (joined - (portRef (member stat_reg 7) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_558) - )) - (net stat_reg_559 (joined - (portRef (member stat_reg 6) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_559) - )) - (net stat_reg_560 (joined - (portRef (member stat_reg 5) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_560) - )) - (net stat_reg_561 (joined - (portRef (member stat_reg 4) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_561) - )) - (net stat_reg_562 (joined - (portRef (member stat_reg 3) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_562) - )) - (net stat_reg_563 (joined - (portRef (member stat_reg 2) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_563) - )) - (net stat_reg_564 (joined - (portRef (member stat_reg 1) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_564) - )) - (net stat_reg_565 (joined - (portRef (member stat_reg 0) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_565) - )) - (net stat_reg_606 (joined - (portRef (member stat_reg 23) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_606) - )) - (net stat_reg_607 (joined - (portRef (member stat_reg 22) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_607) - )) - (net stat_reg_608 (joined - (portRef (member stat_reg 21) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_608) - )) - (net stat_reg_609 (joined - (portRef (member stat_reg 20) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_609) - )) - (net stat_reg_610 (joined - (portRef (member stat_reg 19) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_610) - )) - (net stat_reg_611 (joined - (portRef (member stat_reg 18) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_611) - )) - (net stat_reg_612 (joined - (portRef (member stat_reg 17) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_612) - )) - (net stat_reg_613 (joined - (portRef (member stat_reg 16) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_613) - )) - (net stat_reg_614 (joined - (portRef (member stat_reg 15) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_614) - )) - (net stat_reg_615 (joined - (portRef (member stat_reg 14) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_615) - )) - (net stat_reg_616 (joined - (portRef (member stat_reg 13) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_616) - )) - (net stat_reg_617 (joined - (portRef (member stat_reg 12) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_617) - )) - (net stat_reg_618 (joined - (portRef (member stat_reg 11) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_618) - )) - (net stat_reg_619 (joined - (portRef (member stat_reg 10) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_619) - )) - (net stat_reg_620 (joined - (portRef (member stat_reg 9) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_620) - )) - (net stat_reg_621 (joined - (portRef (member stat_reg 8) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_621) - )) - (net stat_reg_622 (joined - (portRef (member stat_reg 7) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_622) - )) - (net stat_reg_623 (joined - (portRef (member stat_reg 6) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_623) - )) - (net stat_reg_624 (joined - (portRef (member stat_reg 5) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_624) - )) - (net stat_reg_625 (joined - (portRef (member stat_reg 4) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_625) - )) - (net stat_reg_626 (joined - (portRef (member stat_reg 3) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_626) - )) - (net stat_reg_627 (joined - (portRef (member stat_reg 2) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_627) - )) - (net stat_reg_628 (joined - (portRef (member stat_reg 1) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_628) - )) - (net stat_reg_629 (joined - (portRef (member stat_reg 0) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_629) - )) - (net stat_reg_574 (joined - (portRef (member stat_reg 23) (instanceRef Measurement_Sync)) - (portRef stat_reg_574) - )) - (net stat_reg_575 (joined - (portRef (member stat_reg 22) (instanceRef Measurement_Sync)) - (portRef stat_reg_575) - )) - (net stat_reg_576 (joined - (portRef (member stat_reg 21) (instanceRef Measurement_Sync)) - (portRef stat_reg_576) - )) - (net stat_reg_577 (joined - (portRef (member stat_reg 20) (instanceRef Measurement_Sync)) - (portRef stat_reg_577) - )) - (net stat_reg_578 (joined - (portRef (member stat_reg 19) (instanceRef Measurement_Sync)) - (portRef stat_reg_578) - )) - (net stat_reg_579 (joined - (portRef (member stat_reg 18) (instanceRef Measurement_Sync)) - (portRef stat_reg_579) - )) - (net stat_reg_580 (joined - (portRef (member stat_reg 17) (instanceRef Measurement_Sync)) - (portRef stat_reg_580) - )) - (net stat_reg_581 (joined - (portRef (member stat_reg 16) (instanceRef Measurement_Sync)) - (portRef stat_reg_581) - )) - (net stat_reg_582 (joined - (portRef (member stat_reg 15) (instanceRef Measurement_Sync)) - (portRef stat_reg_582) - )) - (net stat_reg_583 (joined - (portRef (member stat_reg 14) (instanceRef Measurement_Sync)) - (portRef stat_reg_583) - )) - (net stat_reg_584 (joined - (portRef (member stat_reg 13) (instanceRef Measurement_Sync)) - (portRef stat_reg_584) - )) - (net stat_reg_585 (joined - (portRef (member stat_reg 12) (instanceRef Measurement_Sync)) - (portRef stat_reg_585) - )) - (net stat_reg_586 (joined - (portRef (member stat_reg 11) (instanceRef Measurement_Sync)) - (portRef stat_reg_586) - )) - (net stat_reg_587 (joined - (portRef (member stat_reg 10) (instanceRef Measurement_Sync)) - (portRef stat_reg_587) - )) - (net stat_reg_588 (joined - (portRef (member stat_reg 9) (instanceRef Measurement_Sync)) - (portRef stat_reg_588) - )) - (net stat_reg_589 (joined - (portRef (member stat_reg 8) (instanceRef Measurement_Sync)) - (portRef stat_reg_589) - )) - (net stat_reg_590 (joined - (portRef (member stat_reg 7) (instanceRef Measurement_Sync)) - (portRef stat_reg_590) - )) - (net stat_reg_591 (joined - (portRef (member stat_reg 6) (instanceRef Measurement_Sync)) - (portRef stat_reg_591) - )) - (net stat_reg_592 (joined - (portRef (member stat_reg 5) (instanceRef Measurement_Sync)) - (portRef stat_reg_592) - )) - (net stat_reg_593 (joined - (portRef (member stat_reg 4) (instanceRef Measurement_Sync)) - (portRef stat_reg_593) - )) - (net stat_reg_594 (joined - (portRef (member stat_reg 3) (instanceRef Measurement_Sync)) - (portRef stat_reg_594) - )) - (net stat_reg_595 (joined - (portRef (member stat_reg 2) (instanceRef Measurement_Sync)) - (portRef stat_reg_595) - )) - (net stat_reg_596 (joined - (portRef (member stat_reg 1) (instanceRef Measurement_Sync)) - (portRef stat_reg_596) - )) - (net stat_reg_597 (joined - (portRef (member stat_reg 0) (instanceRef Measurement_Sync)) - (portRef stat_reg_597) - )) ) ) ) - (cell Channel_17 (cellType GENERIC) + (cell Channel_2 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(17:17)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(17:17)") 1) (direction INPUT)) - (port (array (rename channel_data_i_17 "channel_data_i_17(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(49:49)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(2:2)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(2:2)") 1) (direction INPUT)) + (port (array (rename channel_data_i_2 "channel_data_i_2(31:0)") 32) (direction OUTPUT)) + (port stat_reg_597 (direction OUTPUT)) + (port stat_reg_596 (direction OUTPUT)) + (port stat_reg_595 (direction OUTPUT)) + (port stat_reg_594 (direction OUTPUT)) + (port stat_reg_593 (direction OUTPUT)) + (port stat_reg_592 (direction OUTPUT)) + (port stat_reg_591 (direction OUTPUT)) + (port stat_reg_590 (direction OUTPUT)) + (port stat_reg_589 (direction OUTPUT)) + (port stat_reg_588 (direction OUTPUT)) + (port stat_reg_587 (direction OUTPUT)) + (port stat_reg_586 (direction OUTPUT)) + (port stat_reg_585 (direction OUTPUT)) + (port stat_reg_584 (direction OUTPUT)) + (port stat_reg_583 (direction OUTPUT)) + (port stat_reg_582 (direction OUTPUT)) + (port stat_reg_581 (direction OUTPUT)) + (port stat_reg_580 (direction OUTPUT)) + (port stat_reg_579 (direction OUTPUT)) + (port stat_reg_578 (direction OUTPUT)) + (port stat_reg_577 (direction OUTPUT)) + (port stat_reg_576 (direction OUTPUT)) + (port stat_reg_575 (direction OUTPUT)) + (port stat_reg_574 (direction OUTPUT)) + (port stat_reg_629 (direction OUTPUT)) + (port stat_reg_628 (direction OUTPUT)) + (port stat_reg_627 (direction OUTPUT)) + (port stat_reg_626 (direction OUTPUT)) + (port stat_reg_625 (direction OUTPUT)) + (port stat_reg_624 (direction OUTPUT)) + (port stat_reg_623 (direction OUTPUT)) + (port stat_reg_622 (direction OUTPUT)) + (port stat_reg_621 (direction OUTPUT)) + (port stat_reg_620 (direction OUTPUT)) + (port stat_reg_619 (direction OUTPUT)) + (port stat_reg_618 (direction OUTPUT)) + (port stat_reg_617 (direction OUTPUT)) + (port stat_reg_616 (direction OUTPUT)) + (port stat_reg_615 (direction OUTPUT)) + (port stat_reg_614 (direction OUTPUT)) + (port stat_reg_613 (direction OUTPUT)) + (port stat_reg_612 (direction OUTPUT)) + (port stat_reg_611 (direction OUTPUT)) + (port stat_reg_610 (direction OUTPUT)) + (port stat_reg_609 (direction OUTPUT)) + (port stat_reg_608 (direction OUTPUT)) + (port stat_reg_607 (direction OUTPUT)) + (port stat_reg_606 (direction OUTPUT)) + (port stat_reg_565 (direction OUTPUT)) + (port stat_reg_564 (direction OUTPUT)) + (port stat_reg_563 (direction OUTPUT)) + (port stat_reg_562 (direction OUTPUT)) + (port stat_reg_561 (direction OUTPUT)) + (port stat_reg_560 (direction OUTPUT)) + (port stat_reg_559 (direction OUTPUT)) + (port stat_reg_558 (direction OUTPUT)) + (port stat_reg_557 (direction OUTPUT)) + (port stat_reg_556 (direction OUTPUT)) + (port stat_reg_555 (direction OUTPUT)) + (port stat_reg_554 (direction OUTPUT)) + (port stat_reg_553 (direction OUTPUT)) + (port stat_reg_552 (direction OUTPUT)) + (port stat_reg_551 (direction OUTPUT)) + (port stat_reg_550 (direction OUTPUT)) + (port stat_reg_549 (direction OUTPUT)) + (port stat_reg_548 (direction OUTPUT)) + (port stat_reg_547 (direction OUTPUT)) + (port stat_reg_546 (direction OUTPUT)) + (port stat_reg_545 (direction OUTPUT)) + (port stat_reg_544 (direction OUTPUT)) + (port stat_reg_543 (direction OUTPUT)) + (port stat_reg_542 (direction OUTPUT)) + (port stat_reg_0 (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(2:2)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1704_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_9 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r26 (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) - (port reset_tdc_46 (direction INPUT)) - (port reset_tdc_47 (direction INPUT)) - (port reset_tdc_48 (direction INPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_tdc_fast_36_r5 (direction INPUT)) + (port reset_tdc_fast_36_r3 (direction INPUT)) + (port reset_tdc_fast_37_r2 (direction INPUT)) + (port reset_tdc_fast_37_r3 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_36_r2 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) + (port reset_tdc_92 (direction INPUT)) + (port reset_tdc_93 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_17 (direction INPUT)) - (port reset_tdc_rep1_16 (direction INPUT)) - (port reset_tdc_rep1_15 (direction INPUT)) - (port reset_tdc_rep1_14 (direction INPUT)) - (port reset_tdc_rep1_1 (direction INPUT)) - (port reset_tdc_rep1 (direction INPUT)) + (port reset_tdc_fast_31 (direction INPUT)) + (port reset_tdc_fast_30 (direction INPUT)) + (port reset_tdc_fast_29 (direction INPUT)) + (port reset_tdc_fast_28 (direction INPUT)) + (port reset_tdc_fast_27 (direction INPUT)) + (port reset_tdc_fast_3 (direction INPUT)) + (port reset_tdc_fast_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -773419,7 +773126,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295706_0 (direction OUTPUT)) + (port N_296004_0 (direction OUTPUT)) (port G_1702_Q (direction INPUT)) (port sync_q_and_10 (direction OUTPUT)) (port G_1699_Q (direction INPUT)) @@ -773428,14 +773135,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIGC121 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIOLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIGC121_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIOLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIGC121_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIOLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -774232,6 +773939,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -775281,7 +774990,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNID5S21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNILE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -775526,6 +775235,12 @@ ) (instance Edge_To_Pulse_Hit (viewRef netlist (cellRef edge_to_pulse_0_11)) ) + (instance Lost_Hit_Sync (viewRef netlist (cellRef signal_sync_24_3_33)) + ) + (instance Encoder_Start_Sync (viewRef netlist (cellRef signal_sync_24_3_34)) + ) + (instance Measurement_Sync (viewRef netlist (cellRef signal_sync_24_3_35)) + ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) (portRef (member hit_in_iZ0 0)) @@ -775537,32 +775252,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0)) )) (net tmp1_10_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIG3C5_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI2E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNID5S21)) + (portRef B (instanceRef result_2_reg_RNILE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIGC121_1)) - (portRef B (instanceRef result_2_reg_RNIGC121_0)) - (portRef B (instanceRef result_2_reg_RNIGC121)) + (portRef B (instanceRef result_2_reg_RNIOLBG_1)) + (portRef B (instanceRef result_2_reg_RNIOLBG_0)) + (portRef B (instanceRef result_2_reg_RNIOLBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNID5S21)) + (portRef C (instanceRef result_2_reg_RNILE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIGC121_1)) - (portRef C (instanceRef result_2_reg_RNIGC121_0)) - (portRef C (instanceRef result_2_reg_RNIGC121)) + (portRef C (instanceRef result_2_reg_RNIOLBG_1)) + (portRef C (instanceRef result_2_reg_RNIOLBG_0)) + (portRef C (instanceRef result_2_reg_RNIOLBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIGC121)) + (portRef Z (instanceRef result_2_reg_RNIOLBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -775641,9 +775356,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIGC121_1)) - (portRef A (instanceRef result_2_reg_RNIGC121_0)) - (portRef A (instanceRef result_2_reg_RNIGC121)) + (portRef A (instanceRef result_2_reg_RNIOLBG_1)) + (portRef A (instanceRef result_2_reg_RNIOLBG_0)) + (portRef A (instanceRef result_2_reg_RNIOLBG)) )) (net GND (joined (portRef GND) @@ -775798,7 +775513,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIGC121_0)) + (portRef Z (instanceRef result_2_reg_RNIOLBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -775876,7 +775591,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIGC121_1)) + (portRef Z (instanceRef result_2_reg_RNIOLBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -775963,9 +775678,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295706_0 (joined + (net N_296004_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295706_0) + (portRef N_296004_0) )) (net tmp1_10_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -776001,6 +775716,9 @@ )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) + (portRef CLK_PCLK_LEFT_c (instanceRef Measurement_Sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Encoder_Start_Sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Lost_Hit_Sync)) (portRef CLK_PCLK_LEFT_c (instanceRef Edge_To_Pulse_Hit)) (portRef CLK_PCLK_LEFT_c (instanceRef FIFO)) (portRef CLK_PCLK_LEFT_c (instanceRef Encoder)) @@ -776472,6 +776190,9 @@ )) (net VCC (joined (portRef VCC) + (portRef VCC (instanceRef Measurement_Sync)) + (portRef VCC (instanceRef Encoder_Start_Sync)) + (portRef VCC (instanceRef Lost_Hit_Sync)) (portRef VCC (instanceRef Edge_To_Pulse_Hit)) (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) @@ -776617,7 +776338,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNID5S21)) + (portRef Z (instanceRef result_2_reg_RNILE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -779049,6 +778770,7 @@ )) (net measurement_cntr_0 (joined (portRef Q (instanceRef measurement_cntr_0)) + (portRef (member measurement_cntr 23) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S0_10 (joined @@ -779057,6 +778779,7 @@ )) (net measurement_cntr_1 (joined (portRef Q (instanceRef measurement_cntr_1)) + (portRef (member measurement_cntr 22) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S1_10 (joined @@ -779065,6 +778788,7 @@ )) (net measurement_cntr_2 (joined (portRef Q (instanceRef measurement_cntr_2)) + (portRef (member measurement_cntr 21) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S0_10 (joined @@ -779073,6 +778797,7 @@ )) (net measurement_cntr_3 (joined (portRef Q (instanceRef measurement_cntr_3)) + (portRef (member measurement_cntr 20) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S1_10 (joined @@ -779081,6 +778806,7 @@ )) (net measurement_cntr_4 (joined (portRef Q (instanceRef measurement_cntr_4)) + (portRef (member measurement_cntr 19) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S0_10 (joined @@ -779089,6 +778815,7 @@ )) (net measurement_cntr_5 (joined (portRef Q (instanceRef measurement_cntr_5)) + (portRef (member measurement_cntr 18) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S1_10 (joined @@ -779097,6 +778824,7 @@ )) (net measurement_cntr_6 (joined (portRef Q (instanceRef measurement_cntr_6)) + (portRef (member measurement_cntr 17) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S0_10 (joined @@ -779105,6 +778833,7 @@ )) (net measurement_cntr_7 (joined (portRef Q (instanceRef measurement_cntr_7)) + (portRef (member measurement_cntr 16) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S1_10 (joined @@ -779113,6 +778842,7 @@ )) (net measurement_cntr_8 (joined (portRef Q (instanceRef measurement_cntr_8)) + (portRef (member measurement_cntr 15) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S0_10 (joined @@ -779121,6 +778851,7 @@ )) (net measurement_cntr_9 (joined (portRef Q (instanceRef measurement_cntr_9)) + (portRef (member measurement_cntr 14) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S1_10 (joined @@ -779129,6 +778860,7 @@ )) (net measurement_cntr_10 (joined (portRef Q (instanceRef measurement_cntr_10)) + (portRef (member measurement_cntr 13) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S0_10 (joined @@ -779137,6 +778869,7 @@ )) (net measurement_cntr_11 (joined (portRef Q (instanceRef measurement_cntr_11)) + (portRef (member measurement_cntr 12) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S1_10 (joined @@ -779145,6 +778878,7 @@ )) (net measurement_cntr_12 (joined (portRef Q (instanceRef measurement_cntr_12)) + (portRef (member measurement_cntr 11) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S0_10 (joined @@ -779153,6 +778887,7 @@ )) (net measurement_cntr_13 (joined (portRef Q (instanceRef measurement_cntr_13)) + (portRef (member measurement_cntr 10) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S1_10 (joined @@ -779161,6 +778896,7 @@ )) (net measurement_cntr_14 (joined (portRef Q (instanceRef measurement_cntr_14)) + (portRef (member measurement_cntr 9) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S0_10 (joined @@ -779169,6 +778905,7 @@ )) (net measurement_cntr_15 (joined (portRef Q (instanceRef measurement_cntr_15)) + (portRef (member measurement_cntr 8) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S1_10 (joined @@ -779177,6 +778914,7 @@ )) (net measurement_cntr_16 (joined (portRef Q (instanceRef measurement_cntr_16)) + (portRef (member measurement_cntr 7) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S0_10 (joined @@ -779185,6 +778923,7 @@ )) (net measurement_cntr_17 (joined (portRef Q (instanceRef measurement_cntr_17)) + (portRef (member measurement_cntr 6) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S1_10 (joined @@ -779193,6 +778932,7 @@ )) (net measurement_cntr_18 (joined (portRef Q (instanceRef measurement_cntr_18)) + (portRef (member measurement_cntr 5) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S0_10 (joined @@ -779201,6 +778941,7 @@ )) (net measurement_cntr_19 (joined (portRef Q (instanceRef measurement_cntr_19)) + (portRef (member measurement_cntr 4) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S1_10 (joined @@ -779209,6 +778950,7 @@ )) (net measurement_cntr_20 (joined (portRef Q (instanceRef measurement_cntr_20)) + (portRef (member measurement_cntr 3) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S0_10 (joined @@ -779217,6 +778959,7 @@ )) (net measurement_cntr_21 (joined (portRef Q (instanceRef measurement_cntr_21)) + (portRef (member measurement_cntr 2) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S1_10 (joined @@ -779225,6 +778968,7 @@ )) (net measurement_cntr_22 (joined (portRef Q (instanceRef measurement_cntr_22)) + (portRef (member measurement_cntr 1) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_s_23_0_S0_10 (joined @@ -779233,6 +778977,7 @@ )) (net measurement_cntr_23 (joined (portRef Q (instanceRef measurement_cntr_23)) + (portRef (member measurement_cntr 0) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) (net lost_hit_cntr_lm_0 (joined @@ -779241,6 +778986,7 @@ )) (net lost_hit_cntr_0 (joined (portRef Q (instanceRef lost_hit_cntr_0)) + (portRef (member lost_hit_cntr 23) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_0)) )) (net lost_hit_cntr_lm_1 (joined @@ -779249,6 +778995,7 @@ )) (net lost_hit_cntr_1 (joined (portRef Q (instanceRef lost_hit_cntr_1)) + (portRef (member lost_hit_cntr 22) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_2 (joined @@ -779257,6 +779004,7 @@ )) (net lost_hit_cntr_2 (joined (portRef Q (instanceRef lost_hit_cntr_2)) + (portRef (member lost_hit_cntr 21) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_3 (joined @@ -779265,6 +779013,7 @@ )) (net lost_hit_cntr_3 (joined (portRef Q (instanceRef lost_hit_cntr_3)) + (portRef (member lost_hit_cntr 20) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_4 (joined @@ -779273,6 +779022,7 @@ )) (net lost_hit_cntr_4 (joined (portRef Q (instanceRef lost_hit_cntr_4)) + (portRef (member lost_hit_cntr 19) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_5 (joined @@ -779281,6 +779031,7 @@ )) (net lost_hit_cntr_5 (joined (portRef Q (instanceRef lost_hit_cntr_5)) + (portRef (member lost_hit_cntr 18) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_6 (joined @@ -779289,6 +779040,7 @@ )) (net lost_hit_cntr_6 (joined (portRef Q (instanceRef lost_hit_cntr_6)) + (portRef (member lost_hit_cntr 17) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_7 (joined @@ -779297,6 +779049,7 @@ )) (net lost_hit_cntr_7 (joined (portRef Q (instanceRef lost_hit_cntr_7)) + (portRef (member lost_hit_cntr 16) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_8 (joined @@ -779305,6 +779058,7 @@ )) (net lost_hit_cntr_8 (joined (portRef Q (instanceRef lost_hit_cntr_8)) + (portRef (member lost_hit_cntr 15) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_9 (joined @@ -779313,6 +779067,7 @@ )) (net lost_hit_cntr_9 (joined (portRef Q (instanceRef lost_hit_cntr_9)) + (portRef (member lost_hit_cntr 14) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_10 (joined @@ -779321,6 +779076,7 @@ )) (net lost_hit_cntr_10 (joined (portRef Q (instanceRef lost_hit_cntr_10)) + (portRef (member lost_hit_cntr 13) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_11 (joined @@ -779329,6 +779085,7 @@ )) (net lost_hit_cntr_11 (joined (portRef Q (instanceRef lost_hit_cntr_11)) + (portRef (member lost_hit_cntr 12) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_12 (joined @@ -779337,6 +779094,7 @@ )) (net lost_hit_cntr_12 (joined (portRef Q (instanceRef lost_hit_cntr_12)) + (portRef (member lost_hit_cntr 11) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_13 (joined @@ -779345,6 +779103,7 @@ )) (net lost_hit_cntr_13 (joined (portRef Q (instanceRef lost_hit_cntr_13)) + (portRef (member lost_hit_cntr 10) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_14 (joined @@ -779353,6 +779112,7 @@ )) (net lost_hit_cntr_14 (joined (portRef Q (instanceRef lost_hit_cntr_14)) + (portRef (member lost_hit_cntr 9) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_15 (joined @@ -779361,6 +779121,7 @@ )) (net lost_hit_cntr_15 (joined (portRef Q (instanceRef lost_hit_cntr_15)) + (portRef (member lost_hit_cntr 8) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_16 (joined @@ -779369,6 +779130,7 @@ )) (net lost_hit_cntr_16 (joined (portRef Q (instanceRef lost_hit_cntr_16)) + (portRef (member lost_hit_cntr 7) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_17 (joined @@ -779377,6 +779139,7 @@ )) (net lost_hit_cntr_17 (joined (portRef Q (instanceRef lost_hit_cntr_17)) + (portRef (member lost_hit_cntr 6) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_18 (joined @@ -779385,6 +779148,7 @@ )) (net lost_hit_cntr_18 (joined (portRef Q (instanceRef lost_hit_cntr_18)) + (portRef (member lost_hit_cntr 5) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_19 (joined @@ -779393,6 +779157,7 @@ )) (net lost_hit_cntr_19 (joined (portRef Q (instanceRef lost_hit_cntr_19)) + (portRef (member lost_hit_cntr 4) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_20 (joined @@ -779401,6 +779166,7 @@ )) (net lost_hit_cntr_20 (joined (portRef Q (instanceRef lost_hit_cntr_20)) + (portRef (member lost_hit_cntr 3) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_21 (joined @@ -779409,6 +779175,7 @@ )) (net lost_hit_cntr_21 (joined (portRef Q (instanceRef lost_hit_cntr_21)) + (portRef (member lost_hit_cntr 2) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_22 (joined @@ -779417,6 +779184,7 @@ )) (net lost_hit_cntr_22 (joined (portRef Q (instanceRef lost_hit_cntr_22)) + (portRef (member lost_hit_cntr 1) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_23 (joined @@ -779425,6 +779193,7 @@ )) (net lost_hit_cntr_23 (joined (portRef Q (instanceRef lost_hit_cntr_23)) + (portRef (member lost_hit_cntr 0) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined @@ -779530,9 +779299,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_17_1 (joined + (net channel_debug_01_i_2_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_17 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_2 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_10 (joined @@ -779541,6 +779310,7 @@ )) (net encoder_start_cntr_0 (joined (portRef Q (instanceRef encoder_start_cntr_0)) + (portRef (member encoder_start_cntr 23) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_1_0_S0_10 (joined @@ -779549,6 +779319,7 @@ )) (net encoder_start_cntr_1 (joined (portRef Q (instanceRef encoder_start_cntr_1)) + (portRef (member encoder_start_cntr 22) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_1_0_S1_10 (joined @@ -779557,6 +779328,7 @@ )) (net encoder_start_cntr_2 (joined (portRef Q (instanceRef encoder_start_cntr_2)) + (portRef (member encoder_start_cntr 21) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_3_0_S0_10 (joined @@ -779565,6 +779337,7 @@ )) (net encoder_start_cntr_3 (joined (portRef Q (instanceRef encoder_start_cntr_3)) + (portRef (member encoder_start_cntr 20) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_3_0_S1_10 (joined @@ -779573,6 +779346,7 @@ )) (net encoder_start_cntr_4 (joined (portRef Q (instanceRef encoder_start_cntr_4)) + (portRef (member encoder_start_cntr 19) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_5_0_S0_10 (joined @@ -779581,6 +779355,7 @@ )) (net encoder_start_cntr_5 (joined (portRef Q (instanceRef encoder_start_cntr_5)) + (portRef (member encoder_start_cntr 18) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_5_0_S1_10 (joined @@ -779589,6 +779364,7 @@ )) (net encoder_start_cntr_6 (joined (portRef Q (instanceRef encoder_start_cntr_6)) + (portRef (member encoder_start_cntr 17) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_7_0_S0_10 (joined @@ -779597,6 +779373,7 @@ )) (net encoder_start_cntr_7 (joined (portRef Q (instanceRef encoder_start_cntr_7)) + (portRef (member encoder_start_cntr 16) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_7_0_S1_10 (joined @@ -779605,6 +779382,7 @@ )) (net encoder_start_cntr_8 (joined (portRef Q (instanceRef encoder_start_cntr_8)) + (portRef (member encoder_start_cntr 15) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_9_0_S0_10 (joined @@ -779613,6 +779391,7 @@ )) (net encoder_start_cntr_9 (joined (portRef Q (instanceRef encoder_start_cntr_9)) + (portRef (member encoder_start_cntr 14) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_9_0_S1_10 (joined @@ -779621,6 +779400,7 @@ )) (net encoder_start_cntr_10 (joined (portRef Q (instanceRef encoder_start_cntr_10)) + (portRef (member encoder_start_cntr 13) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_11_0_S0_10 (joined @@ -779629,6 +779409,7 @@ )) (net encoder_start_cntr_11 (joined (portRef Q (instanceRef encoder_start_cntr_11)) + (portRef (member encoder_start_cntr 12) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_11_0_S1_10 (joined @@ -779637,6 +779418,7 @@ )) (net encoder_start_cntr_12 (joined (portRef Q (instanceRef encoder_start_cntr_12)) + (portRef (member encoder_start_cntr 11) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_13_0_S0_10 (joined @@ -779645,6 +779427,7 @@ )) (net encoder_start_cntr_13 (joined (portRef Q (instanceRef encoder_start_cntr_13)) + (portRef (member encoder_start_cntr 10) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_13_0_S1_10 (joined @@ -779653,6 +779436,7 @@ )) (net encoder_start_cntr_14 (joined (portRef Q (instanceRef encoder_start_cntr_14)) + (portRef (member encoder_start_cntr 9) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_15_0_S0_10 (joined @@ -779661,6 +779445,7 @@ )) (net encoder_start_cntr_15 (joined (portRef Q (instanceRef encoder_start_cntr_15)) + (portRef (member encoder_start_cntr 8) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_15_0_S1_10 (joined @@ -779669,6 +779454,7 @@ )) (net encoder_start_cntr_16 (joined (portRef Q (instanceRef encoder_start_cntr_16)) + (portRef (member encoder_start_cntr 7) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_17_0_S0_10 (joined @@ -779677,6 +779463,7 @@ )) (net encoder_start_cntr_17 (joined (portRef Q (instanceRef encoder_start_cntr_17)) + (portRef (member encoder_start_cntr 6) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_17_0_S1_10 (joined @@ -779685,6 +779472,7 @@ )) (net encoder_start_cntr_18 (joined (portRef Q (instanceRef encoder_start_cntr_18)) + (portRef (member encoder_start_cntr 5) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_19_0_S0_10 (joined @@ -779693,6 +779481,7 @@ )) (net encoder_start_cntr_19 (joined (portRef Q (instanceRef encoder_start_cntr_19)) + (portRef (member encoder_start_cntr 4) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_19_0_S1_10 (joined @@ -779701,6 +779490,7 @@ )) (net encoder_start_cntr_20 (joined (portRef Q (instanceRef encoder_start_cntr_20)) + (portRef (member encoder_start_cntr 3) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_21_0_S0_10 (joined @@ -779709,6 +779499,7 @@ )) (net encoder_start_cntr_21 (joined (portRef Q (instanceRef encoder_start_cntr_21)) + (portRef (member encoder_start_cntr 2) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_cry_21_0_S1_10 (joined @@ -779717,6 +779508,7 @@ )) (net encoder_start_cntr_22 (joined (portRef Q (instanceRef encoder_start_cntr_22)) + (portRef (member encoder_start_cntr 1) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_s_23_0_S0_10 (joined @@ -779725,22 +779517,26 @@ )) (net encoder_start_cntr_23 (joined (portRef Q (instanceRef encoder_start_cntr_23)) + (portRef (member encoder_start_cntr 0) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_2 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_49 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) + (portRef clk_100_i_c (instanceRef Measurement_Sync)) + (portRef clk_100_i_c (instanceRef Encoder_Start_Sync)) + (portRef clk_100_i_c (instanceRef Lost_Hit_Sync)) (portRef clk_100_i_c (instanceRef FIFO)) (portRef CK (instanceRef FIFO_DATA_OUT_31)) (portRef CK (instanceRef FIFO_DATA_OUT_30)) @@ -779775,9 +779571,13 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) + (portRef (member final_reset_iso 0) (instanceRef Measurement_Sync)) + (portRef (member final_reset_iso 0) (instanceRef Encoder_Start_Sync)) + (portRef (member final_reset_iso 0) (instanceRef Lost_Hit_Sync)) (portRef PD (instanceRef FIFO_DATA_OUT_31)) (portRef PD (instanceRef FIFO_DATA_OUT_30)) (portRef PD (instanceRef FIFO_DATA_OUT_29)) @@ -779811,258 +779611,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_17_0 (joined + (net stat_reg_0 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef stat_reg_0) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_2_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_17 31)) + (portRef (member channel_data_i_2 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_17_1 (joined + (net channel_data_i_2_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_17 30)) + (portRef (member channel_data_i_2 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_17_2 (joined + (net channel_data_i_2_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_17 29)) + (portRef (member channel_data_i_2 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_17_3 (joined + (net channel_data_i_2_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_17 28)) + (portRef (member channel_data_i_2 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_17_4 (joined + (net channel_data_i_2_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_17 27)) + (portRef (member channel_data_i_2 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_17_5 (joined + (net channel_data_i_2_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_17 26)) + (portRef (member channel_data_i_2 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_17_6 (joined + (net channel_data_i_2_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_17 25)) + (portRef (member channel_data_i_2 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_17_7 (joined + (net channel_data_i_2_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_17 24)) + (portRef (member channel_data_i_2 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_17_8 (joined + (net channel_data_i_2_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_17 23)) + (portRef (member channel_data_i_2 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_17_9 (joined + (net channel_data_i_2_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_17 22)) + (portRef (member channel_data_i_2 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_17_10 (joined + (net channel_data_i_2_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_17 21)) + (portRef (member channel_data_i_2 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_17_11 (joined + (net channel_data_i_2_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_17 20)) + (portRef (member channel_data_i_2 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_17_12 (joined + (net channel_data_i_2_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_17 19)) + (portRef (member channel_data_i_2 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_17_13 (joined + (net channel_data_i_2_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_17 18)) + (portRef (member channel_data_i_2 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_17_14 (joined + (net channel_data_i_2_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_17 17)) + (portRef (member channel_data_i_2 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_17_15 (joined + (net channel_data_i_2_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_17 16)) + (portRef (member channel_data_i_2 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_17_16 (joined + (net channel_data_i_2_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_17 15)) + (portRef (member channel_data_i_2 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_17_17 (joined + (net channel_data_i_2_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_17 14)) + (portRef (member channel_data_i_2 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_17_18 (joined + (net channel_data_i_2_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_17 13)) + (portRef (member channel_data_i_2 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_17_19 (joined + (net channel_data_i_2_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_17 12)) + (portRef (member channel_data_i_2 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_17_20 (joined + (net channel_data_i_2_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_17 11)) + (portRef (member channel_data_i_2 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_17_21 (joined + (net channel_data_i_2_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_17 10)) + (portRef (member channel_data_i_2 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_17_22 (joined + (net channel_data_i_2_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_17 9)) + (portRef (member channel_data_i_2 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_17_23 (joined + (net channel_data_i_2_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_17 8)) + (portRef (member channel_data_i_2 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_17_24 (joined + (net channel_data_i_2_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_17 7)) + (portRef (member channel_data_i_2 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_17_25 (joined + (net channel_data_i_2_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_17 6)) + (portRef (member channel_data_i_2 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_17_26 (joined + (net channel_data_i_2_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_17 5)) + (portRef (member channel_data_i_2 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_17_27 (joined + (net channel_data_i_2_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_17 4)) + (portRef (member channel_data_i_2 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_17_28 (joined + (net channel_data_i_2_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_17 3)) + (portRef (member channel_data_i_2 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_17_29 (joined + (net channel_data_i_2_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_17 2)) + (portRef (member channel_data_i_2 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_17_30 (joined + (net channel_data_i_2_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_17 1)) + (portRef (member channel_data_i_2 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_17_31 (joined + (net channel_data_i_2_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_17 0)) + (portRef (member channel_data_i_2 0)) )) (net sync_q_CR0_ram_DO1_10 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -780081,8 +779890,13 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1 (joined - (portRef reset_tdc_rep1) + (net reset_tdc_fast_2 (joined + (portRef reset_tdc_fast_2) + (portRef B (instanceRef lost_hit_cntr_lm_0_8)) + (portRef B (instanceRef lost_hit_cntr_lm_0_7)) + (portRef B (instanceRef lost_hit_cntr_lm_0_6)) + (portRef B (instanceRef lost_hit_cntr_lm_0_5)) + (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) (portRef B (instanceRef lost_hit_cntr_lm_0_2)) (portRef B (instanceRef lost_hit_cntr_lm_0_1)) @@ -780104,29 +779918,6 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) (portRef A (instanceRef lost_hit_cntr_lm_0_5)) )) - (net reset_tdc_rep1_1 (joined - (portRef reset_tdc_rep1_1) - (portRef B (instanceRef lost_hit_cntr_lm_0_23)) - (portRef B (instanceRef lost_hit_cntr_lm_0_22)) - (portRef B (instanceRef lost_hit_cntr_lm_0_21)) - (portRef B (instanceRef lost_hit_cntr_lm_0_20)) - (portRef B (instanceRef lost_hit_cntr_lm_0_19)) - (portRef B (instanceRef lost_hit_cntr_lm_0_18)) - (portRef B (instanceRef lost_hit_cntr_lm_0_17)) - (portRef B (instanceRef lost_hit_cntr_lm_0_16)) - (portRef B (instanceRef lost_hit_cntr_lm_0_15)) - (portRef B (instanceRef lost_hit_cntr_lm_0_14)) - (portRef B (instanceRef lost_hit_cntr_lm_0_13)) - (portRef B (instanceRef lost_hit_cntr_lm_0_12)) - (portRef B (instanceRef lost_hit_cntr_lm_0_11)) - (portRef B (instanceRef lost_hit_cntr_lm_0_10)) - (portRef B (instanceRef lost_hit_cntr_lm_0_9)) - (portRef B (instanceRef lost_hit_cntr_lm_0_8)) - (portRef B (instanceRef lost_hit_cntr_lm_0_7)) - (portRef B (instanceRef lost_hit_cntr_lm_0_6)) - (portRef B (instanceRef lost_hit_cntr_lm_0_5)) - (portRef B (instanceRef lost_hit_cntr_lm_0_4)) - )) (net lost_hit_cntr_s_6 (joined (portRef S1 (instanceRef lost_hit_cntr_cry_0_5)) (portRef A (instanceRef lost_hit_cntr_lm_0_6)) @@ -780147,6 +779938,24 @@ (portRef S1 (instanceRef lost_hit_cntr_cry_0_9)) (portRef A (instanceRef lost_hit_cntr_lm_0_10)) )) + (net reset_tdc_fast_3 (joined + (portRef reset_tdc_fast_3) + (portRef B (instanceRef lost_hit_cntr_lm_0_23)) + (portRef B (instanceRef lost_hit_cntr_lm_0_22)) + (portRef B (instanceRef lost_hit_cntr_lm_0_21)) + (portRef B (instanceRef lost_hit_cntr_lm_0_20)) + (portRef B (instanceRef lost_hit_cntr_lm_0_19)) + (portRef B (instanceRef lost_hit_cntr_lm_0_18)) + (portRef B (instanceRef lost_hit_cntr_lm_0_17)) + (portRef B (instanceRef lost_hit_cntr_lm_0_16)) + (portRef B (instanceRef lost_hit_cntr_lm_0_15)) + (portRef B (instanceRef lost_hit_cntr_lm_0_14)) + (portRef B (instanceRef lost_hit_cntr_lm_0_13)) + (portRef B (instanceRef lost_hit_cntr_lm_0_12)) + (portRef B (instanceRef lost_hit_cntr_lm_0_11)) + (portRef B (instanceRef lost_hit_cntr_lm_0_10)) + (portRef B (instanceRef lost_hit_cntr_lm_0_9)) + )) (net lost_hit_cntr_s_11 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_11)) (portRef A (instanceRef lost_hit_cntr_lm_0_11)) @@ -780207,8 +780016,102 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_14 (joined - (portRef reset_tdc_rep1_14) + (net reset_tdc_fast_27 (joined + (portRef reset_tdc_fast_27) + (portRef B (instanceRef result_reg_3_0_i_6)) + (portRef B (instanceRef result_reg_3_0_i_5)) + (portRef B (instanceRef result_reg_3_0_i_4)) + (portRef B (instanceRef result_reg_3_0_i_3)) + )) + (net result_i_5 (joined + (portRef (member result_i 298) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_5)) + )) + (net result_i_6 (joined + (portRef (member result_i 297) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_6)) + )) + (net result_i_7 (joined + (portRef (member result_i 296) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_7)) + )) + (net result_i_8 (joined + (portRef (member result_i 295) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_8)) + )) + (net reset_tdc_fast_28 (joined + (portRef reset_tdc_fast_28) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) @@ -780237,26 +780140,6 @@ (portRef B (instanceRef result_reg_3_0_i_9)) (portRef B (instanceRef result_reg_3_0_i_8)) (portRef B (instanceRef result_reg_3_0_i_7)) - (portRef B (instanceRef result_reg_3_0_i_6)) - (portRef B (instanceRef result_reg_3_0_i_5)) - (portRef B (instanceRef result_reg_3_0_i_4)) - (portRef B (instanceRef result_reg_3_0_i_3)) - )) - (net result_i_5 (joined - (portRef (member result_i 298) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_5)) - )) - (net result_i_6 (joined - (portRef (member result_i 297) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_6)) - )) - (net result_i_7 (joined - (portRef (member result_i 296) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_7)) - )) - (net result_i_8 (joined - (portRef (member result_i 295) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_8)) )) (net result_i_9 (joined (portRef (member result_i 294) (instanceRef FC)) @@ -780370,109 +780253,6 @@ (portRef (member result_i 267) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_36)) )) - (net reset_tdc_rep1_15 (joined - (portRef reset_tdc_rep1_15) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - )) (net result_i_37 (joined (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) @@ -780757,6 +780537,107 @@ (portRef (member result_i 196) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_107)) )) + (net reset_tdc_fast_29 (joined + (portRef reset_tdc_fast_29) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + )) (net result_i_108 (joined (portRef (member result_i 195) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_108)) @@ -780873,108 +780754,6 @@ (portRef (member result_i 167) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_136)) )) - (net reset_tdc_rep1_16 (joined - (portRef reset_tdc_rep1_16) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - )) (net result_i_137 (joined (portRef (member result_i 166) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_137)) @@ -781251,6 +781030,107 @@ (portRef (member result_i 98) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_205)) )) + (net reset_tdc_fast_30 (joined + (portRef reset_tdc_fast_30) + (portRef B (instanceRef result_reg_3_0_i_301)) + (portRef B (instanceRef result_reg_3_0_i_300)) + (portRef B (instanceRef result_reg_3_0_i_299)) + (portRef B (instanceRef result_reg_3_0_i_298)) + (portRef B (instanceRef result_reg_3_0_i_297)) + (portRef B (instanceRef result_reg_3_0_i_296)) + (portRef B (instanceRef result_reg_3_0_i_295)) + (portRef B (instanceRef result_reg_3_0_i_294)) + (portRef B (instanceRef result_reg_3_0_i_293)) + (portRef B (instanceRef result_reg_3_0_i_292)) + (portRef B (instanceRef result_reg_3_0_i_291)) + (portRef B (instanceRef result_reg_3_0_i_290)) + (portRef B (instanceRef result_reg_3_0_i_289)) + (portRef B (instanceRef result_reg_3_0_i_288)) + (portRef B (instanceRef result_reg_3_0_i_287)) + (portRef B (instanceRef result_reg_3_0_i_286)) + (portRef B (instanceRef result_reg_3_0_i_285)) + (portRef B (instanceRef result_reg_3_0_i_284)) + (portRef B (instanceRef result_reg_3_0_i_283)) + (portRef B (instanceRef result_reg_3_0_i_282)) + (portRef B (instanceRef result_reg_3_0_i_281)) + (portRef B (instanceRef result_reg_3_0_i_280)) + (portRef B (instanceRef result_reg_3_0_i_279)) + (portRef B (instanceRef result_reg_3_0_i_278)) + (portRef B (instanceRef result_reg_3_0_i_277)) + (portRef B (instanceRef result_reg_3_0_i_276)) + (portRef B (instanceRef result_reg_3_0_i_275)) + (portRef B (instanceRef result_reg_3_0_i_274)) + (portRef B (instanceRef result_reg_3_0_i_273)) + (portRef B (instanceRef result_reg_3_0_i_272)) + (portRef B (instanceRef result_reg_3_0_i_271)) + (portRef B (instanceRef result_reg_3_0_i_270)) + (portRef B (instanceRef result_reg_3_0_i_269)) + (portRef B (instanceRef result_reg_3_0_i_268)) + (portRef B (instanceRef result_reg_3_0_i_267)) + (portRef B (instanceRef result_reg_3_0_i_266)) + (portRef B (instanceRef result_reg_3_0_i_265)) + (portRef B (instanceRef result_reg_3_0_i_264)) + (portRef B (instanceRef result_reg_3_0_i_263)) + (portRef B (instanceRef result_reg_3_0_i_262)) + (portRef B (instanceRef result_reg_3_0_i_261)) + (portRef B (instanceRef result_reg_3_0_i_260)) + (portRef B (instanceRef result_reg_3_0_i_259)) + (portRef B (instanceRef result_reg_3_0_i_258)) + (portRef B (instanceRef result_reg_3_0_i_257)) + (portRef B (instanceRef result_reg_3_0_i_256)) + (portRef B (instanceRef result_reg_3_0_i_255)) + (portRef B (instanceRef result_reg_3_0_i_254)) + (portRef B (instanceRef result_reg_3_0_i_253)) + (portRef B (instanceRef result_reg_3_0_i_252)) + (portRef B (instanceRef result_reg_3_0_i_251)) + (portRef B (instanceRef result_reg_3_0_i_250)) + (portRef B (instanceRef result_reg_3_0_i_249)) + (portRef B (instanceRef result_reg_3_0_i_248)) + (portRef B (instanceRef result_reg_3_0_i_247)) + (portRef B (instanceRef result_reg_3_0_i_246)) + (portRef B (instanceRef result_reg_3_0_i_245)) + (portRef B (instanceRef result_reg_3_0_i_244)) + (portRef B (instanceRef result_reg_3_0_i_243)) + (portRef B (instanceRef result_reg_3_0_i_242)) + (portRef B (instanceRef result_reg_3_0_i_241)) + (portRef B (instanceRef result_reg_3_0_i_240)) + (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + )) (net result_i_206 (joined (portRef (member result_i 97) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_206)) @@ -781371,79 +781251,6 @@ (portRef (member result_i 68) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_235)) )) - (net reset_tdc_rep1_17 (joined - (portRef reset_tdc_rep1_17) - (portRef B (instanceRef result_reg_3_0_i_303)) - (portRef B (instanceRef result_reg_3_0_i_302)) - (portRef B (instanceRef result_reg_3_0_i_301)) - (portRef B (instanceRef result_reg_3_0_i_300)) - (portRef B (instanceRef result_reg_3_0_i_299)) - (portRef B (instanceRef result_reg_3_0_i_298)) - (portRef B (instanceRef result_reg_3_0_i_297)) - (portRef B (instanceRef result_reg_3_0_i_296)) - (portRef B (instanceRef result_reg_3_0_i_295)) - (portRef B (instanceRef result_reg_3_0_i_294)) - (portRef B (instanceRef result_reg_3_0_i_293)) - (portRef B (instanceRef result_reg_3_0_i_292)) - (portRef B (instanceRef result_reg_3_0_i_291)) - (portRef B (instanceRef result_reg_3_0_i_290)) - (portRef B (instanceRef result_reg_3_0_i_289)) - (portRef B (instanceRef result_reg_3_0_i_288)) - (portRef B (instanceRef result_reg_3_0_i_287)) - (portRef B (instanceRef result_reg_3_0_i_286)) - (portRef B (instanceRef result_reg_3_0_i_285)) - (portRef B (instanceRef result_reg_3_0_i_284)) - (portRef B (instanceRef result_reg_3_0_i_283)) - (portRef B (instanceRef result_reg_3_0_i_282)) - (portRef B (instanceRef result_reg_3_0_i_281)) - (portRef B (instanceRef result_reg_3_0_i_280)) - (portRef B (instanceRef result_reg_3_0_i_279)) - (portRef B (instanceRef result_reg_3_0_i_278)) - (portRef B (instanceRef result_reg_3_0_i_277)) - (portRef B (instanceRef result_reg_3_0_i_276)) - (portRef B (instanceRef result_reg_3_0_i_275)) - (portRef B (instanceRef result_reg_3_0_i_274)) - (portRef B (instanceRef result_reg_3_0_i_273)) - (portRef B (instanceRef result_reg_3_0_i_272)) - (portRef B (instanceRef result_reg_3_0_i_271)) - (portRef B (instanceRef result_reg_3_0_i_270)) - (portRef B (instanceRef result_reg_3_0_i_269)) - (portRef B (instanceRef result_reg_3_0_i_268)) - (portRef B (instanceRef result_reg_3_0_i_267)) - (portRef B (instanceRef result_reg_3_0_i_266)) - (portRef B (instanceRef result_reg_3_0_i_265)) - (portRef B (instanceRef result_reg_3_0_i_264)) - (portRef B (instanceRef result_reg_3_0_i_263)) - (portRef B (instanceRef result_reg_3_0_i_262)) - (portRef B (instanceRef result_reg_3_0_i_261)) - (portRef B (instanceRef result_reg_3_0_i_260)) - (portRef B (instanceRef result_reg_3_0_i_259)) - (portRef B (instanceRef result_reg_3_0_i_258)) - (portRef B (instanceRef result_reg_3_0_i_257)) - (portRef B (instanceRef result_reg_3_0_i_256)) - (portRef B (instanceRef result_reg_3_0_i_255)) - (portRef B (instanceRef result_reg_3_0_i_254)) - (portRef B (instanceRef result_reg_3_0_i_253)) - (portRef B (instanceRef result_reg_3_0_i_252)) - (portRef B (instanceRef result_reg_3_0_i_251)) - (portRef B (instanceRef result_reg_3_0_i_250)) - (portRef B (instanceRef result_reg_3_0_i_249)) - (portRef B (instanceRef result_reg_3_0_i_248)) - (portRef B (instanceRef result_reg_3_0_i_247)) - (portRef B (instanceRef result_reg_3_0_i_246)) - (portRef B (instanceRef result_reg_3_0_i_245)) - (portRef B (instanceRef result_reg_3_0_i_244)) - (portRef B (instanceRef result_reg_3_0_i_243)) - (portRef B (instanceRef result_reg_3_0_i_242)) - (portRef B (instanceRef result_reg_3_0_i_241)) - (portRef B (instanceRef result_reg_3_0_i_240)) - (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - )) (net result_i_236 (joined (portRef (member result_i 67) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_236)) @@ -781716,8 +781523,13 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_17_0 (joined - (portRef (member channel_debug_01_i_17 0) (instanceRef Edge_To_Pulse_Hit)) + (net reset_tdc_fast_31 (joined + (portRef reset_tdc_fast_31) + (portRef B (instanceRef result_reg_3_0_i_303)) + (portRef B (instanceRef result_reg_3_0_i_302)) + )) + (net channel_debug_01_i_2_0 (joined + (portRef (member channel_debug_01_i_2 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -781744,9 +781556,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_17_2 (joined - (portRef (member channel_debug_01_i_17 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_17 0) (instanceRef FIFO)) + (net channel_debug_01_i_2_2 (joined + (portRef (member channel_debug_01_i_2 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_2 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -781931,17 +781743,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_47 (joined - (portRef reset_tdc_47) - (portRef reset_tdc_47 (instanceRef FC)) + (net reset_tdc_93 (joined + (portRef reset_tdc_93) + (portRef reset_tdc_93 (instanceRef FC)) )) - (net reset_tdc_46 (joined - (portRef reset_tdc_46) - (portRef reset_tdc_46 (instanceRef FC)) + (net reset_tdc_92 (joined + (portRef reset_tdc_92) + (portRef reset_tdc_92 (instanceRef FC)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef reset_tdc_45 (instanceRef FC)) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) + (portRef reset_tdc_91 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -781983,35 +781795,47 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_48 (joined - (portRef reset_tdc_48) - (portRef reset_tdc_48 (instanceRef Encoder)) - (portRef reset_tdc_48 (instanceRef FC)) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef reset_tdc_94 (instanceRef Encoder)) + (portRef reset_tdc_94 (instanceRef FC)) )) - (net reset_tdc_fast_36_r26 (joined - (portRef reset_tdc_fast_36_r26) - (portRef reset_tdc_fast_36_r26 (instanceRef Encoder)) + (net reset_tdc_fast_36_r2 (joined + (portRef reset_tdc_fast_36_r2) + (portRef reset_tdc_fast_36_r2 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r3 (joined + (portRef reset_tdc_fast_37_r3) + (portRef reset_tdc_fast_37_r3 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r2 (joined + (portRef reset_tdc_fast_37_r2) + (portRef reset_tdc_fast_37_r2 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r3 (joined + (portRef reset_tdc_fast_36_r3) + (portRef reset_tdc_fast_36_r3 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r5 (joined + (portRef reset_tdc_fast_36_r5) + (portRef reset_tdc_fast_36_r5 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNID5S21)) + (portRef A (instanceRef result_2_reg_RNILE6H)) )) - (net rd_en_i_17 (joined + (net rd_en_i_2 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef reset_i_9 (instanceRef FIFO)) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef reset_i_18 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -782031,17 +781855,305 @@ (portRef G_1704_Q) (portRef G_1704_Q (instanceRef Edge_To_Pulse_Hit)) )) + (net stat_reg_542 (joined + (portRef (member stat_reg 23) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_542) + )) + (net stat_reg_543 (joined + (portRef (member stat_reg 22) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_543) + )) + (net stat_reg_544 (joined + (portRef (member stat_reg 21) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_544) + )) + (net stat_reg_545 (joined + (portRef (member stat_reg 20) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_545) + )) + (net stat_reg_546 (joined + (portRef (member stat_reg 19) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_546) + )) + (net stat_reg_547 (joined + (portRef (member stat_reg 18) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_547) + )) + (net stat_reg_548 (joined + (portRef (member stat_reg 17) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_548) + )) + (net stat_reg_549 (joined + (portRef (member stat_reg 16) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_549) + )) + (net stat_reg_550 (joined + (portRef (member stat_reg 15) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_550) + )) + (net stat_reg_551 (joined + (portRef (member stat_reg 14) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_551) + )) + (net stat_reg_552 (joined + (portRef (member stat_reg 13) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_552) + )) + (net stat_reg_553 (joined + (portRef (member stat_reg 12) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_553) + )) + (net stat_reg_554 (joined + (portRef (member stat_reg 11) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_554) + )) + (net stat_reg_555 (joined + (portRef (member stat_reg 10) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_555) + )) + (net stat_reg_556 (joined + (portRef (member stat_reg 9) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_556) + )) + (net stat_reg_557 (joined + (portRef (member stat_reg 8) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_557) + )) + (net stat_reg_558 (joined + (portRef (member stat_reg 7) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_558) + )) + (net stat_reg_559 (joined + (portRef (member stat_reg 6) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_559) + )) + (net stat_reg_560 (joined + (portRef (member stat_reg 5) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_560) + )) + (net stat_reg_561 (joined + (portRef (member stat_reg 4) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_561) + )) + (net stat_reg_562 (joined + (portRef (member stat_reg 3) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_562) + )) + (net stat_reg_563 (joined + (portRef (member stat_reg 2) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_563) + )) + (net stat_reg_564 (joined + (portRef (member stat_reg 1) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_564) + )) + (net stat_reg_565 (joined + (portRef (member stat_reg 0) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_565) + )) + (net stat_reg_606 (joined + (portRef (member stat_reg 23) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_606) + )) + (net stat_reg_607 (joined + (portRef (member stat_reg 22) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_607) + )) + (net stat_reg_608 (joined + (portRef (member stat_reg 21) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_608) + )) + (net stat_reg_609 (joined + (portRef (member stat_reg 20) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_609) + )) + (net stat_reg_610 (joined + (portRef (member stat_reg 19) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_610) + )) + (net stat_reg_611 (joined + (portRef (member stat_reg 18) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_611) + )) + (net stat_reg_612 (joined + (portRef (member stat_reg 17) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_612) + )) + (net stat_reg_613 (joined + (portRef (member stat_reg 16) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_613) + )) + (net stat_reg_614 (joined + (portRef (member stat_reg 15) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_614) + )) + (net stat_reg_615 (joined + (portRef (member stat_reg 14) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_615) + )) + (net stat_reg_616 (joined + (portRef (member stat_reg 13) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_616) + )) + (net stat_reg_617 (joined + (portRef (member stat_reg 12) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_617) + )) + (net stat_reg_618 (joined + (portRef (member stat_reg 11) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_618) + )) + (net stat_reg_619 (joined + (portRef (member stat_reg 10) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_619) + )) + (net stat_reg_620 (joined + (portRef (member stat_reg 9) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_620) + )) + (net stat_reg_621 (joined + (portRef (member stat_reg 8) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_621) + )) + (net stat_reg_622 (joined + (portRef (member stat_reg 7) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_622) + )) + (net stat_reg_623 (joined + (portRef (member stat_reg 6) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_623) + )) + (net stat_reg_624 (joined + (portRef (member stat_reg 5) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_624) + )) + (net stat_reg_625 (joined + (portRef (member stat_reg 4) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_625) + )) + (net stat_reg_626 (joined + (portRef (member stat_reg 3) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_626) + )) + (net stat_reg_627 (joined + (portRef (member stat_reg 2) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_627) + )) + (net stat_reg_628 (joined + (portRef (member stat_reg 1) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_628) + )) + (net stat_reg_629 (joined + (portRef (member stat_reg 0) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_629) + )) + (net stat_reg_574 (joined + (portRef (member stat_reg 23) (instanceRef Measurement_Sync)) + (portRef stat_reg_574) + )) + (net stat_reg_575 (joined + (portRef (member stat_reg 22) (instanceRef Measurement_Sync)) + (portRef stat_reg_575) + )) + (net stat_reg_576 (joined + (portRef (member stat_reg 21) (instanceRef Measurement_Sync)) + (portRef stat_reg_576) + )) + (net stat_reg_577 (joined + (portRef (member stat_reg 20) (instanceRef Measurement_Sync)) + (portRef stat_reg_577) + )) + (net stat_reg_578 (joined + (portRef (member stat_reg 19) (instanceRef Measurement_Sync)) + (portRef stat_reg_578) + )) + (net stat_reg_579 (joined + (portRef (member stat_reg 18) (instanceRef Measurement_Sync)) + (portRef stat_reg_579) + )) + (net stat_reg_580 (joined + (portRef (member stat_reg 17) (instanceRef Measurement_Sync)) + (portRef stat_reg_580) + )) + (net stat_reg_581 (joined + (portRef (member stat_reg 16) (instanceRef Measurement_Sync)) + (portRef stat_reg_581) + )) + (net stat_reg_582 (joined + (portRef (member stat_reg 15) (instanceRef Measurement_Sync)) + (portRef stat_reg_582) + )) + (net stat_reg_583 (joined + (portRef (member stat_reg 14) (instanceRef Measurement_Sync)) + (portRef stat_reg_583) + )) + (net stat_reg_584 (joined + (portRef (member stat_reg 13) (instanceRef Measurement_Sync)) + (portRef stat_reg_584) + )) + (net stat_reg_585 (joined + (portRef (member stat_reg 12) (instanceRef Measurement_Sync)) + (portRef stat_reg_585) + )) + (net stat_reg_586 (joined + (portRef (member stat_reg 11) (instanceRef Measurement_Sync)) + (portRef stat_reg_586) + )) + (net stat_reg_587 (joined + (portRef (member stat_reg 10) (instanceRef Measurement_Sync)) + (portRef stat_reg_587) + )) + (net stat_reg_588 (joined + (portRef (member stat_reg 9) (instanceRef Measurement_Sync)) + (portRef stat_reg_588) + )) + (net stat_reg_589 (joined + (portRef (member stat_reg 8) (instanceRef Measurement_Sync)) + (portRef stat_reg_589) + )) + (net stat_reg_590 (joined + (portRef (member stat_reg 7) (instanceRef Measurement_Sync)) + (portRef stat_reg_590) + )) + (net stat_reg_591 (joined + (portRef (member stat_reg 6) (instanceRef Measurement_Sync)) + (portRef stat_reg_591) + )) + (net stat_reg_592 (joined + (portRef (member stat_reg 5) (instanceRef Measurement_Sync)) + (portRef stat_reg_592) + )) + (net stat_reg_593 (joined + (portRef (member stat_reg 4) (instanceRef Measurement_Sync)) + (portRef stat_reg_593) + )) + (net stat_reg_594 (joined + (portRef (member stat_reg 3) (instanceRef Measurement_Sync)) + (portRef stat_reg_594) + )) + (net stat_reg_595 (joined + (portRef (member stat_reg 2) (instanceRef Measurement_Sync)) + (portRef stat_reg_595) + )) + (net stat_reg_596 (joined + (portRef (member stat_reg 1) (instanceRef Measurement_Sync)) + (portRef stat_reg_596) + )) + (net stat_reg_597 (joined + (portRef (member stat_reg 0) (instanceRef Measurement_Sync)) + (portRef stat_reg_597) + )) ) ) ) - (cell Channel_5 (cellType GENERIC) + (cell Channel_7 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(5:5)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(5:5)") 1) (direction INPUT)) - (port (array (rename channel_data_i_5 "channel_data_i_5(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(37:37)") 1) (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(5:5)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(7:7)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(7:7)") 1) (direction INPUT)) + (port (array (rename channel_data_i_7 "channel_data_i_7(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(39:39)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(7:7)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) @@ -782049,20 +782161,19 @@ (port reset_i_rep2_1 (direction INPUT)) (port reset_i_15 (direction INPUT)) (port reset_i_16 (direction INPUT)) - (port reset_tdc_fast_36_r11 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_37_r5 (direction INPUT)) - (port reset_tdc_fast_36_r8 (direction INPUT)) - (port reset_tdc_82 (direction INPUT)) - (port reset_tdc_83 (direction INPUT)) - (port reset_tdc_84 (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_fast_36_r13 (direction INPUT)) + (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_36_r12 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) + (port reset_tdc_77 (direction INPUT)) + (port reset_tdc_78 (direction INPUT)) + (port reset_tdc_79 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) + (port reset_tdc_fast_27 (direction INPUT)) + (port reset_tdc_fast_26 (direction INPUT)) + (port reset_tdc_fast_25 (direction INPUT)) (port reset_tdc_fast_24 (direction INPUT)) - (port reset_tdc_fast_23 (direction INPUT)) - (port reset_tdc_fast_22 (direction INPUT)) - (port reset_tdc_fast_21 (direction INPUT)) (port reset_tdc_fast_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) @@ -782077,7 +782188,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295719_0 (direction OUTPUT)) + (port N_296017_0 (direction OUTPUT)) (port G_1711_Q (direction INPUT)) (port sync_q_and_9 (direction OUTPUT)) (port G_1708_Q (direction INPUT)) @@ -782086,14 +782197,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIULBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI2MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIULBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI2MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIULBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI2MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -783941,7 +784052,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIRE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIVE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -784197,32 +784308,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0)) )) (net tmp1_9_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI7E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIRE6H)) + (portRef B (instanceRef result_2_reg_RNIVE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIULBG_1)) - (portRef B (instanceRef result_2_reg_RNIULBG_0)) - (portRef B (instanceRef result_2_reg_RNIULBG)) + (portRef B (instanceRef result_2_reg_RNI2MBG_1)) + (portRef B (instanceRef result_2_reg_RNI2MBG_0)) + (portRef B (instanceRef result_2_reg_RNI2MBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIRE6H)) + (portRef C (instanceRef result_2_reg_RNIVE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIULBG_1)) - (portRef C (instanceRef result_2_reg_RNIULBG_0)) - (portRef C (instanceRef result_2_reg_RNIULBG)) + (portRef C (instanceRef result_2_reg_RNI2MBG_1)) + (portRef C (instanceRef result_2_reg_RNI2MBG_0)) + (portRef C (instanceRef result_2_reg_RNI2MBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIULBG)) + (portRef Z (instanceRef result_2_reg_RNI2MBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -784301,9 +784412,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIULBG_1)) - (portRef A (instanceRef result_2_reg_RNIULBG_0)) - (portRef A (instanceRef result_2_reg_RNIULBG)) + (portRef A (instanceRef result_2_reg_RNI2MBG_1)) + (portRef A (instanceRef result_2_reg_RNI2MBG_0)) + (portRef A (instanceRef result_2_reg_RNI2MBG)) )) (net GND (joined (portRef GND) @@ -784458,7 +784569,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIULBG_0)) + (portRef Z (instanceRef result_2_reg_RNI2MBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -784536,7 +784647,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIULBG_1)) + (portRef Z (instanceRef result_2_reg_RNI2MBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -784623,9 +784734,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295719_0 (joined + (net N_296017_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295719_0) + (portRef N_296017_0) )) (net tmp1_9_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -785277,7 +785388,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIRE6H)) + (portRef Z (instanceRef result_2_reg_RNIVE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -788190,9 +788301,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_5_1 (joined + (net channel_debug_01_i_7_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_5 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_7 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_9 (joined @@ -788391,7 +788502,7 @@ (portRef fifo_full_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_FULL_OUT)) )) - (net channel_full_i_5 (joined + (net channel_full_i_7 (joined (portRef Q (instanceRef FIFO_FULL_OUT)) (portRef (member channel_full_i 0)) )) @@ -788474,7 +788585,7 @@ (portRef CD (instanceRef FIFO_EMPTY_OUT)) (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net stat_reg_37 (joined + (net stat_reg_39 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -788482,257 +788593,257 @@ (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_5_0 (joined + (net channel_data_i_7_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_5 31)) + (portRef (member channel_data_i_7 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_5_1 (joined + (net channel_data_i_7_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_5 30)) + (portRef (member channel_data_i_7 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_5_2 (joined + (net channel_data_i_7_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_5 29)) + (portRef (member channel_data_i_7 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_5_3 (joined + (net channel_data_i_7_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_5 28)) + (portRef (member channel_data_i_7 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_5_4 (joined + (net channel_data_i_7_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_5 27)) + (portRef (member channel_data_i_7 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_5_5 (joined + (net channel_data_i_7_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_5 26)) + (portRef (member channel_data_i_7 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_5_6 (joined + (net channel_data_i_7_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_5 25)) + (portRef (member channel_data_i_7 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_5_7 (joined + (net channel_data_i_7_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_5 24)) + (portRef (member channel_data_i_7 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_5_8 (joined + (net channel_data_i_7_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_5 23)) + (portRef (member channel_data_i_7 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_5_9 (joined + (net channel_data_i_7_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_5 22)) + (portRef (member channel_data_i_7 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_5_10 (joined + (net channel_data_i_7_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_5 21)) + (portRef (member channel_data_i_7 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_5_11 (joined + (net channel_data_i_7_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_5 20)) + (portRef (member channel_data_i_7 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_5_12 (joined + (net channel_data_i_7_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_5 19)) + (portRef (member channel_data_i_7 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_5_13 (joined + (net channel_data_i_7_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_5 18)) + (portRef (member channel_data_i_7 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_5_14 (joined + (net channel_data_i_7_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_5 17)) + (portRef (member channel_data_i_7 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_5_15 (joined + (net channel_data_i_7_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_5 16)) + (portRef (member channel_data_i_7 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_5_16 (joined + (net channel_data_i_7_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_5 15)) + (portRef (member channel_data_i_7 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_5_17 (joined + (net channel_data_i_7_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_5 14)) + (portRef (member channel_data_i_7 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_5_18 (joined + (net channel_data_i_7_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_5 13)) + (portRef (member channel_data_i_7 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_5_19 (joined + (net channel_data_i_7_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_5 12)) + (portRef (member channel_data_i_7 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_5_20 (joined + (net channel_data_i_7_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_5 11)) + (portRef (member channel_data_i_7 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_5_21 (joined + (net channel_data_i_7_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_5 10)) + (portRef (member channel_data_i_7 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_5_22 (joined + (net channel_data_i_7_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_5 9)) + (portRef (member channel_data_i_7 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_5_23 (joined + (net channel_data_i_7_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_5 8)) + (portRef (member channel_data_i_7 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_5_24 (joined + (net channel_data_i_7_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_5 7)) + (portRef (member channel_data_i_7 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_5_25 (joined + (net channel_data_i_7_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_5 6)) + (portRef (member channel_data_i_7 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_5_26 (joined + (net channel_data_i_7_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_5 5)) + (portRef (member channel_data_i_7 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_5_27 (joined + (net channel_data_i_7_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_5 4)) + (portRef (member channel_data_i_7 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_5_28 (joined + (net channel_data_i_7_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_5 3)) + (portRef (member channel_data_i_7 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_5_29 (joined + (net channel_data_i_7_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_5 2)) + (portRef (member channel_data_i_7 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_5_30 (joined + (net channel_data_i_7_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_5 1)) + (portRef (member channel_data_i_7 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_5_31 (joined + (net channel_data_i_7_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_5 0)) + (portRef (member channel_data_i_7 0)) )) (net sync_q_CR0_ram_DO1_9 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -788874,12 +788985,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_21 (joined - (portRef reset_tdc_fast_21) - (portRef B (instanceRef result_reg_3_0_i_14)) - (portRef B (instanceRef result_reg_3_0_i_13)) - (portRef B (instanceRef result_reg_3_0_i_12)) - (portRef B (instanceRef result_reg_3_0_i_11)) + (net reset_tdc_fast_24 (joined + (portRef reset_tdc_fast_24) (portRef B (instanceRef result_reg_3_0_i_10)) (portRef B (instanceRef result_reg_3_0_i_9)) (portRef B (instanceRef result_reg_3_0_i_8)) @@ -788921,28 +789028,8 @@ (portRef (member result_i 291) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_12)) )) - (net result_i_13 (joined - (portRef (member result_i 290) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_13)) - )) - (net result_i_14 (joined - (portRef (member result_i 289) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_14)) - )) - (net result_i_15 (joined - (portRef (member result_i 288) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_15)) - )) - (net result_i_16 (joined - (portRef (member result_i 287) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_16)) - )) - (net reset_tdc_fast_22 (joined - (portRef reset_tdc_fast_22) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) + (net reset_tdc_fast_25 (joined + (portRef reset_tdc_fast_25) (portRef B (instanceRef result_reg_3_0_i_109)) (portRef B (instanceRef result_reg_3_0_i_108)) (portRef B (instanceRef result_reg_3_0_i_107)) @@ -789038,6 +789125,26 @@ (portRef B (instanceRef result_reg_3_0_i_17)) (portRef B (instanceRef result_reg_3_0_i_16)) (portRef B (instanceRef result_reg_3_0_i_15)) + (portRef B (instanceRef result_reg_3_0_i_14)) + (portRef B (instanceRef result_reg_3_0_i_13)) + (portRef B (instanceRef result_reg_3_0_i_12)) + (portRef B (instanceRef result_reg_3_0_i_11)) + )) + (net result_i_13 (joined + (portRef (member result_i 290) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_13)) + )) + (net result_i_14 (joined + (portRef (member result_i 289) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_14)) + )) + (net result_i_15 (joined + (portRef (member result_i 288) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_15)) + )) + (net result_i_16 (joined + (portRef (member result_i 287) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_16)) )) (net result_i_17 (joined (portRef (member result_i 286) (instanceRef FC)) @@ -789419,28 +789526,8 @@ (portRef (member result_i 192) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_111)) )) - (net result_i_112 (joined - (portRef (member result_i 191) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_112)) - )) - (net result_i_113 (joined - (portRef (member result_i 190) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_113)) - )) - (net result_i_114 (joined - (portRef (member result_i 189) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_114)) - )) - (net result_i_115 (joined - (portRef (member result_i 188) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_115)) - )) - (net reset_tdc_fast_23 (joined - (portRef reset_tdc_fast_23) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) + (net reset_tdc_fast_26 (joined + (portRef reset_tdc_fast_26) (portRef B (instanceRef result_reg_3_0_i_208)) (portRef B (instanceRef result_reg_3_0_i_207)) (portRef B (instanceRef result_reg_3_0_i_206)) @@ -789536,6 +789623,26 @@ (portRef B (instanceRef result_reg_3_0_i_116)) (portRef B (instanceRef result_reg_3_0_i_115)) (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + )) + (net result_i_112 (joined + (portRef (member result_i 191) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_112)) + )) + (net result_i_113 (joined + (portRef (member result_i 190) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_113)) + )) + (net result_i_114 (joined + (portRef (member result_i 189) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_114)) + )) + (net result_i_115 (joined + (portRef (member result_i 188) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_115)) )) (net result_i_116 (joined (portRef (member result_i 187) (instanceRef FC)) @@ -789917,24 +790024,8 @@ (portRef (member result_i 93) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_210)) )) - (net result_i_211 (joined - (portRef (member result_i 92) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_211)) - )) - (net result_i_212 (joined - (portRef (member result_i 91) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_212)) - )) - (net result_i_213 (joined - (portRef (member result_i 90) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_213)) - )) - (net result_i_214 (joined - (portRef (member result_i 89) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_214)) - )) - (net reset_tdc_fast_24 (joined - (portRef reset_tdc_fast_24) + (net reset_tdc_fast_27 (joined + (portRef reset_tdc_fast_27) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -790026,6 +790117,26 @@ (portRef B (instanceRef result_reg_3_0_i_215)) (portRef B (instanceRef result_reg_3_0_i_214)) (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + )) + (net result_i_211 (joined + (portRef (member result_i 92) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_211)) + )) + (net result_i_212 (joined + (portRef (member result_i 91) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_212)) + )) + (net result_i_213 (joined + (portRef (member result_i 90) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_213)) + )) + (net result_i_214 (joined + (portRef (member result_i 89) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_214)) )) (net result_i_215 (joined (portRef (member result_i 88) (instanceRef FC)) @@ -790383,8 +790494,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_5_0 (joined - (portRef (member channel_debug_01_i_5 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_7_0 (joined + (portRef (member channel_debug_01_i_7 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -790411,9 +790522,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_5_2 (joined - (portRef (member channel_debug_01_i_5 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_5 0) (instanceRef FIFO)) + (net channel_debug_01_i_7_2 (joined + (portRef (member channel_debug_01_i_7 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_7 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -790598,17 +790709,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_84 (joined - (portRef reset_tdc_84) - (portRef reset_tdc_84 (instanceRef FC)) + (net reset_tdc_78 (joined + (portRef reset_tdc_78) + (portRef reset_tdc_78 (instanceRef FC)) )) - (net reset_tdc_83 (joined - (portRef reset_tdc_83) - (portRef reset_tdc_83 (instanceRef FC)) + (net reset_tdc_77 (joined + (portRef reset_tdc_77) + (portRef reset_tdc_77 (instanceRef FC)) )) - (net reset_tdc_82 (joined - (portRef reset_tdc_82) - (portRef reset_tdc_82 (instanceRef FC)) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef reset_tdc_76 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -790650,37 +790761,33 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) - (portRef reset_tdc_85 (instanceRef Encoder)) - (portRef reset_tdc_85 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r8 (joined - (portRef reset_tdc_fast_36_r8) - (portRef reset_tdc_fast_36_r8 (instanceRef Encoder)) + (net reset_tdc_79 (joined + (portRef reset_tdc_79) + (portRef reset_tdc_79 (instanceRef Encoder)) + (portRef reset_tdc_79 (instanceRef FC)) )) - (net reset_tdc_fast_37_r5 (joined - (portRef reset_tdc_fast_37_r5) - (portRef reset_tdc_fast_37_r5 (instanceRef Encoder)) + (net reset_tdc_fast_36_r12 (joined + (portRef reset_tdc_fast_36_r12) + (portRef reset_tdc_fast_36_r12 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef reset_tdc_fast_36_r9 (instanceRef Encoder)) + (net reset_tdc_fast_37_r7 (joined + (portRef reset_tdc_fast_37_r7) + (portRef reset_tdc_fast_37_r7 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r11 (joined - (portRef reset_tdc_fast_36_r11) - (portRef reset_tdc_fast_36_r11 (instanceRef Encoder)) + (net reset_tdc_fast_36_r13 (joined + (portRef reset_tdc_fast_36_r13) + (portRef reset_tdc_fast_36_r13 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIRE6H)) + (portRef A (instanceRef result_2_reg_RNIVE6H)) )) - (net rd_en_i_5 (joined + (net rd_en_i_7 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) @@ -790713,33 +790820,36 @@ ) ) ) - (cell Channel_20 (cellType GENERIC) + (cell Channel_5 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(20:20)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(20:20)") 1) (direction INPUT)) - (port (array (rename channel_data_i_20 "channel_data_i_20(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(52:52)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(5:5)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(5:5)") 1) (direction INPUT)) + (port (array (rename channel_data_i_5 "channel_data_i_5(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(37:37)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(5:5)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1722_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_7 (direction INPUT)) - (port reset_i_8 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r29 (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) - (port reset_tdc_37 (direction INPUT)) - (port reset_tdc_38 (direction INPUT)) - (port reset_tdc_39 (direction INPUT)) + (port reset_i_16 (direction INPUT)) + (port reset_i_17 (direction INPUT)) + (port reset_tdc_fast_36_r11 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_37_r6 (direction INPUT)) + (port reset_tdc_fast_36_r8 (direction INPUT)) + (port reset_tdc_82 (direction INPUT)) + (port reset_tdc_83 (direction INPUT)) + (port reset_tdc_84 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_14 (direction INPUT)) - (port reset_tdc_rep1_13 (direction INPUT)) - (port reset_tdc_rep1_12 (direction INPUT)) - (port reset_tdc_rep1_11 (direction INPUT)) - (port reset_tdc_rep1 (direction INPUT)) + (port reset_tdc_fast_24 (direction INPUT)) + (port reset_tdc_fast_23 (direction INPUT)) + (port reset_tdc_fast_22 (direction INPUT)) + (port reset_tdc_fast_21 (direction INPUT)) + (port reset_tdc_fast_2 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -790753,7 +790863,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295732_0 (direction OUTPUT)) + (port N_296030_0 (direction OUTPUT)) (port G_1720_Q (direction INPUT)) (port sync_q_and_8 (direction OUTPUT)) (port G_1717_Q (direction INPUT)) @@ -790762,14 +790872,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIEAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIULBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIEAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIULBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIEAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIULBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -791566,6 +791676,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -792615,7 +792727,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIB3KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIRE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -792871,32 +792983,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0)) )) (net tmp1_8_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI5E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIB3KO)) + (portRef B (instanceRef result_2_reg_RNIRE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIEAPN_1)) - (portRef B (instanceRef result_2_reg_RNIEAPN_0)) - (portRef B (instanceRef result_2_reg_RNIEAPN)) + (portRef B (instanceRef result_2_reg_RNIULBG_1)) + (portRef B (instanceRef result_2_reg_RNIULBG_0)) + (portRef B (instanceRef result_2_reg_RNIULBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIB3KO)) + (portRef C (instanceRef result_2_reg_RNIRE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIEAPN_1)) - (portRef C (instanceRef result_2_reg_RNIEAPN_0)) - (portRef C (instanceRef result_2_reg_RNIEAPN)) + (portRef C (instanceRef result_2_reg_RNIULBG_1)) + (portRef C (instanceRef result_2_reg_RNIULBG_0)) + (portRef C (instanceRef result_2_reg_RNIULBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIEAPN)) + (portRef Z (instanceRef result_2_reg_RNIULBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -792975,9 +793087,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIEAPN_1)) - (portRef A (instanceRef result_2_reg_RNIEAPN_0)) - (portRef A (instanceRef result_2_reg_RNIEAPN)) + (portRef A (instanceRef result_2_reg_RNIULBG_1)) + (portRef A (instanceRef result_2_reg_RNIULBG_0)) + (portRef A (instanceRef result_2_reg_RNIULBG)) )) (net GND (joined (portRef GND) @@ -793132,7 +793244,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIEAPN_0)) + (portRef Z (instanceRef result_2_reg_RNIULBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -793210,7 +793322,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIEAPN_1)) + (portRef Z (instanceRef result_2_reg_RNIULBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -793297,9 +793409,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295732_0 (joined + (net N_296030_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295732_0) + (portRef N_296030_0) )) (net tmp1_8_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -793951,7 +794063,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIB3KO)) + (portRef Z (instanceRef result_2_reg_RNIRE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -796864,9 +796976,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_20_1 (joined + (net channel_debug_01_i_5_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_20 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_5 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_8 (joined @@ -797061,18 +797173,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_5 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_52 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -797109,6 +797221,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -797145,258 +797258,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_20_0 (joined + (net stat_reg_37 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_5_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_20 31)) + (portRef (member channel_data_i_5 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_20_1 (joined + (net channel_data_i_5_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_20 30)) + (portRef (member channel_data_i_5 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_20_2 (joined + (net channel_data_i_5_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_20 29)) + (portRef (member channel_data_i_5 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_20_3 (joined + (net channel_data_i_5_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_20 28)) + (portRef (member channel_data_i_5 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_20_4 (joined + (net channel_data_i_5_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_20 27)) + (portRef (member channel_data_i_5 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_20_5 (joined + (net channel_data_i_5_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_20 26)) + (portRef (member channel_data_i_5 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_20_6 (joined + (net channel_data_i_5_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_20 25)) + (portRef (member channel_data_i_5 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_20_7 (joined + (net channel_data_i_5_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_20 24)) + (portRef (member channel_data_i_5 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_20_8 (joined + (net channel_data_i_5_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_20 23)) + (portRef (member channel_data_i_5 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_20_9 (joined + (net channel_data_i_5_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_20 22)) + (portRef (member channel_data_i_5 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_20_10 (joined + (net channel_data_i_5_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_20 21)) + (portRef (member channel_data_i_5 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_20_11 (joined + (net channel_data_i_5_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_20 20)) + (portRef (member channel_data_i_5 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_20_12 (joined + (net channel_data_i_5_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_20 19)) + (portRef (member channel_data_i_5 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_20_13 (joined + (net channel_data_i_5_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_20 18)) + (portRef (member channel_data_i_5 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_20_14 (joined + (net channel_data_i_5_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_20 17)) + (portRef (member channel_data_i_5 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_20_15 (joined + (net channel_data_i_5_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_20 16)) + (portRef (member channel_data_i_5 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_20_16 (joined + (net channel_data_i_5_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_20 15)) + (portRef (member channel_data_i_5 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_20_17 (joined + (net channel_data_i_5_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_20 14)) + (portRef (member channel_data_i_5 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_20_18 (joined + (net channel_data_i_5_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_20 13)) + (portRef (member channel_data_i_5 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_20_19 (joined + (net channel_data_i_5_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_20 12)) + (portRef (member channel_data_i_5 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_20_20 (joined + (net channel_data_i_5_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_20 11)) + (portRef (member channel_data_i_5 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_20_21 (joined + (net channel_data_i_5_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_20 10)) + (portRef (member channel_data_i_5 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_20_22 (joined + (net channel_data_i_5_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_20 9)) + (portRef (member channel_data_i_5 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_20_23 (joined + (net channel_data_i_5_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_20 8)) + (portRef (member channel_data_i_5 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_20_24 (joined + (net channel_data_i_5_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_20 7)) + (portRef (member channel_data_i_5 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_20_25 (joined + (net channel_data_i_5_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_20 6)) + (portRef (member channel_data_i_5 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_20_26 (joined + (net channel_data_i_5_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_20 5)) + (portRef (member channel_data_i_5 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_20_27 (joined + (net channel_data_i_5_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_20 4)) + (portRef (member channel_data_i_5 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_20_28 (joined + (net channel_data_i_5_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_20 3)) + (portRef (member channel_data_i_5 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_20_29 (joined + (net channel_data_i_5_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_20 2)) + (portRef (member channel_data_i_5 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_20_30 (joined + (net channel_data_i_5_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_20 1)) + (portRef (member channel_data_i_5 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_20_31 (joined + (net channel_data_i_5_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_20 0)) + (portRef (member channel_data_i_5 0)) )) (net sync_q_CR0_ram_DO1_8 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -797415,8 +797537,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1 (joined - (portRef reset_tdc_rep1) + (net reset_tdc_fast_2 (joined + (portRef reset_tdc_fast_2) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -797538,29 +797660,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_11 (joined - (portRef reset_tdc_rep1_11) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - (portRef B (instanceRef result_reg_3_0_i_27)) - (portRef B (instanceRef result_reg_3_0_i_26)) - (portRef B (instanceRef result_reg_3_0_i_25)) - (portRef B (instanceRef result_reg_3_0_i_24)) - (portRef B (instanceRef result_reg_3_0_i_23)) - (portRef B (instanceRef result_reg_3_0_i_22)) - (portRef B (instanceRef result_reg_3_0_i_21)) - (portRef B (instanceRef result_reg_3_0_i_20)) - (portRef B (instanceRef result_reg_3_0_i_19)) - (portRef B (instanceRef result_reg_3_0_i_18)) - (portRef B (instanceRef result_reg_3_0_i_17)) - (portRef B (instanceRef result_reg_3_0_i_16)) - (portRef B (instanceRef result_reg_3_0_i_15)) + (net reset_tdc_fast_21 (joined + (portRef reset_tdc_fast_21) (portRef B (instanceRef result_reg_3_0_i_14)) (portRef B (instanceRef result_reg_3_0_i_13)) (portRef B (instanceRef result_reg_3_0_i_12)) @@ -797622,6 +797723,108 @@ (portRef (member result_i 287) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_16)) )) + (net reset_tdc_fast_22 (joined + (portRef reset_tdc_fast_22) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) + (portRef B (instanceRef result_reg_3_0_i_26)) + (portRef B (instanceRef result_reg_3_0_i_25)) + (portRef B (instanceRef result_reg_3_0_i_24)) + (portRef B (instanceRef result_reg_3_0_i_23)) + (portRef B (instanceRef result_reg_3_0_i_22)) + (portRef B (instanceRef result_reg_3_0_i_21)) + (portRef B (instanceRef result_reg_3_0_i_20)) + (portRef B (instanceRef result_reg_3_0_i_19)) + (portRef B (instanceRef result_reg_3_0_i_18)) + (portRef B (instanceRef result_reg_3_0_i_17)) + (portRef B (instanceRef result_reg_3_0_i_16)) + (portRef B (instanceRef result_reg_3_0_i_15)) + )) (net result_i_17 (joined (portRef (member result_i 286) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_17)) @@ -797706,109 +797909,6 @@ (portRef (member result_i 266) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_37)) )) - (net reset_tdc_rep1_12 (joined - (portRef reset_tdc_rep1_12) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - )) (net result_i_38 (joined (portRef (member result_i 265) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_38)) @@ -798121,6 +798221,108 @@ (portRef (member result_i 188) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_115)) )) + (net reset_tdc_fast_23 (joined + (portRef reset_tdc_fast_23) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + )) (net result_i_116 (joined (portRef (member result_i 187) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_116)) @@ -798209,109 +798411,6 @@ (portRef (member result_i 166) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_137)) )) - (net reset_tdc_rep1_13 (joined - (portRef reset_tdc_rep1_13) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - )) (net result_i_138 (joined (portRef (member result_i 165) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_138)) @@ -798620,100 +798719,8 @@ (portRef (member result_i 89) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_214)) )) - (net result_i_215 (joined - (portRef (member result_i 88) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_215)) - )) - (net result_i_216 (joined - (portRef (member result_i 87) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_216)) - )) - (net result_i_217 (joined - (portRef (member result_i 86) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_217)) - )) - (net result_i_218 (joined - (portRef (member result_i 85) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_218)) - )) - (net result_i_219 (joined - (portRef (member result_i 84) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_219)) - )) - (net result_i_220 (joined - (portRef (member result_i 83) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_220)) - )) - (net result_i_221 (joined - (portRef (member result_i 82) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_221)) - )) - (net result_i_222 (joined - (portRef (member result_i 81) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_222)) - )) - (net result_i_223 (joined - (portRef (member result_i 80) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_223)) - )) - (net result_i_224 (joined - (portRef (member result_i 79) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_224)) - )) - (net result_i_225 (joined - (portRef (member result_i 78) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_225)) - )) - (net result_i_226 (joined - (portRef (member result_i 77) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_226)) - )) - (net result_i_227 (joined - (portRef (member result_i 76) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_227)) - )) - (net result_i_228 (joined - (portRef (member result_i 75) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_228)) - )) - (net result_i_229 (joined - (portRef (member result_i 74) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_229)) - )) - (net result_i_230 (joined - (portRef (member result_i 73) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_230)) - )) - (net result_i_231 (joined - (portRef (member result_i 72) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_231)) - )) - (net result_i_232 (joined - (portRef (member result_i 71) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_232)) - )) - (net result_i_233 (joined - (portRef (member result_i 70) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_234 (joined - (portRef (member result_i 69) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_234)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net reset_tdc_rep1_14 (joined - (portRef reset_tdc_rep1_14) + (net reset_tdc_fast_24 (joined + (portRef reset_tdc_fast_24) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -798782,6 +798789,121 @@ (portRef B (instanceRef result_reg_3_0_i_238)) (portRef B (instanceRef result_reg_3_0_i_237)) (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + )) + (net result_i_215 (joined + (portRef (member result_i 88) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_215)) + )) + (net result_i_216 (joined + (portRef (member result_i 87) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_216)) + )) + (net result_i_217 (joined + (portRef (member result_i 86) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_217)) + )) + (net result_i_218 (joined + (portRef (member result_i 85) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_218)) + )) + (net result_i_219 (joined + (portRef (member result_i 84) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_219)) + )) + (net result_i_220 (joined + (portRef (member result_i 83) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_220)) + )) + (net result_i_221 (joined + (portRef (member result_i 82) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_221)) + )) + (net result_i_222 (joined + (portRef (member result_i 81) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_222)) + )) + (net result_i_223 (joined + (portRef (member result_i 80) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_223)) + )) + (net result_i_224 (joined + (portRef (member result_i 79) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_224)) + )) + (net result_i_225 (joined + (portRef (member result_i 78) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_225)) + )) + (net result_i_226 (joined + (portRef (member result_i 77) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_226)) + )) + (net result_i_227 (joined + (portRef (member result_i 76) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_227)) + )) + (net result_i_228 (joined + (portRef (member result_i 75) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_228)) + )) + (net result_i_229 (joined + (portRef (member result_i 74) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_229)) + )) + (net result_i_230 (joined + (portRef (member result_i 73) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_230)) + )) + (net result_i_231 (joined + (portRef (member result_i 72) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_231)) + )) + (net result_i_232 (joined + (portRef (member result_i 71) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_232)) + )) + (net result_i_233 (joined + (portRef (member result_i 70) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_234 (joined + (portRef (member result_i 69) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_234)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) )) (net result_i_238 (joined (portRef (member result_i 65) (instanceRef FC)) @@ -799047,8 +799169,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_20_0 (joined - (portRef (member channel_debug_01_i_20 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_5_0 (joined + (portRef (member channel_debug_01_i_5 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -799075,9 +799197,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_20_2 (joined - (portRef (member channel_debug_01_i_20 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_20 0) (instanceRef FIFO)) + (net channel_debug_01_i_5_2 (joined + (portRef (member channel_debug_01_i_5 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_5 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -799262,17 +799384,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_38 (joined - (portRef reset_tdc_38) - (portRef reset_tdc_38 (instanceRef FC)) + (net reset_tdc_84 (joined + (portRef reset_tdc_84) + (portRef reset_tdc_84 (instanceRef FC)) )) - (net reset_tdc_37 (joined - (portRef reset_tdc_37) - (portRef reset_tdc_37 (instanceRef FC)) + (net reset_tdc_83 (joined + (portRef reset_tdc_83) + (portRef reset_tdc_83 (instanceRef FC)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) - (portRef reset_tdc_36 (instanceRef FC)) + (net reset_tdc_82 (joined + (portRef reset_tdc_82) + (portRef reset_tdc_82 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -799314,39 +799436,47 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) - (portRef reset_tdc_39 (instanceRef Encoder)) - (portRef reset_tdc_39 (instanceRef FC)) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) + (portRef reset_tdc_85 (instanceRef Encoder)) + (portRef reset_tdc_85 (instanceRef FC)) )) - (net reset_tdc_fast_36_r29 (joined - (portRef reset_tdc_fast_36_r29) - (portRef reset_tdc_fast_36_r29 (instanceRef Encoder)) + (net reset_tdc_fast_36_r8 (joined + (portRef reset_tdc_fast_36_r8) + (portRef reset_tdc_fast_36_r8 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r6 (joined + (portRef reset_tdc_fast_37_r6) + (portRef reset_tdc_fast_37_r6 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef reset_tdc_fast_37_r5 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) + (portRef reset_tdc_fast_36_r10 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r11 (joined + (portRef reset_tdc_fast_36_r11) + (portRef reset_tdc_fast_36_r11 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIB3KO)) + (portRef A (instanceRef result_2_reg_RNIRE6H)) )) - (net rd_en_i_20 (joined + (net rd_en_i_5 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef reset_i_8 (instanceRef FIFO)) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef reset_i_17 (instanceRef FIFO)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef reset_i_7 (instanceRef FIFO)) + (net reset_i_16 (joined + (portRef reset_i_16) + (portRef reset_i_16 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -799369,28 +799499,29 @@ ) ) ) - (cell Channel_8 (cellType GENERIC) + (cell Channel_4 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(8:8)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(8:8)") 1) (direction INPUT)) - (port (array (rename channel_data_i_8 "channel_data_i_8(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(40:40)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(4:4)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(4:4)") 1) (direction INPUT)) + (port (array (rename channel_data_i_4 "channel_data_i_4(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(36:36)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(4:4)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1731_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_tdc_fast_36_r16 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_37_r8 (direction INPUT)) - (port reset_tdc_fast_37_r9 (direction INPUT)) - (port reset_tdc_fast_36_r14 (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) - (port reset_tdc_74 (direction INPUT)) - (port reset_tdc_75 (direction INPUT)) - (port reset_tdc_76 (direction INPUT)) + (port reset_i_17 (direction INPUT)) + (port reset_tdc_fast_36_r7 (direction INPUT)) + (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_36_r6 (direction INPUT)) + (port reset_tdc_85 (direction INPUT)) + (port reset_tdc_86 (direction INPUT)) + (port reset_tdc_87 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_fast_21 (direction INPUT)) (port reset_tdc_fast_20 (direction INPUT)) @@ -799410,7 +799541,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295745_0 (direction OUTPUT)) + (port N_296043_0 (direction OUTPUT)) (port G_1729_Q (direction INPUT)) (port sync_q_and_7 (direction OUTPUT)) (port G_1726_Q (direction INPUT)) @@ -799419,14 +799550,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI4MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNISLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI4MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNISLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI4MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNISLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -800223,6 +800354,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -801272,7 +801405,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI1F6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIPE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -801528,32 +801661,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0)) )) (net tmp1_7_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI1F6H)) + (portRef B (instanceRef result_2_reg_RNIPE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI4MBG_1)) - (portRef B (instanceRef result_2_reg_RNI4MBG_0)) - (portRef B (instanceRef result_2_reg_RNI4MBG)) + (portRef B (instanceRef result_2_reg_RNISLBG_1)) + (portRef B (instanceRef result_2_reg_RNISLBG_0)) + (portRef B (instanceRef result_2_reg_RNISLBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI1F6H)) + (portRef C (instanceRef result_2_reg_RNIPE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI4MBG_1)) - (portRef C (instanceRef result_2_reg_RNI4MBG_0)) - (portRef C (instanceRef result_2_reg_RNI4MBG)) + (portRef C (instanceRef result_2_reg_RNISLBG_1)) + (portRef C (instanceRef result_2_reg_RNISLBG_0)) + (portRef C (instanceRef result_2_reg_RNISLBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI4MBG)) + (portRef Z (instanceRef result_2_reg_RNISLBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -801632,9 +801765,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI4MBG_1)) - (portRef A (instanceRef result_2_reg_RNI4MBG_0)) - (portRef A (instanceRef result_2_reg_RNI4MBG)) + (portRef A (instanceRef result_2_reg_RNISLBG_1)) + (portRef A (instanceRef result_2_reg_RNISLBG_0)) + (portRef A (instanceRef result_2_reg_RNISLBG)) )) (net GND (joined (portRef GND) @@ -801789,7 +801922,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI4MBG_0)) + (portRef Z (instanceRef result_2_reg_RNISLBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -801867,7 +802000,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI4MBG_1)) + (portRef Z (instanceRef result_2_reg_RNISLBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -801954,9 +802087,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295745_0 (joined + (net N_296043_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295745_0) + (portRef N_296043_0) )) (net tmp1_7_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -802608,7 +802741,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI1F6H)) + (portRef Z (instanceRef result_2_reg_RNIPE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -805521,9 +805654,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_8_1 (joined + (net channel_debug_01_i_4_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_8 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_4 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_7 (joined @@ -805718,18 +805851,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_4 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_40 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -805766,6 +805899,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -805802,258 +805936,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_8_0 (joined + (net stat_reg_36 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_4_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_8 31)) + (portRef (member channel_data_i_4 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_8_1 (joined + (net channel_data_i_4_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_8 30)) + (portRef (member channel_data_i_4 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_8_2 (joined + (net channel_data_i_4_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_8 29)) + (portRef (member channel_data_i_4 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_8_3 (joined + (net channel_data_i_4_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_8 28)) + (portRef (member channel_data_i_4 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_8_4 (joined + (net channel_data_i_4_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_8 27)) + (portRef (member channel_data_i_4 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_8_5 (joined + (net channel_data_i_4_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_8 26)) + (portRef (member channel_data_i_4 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_8_6 (joined + (net channel_data_i_4_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_8 25)) + (portRef (member channel_data_i_4 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_8_7 (joined + (net channel_data_i_4_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_8 24)) + (portRef (member channel_data_i_4 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_8_8 (joined + (net channel_data_i_4_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_8 23)) + (portRef (member channel_data_i_4 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_8_9 (joined + (net channel_data_i_4_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_8 22)) + (portRef (member channel_data_i_4 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_8_10 (joined + (net channel_data_i_4_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_8 21)) + (portRef (member channel_data_i_4 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_8_11 (joined + (net channel_data_i_4_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_8 20)) + (portRef (member channel_data_i_4 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_8_12 (joined + (net channel_data_i_4_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_8 19)) + (portRef (member channel_data_i_4 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_8_13 (joined + (net channel_data_i_4_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_8 18)) + (portRef (member channel_data_i_4 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_8_14 (joined + (net channel_data_i_4_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_8 17)) + (portRef (member channel_data_i_4 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_8_15 (joined + (net channel_data_i_4_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_8 16)) + (portRef (member channel_data_i_4 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_8_16 (joined + (net channel_data_i_4_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_8 15)) + (portRef (member channel_data_i_4 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_8_17 (joined + (net channel_data_i_4_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_8 14)) + (portRef (member channel_data_i_4 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_8_18 (joined + (net channel_data_i_4_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_8 13)) + (portRef (member channel_data_i_4 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_8_19 (joined + (net channel_data_i_4_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_8 12)) + (portRef (member channel_data_i_4 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_8_20 (joined + (net channel_data_i_4_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_8 11)) + (portRef (member channel_data_i_4 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_8_21 (joined + (net channel_data_i_4_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_8 10)) + (portRef (member channel_data_i_4 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_8_22 (joined + (net channel_data_i_4_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_8 9)) + (portRef (member channel_data_i_4 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_8_23 (joined + (net channel_data_i_4_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_8 8)) + (portRef (member channel_data_i_4 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_8_24 (joined + (net channel_data_i_4_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_8 7)) + (portRef (member channel_data_i_4 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_8_25 (joined + (net channel_data_i_4_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_8 6)) + (portRef (member channel_data_i_4 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_8_26 (joined + (net channel_data_i_4_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_8 5)) + (portRef (member channel_data_i_4 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_8_27 (joined + (net channel_data_i_4_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_8 4)) + (portRef (member channel_data_i_4 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_8_28 (joined + (net channel_data_i_4_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_8 3)) + (portRef (member channel_data_i_4 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_8_29 (joined + (net channel_data_i_4_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_8 2)) + (portRef (member channel_data_i_4 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_8_30 (joined + (net channel_data_i_4_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_8 1)) + (portRef (member channel_data_i_4 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_8_31 (joined + (net channel_data_i_4_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_8 0)) + (portRef (member channel_data_i_4 0)) )) (net sync_q_CR0_ram_DO1_7 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -807704,8 +807847,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_8_0 (joined - (portRef (member channel_debug_01_i_8 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_4_0 (joined + (portRef (member channel_debug_01_i_4 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -807732,9 +807875,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_8_2 (joined - (portRef (member channel_debug_01_i_8 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_8 0) (instanceRef FIFO)) + (net channel_debug_01_i_4_2 (joined + (portRef (member channel_debug_01_i_4 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_4 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -807919,17 +808062,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_75 (joined - (portRef reset_tdc_75) - (portRef reset_tdc_75 (instanceRef FC)) + (net reset_tdc_87 (joined + (portRef reset_tdc_87) + (portRef reset_tdc_87 (instanceRef FC)) )) - (net reset_tdc_74 (joined - (portRef reset_tdc_74) - (portRef reset_tdc_74 (instanceRef FC)) + (net reset_tdc_86 (joined + (portRef reset_tdc_86) + (portRef reset_tdc_86 (instanceRef FC)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef reset_tdc_73 (instanceRef FC)) + (net reset_tdc_85 (joined + (portRef reset_tdc_85) + (portRef reset_tdc_85 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -807971,43 +808114,43 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_76 (joined - (portRef reset_tdc_76) - (portRef reset_tdc_76 (instanceRef Encoder)) - (portRef reset_tdc_76 (instanceRef FC)) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) + (portRef reset_tdc_88 (instanceRef Encoder)) + (portRef reset_tdc_88 (instanceRef FC)) )) - (net reset_tdc_fast_36_r14 (joined - (portRef reset_tdc_fast_36_r14) - (portRef reset_tdc_fast_36_r14 (instanceRef Encoder)) + (net reset_tdc_fast_36_r6 (joined + (portRef reset_tdc_fast_36_r6) + (portRef reset_tdc_fast_36_r6 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r9 (joined - (portRef reset_tdc_fast_37_r9) - (portRef reset_tdc_fast_37_r9 (instanceRef Encoder)) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef reset_tdc_fast_37_r5 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r8 (joined - (portRef reset_tdc_fast_37_r8) - (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) + (portRef reset_tdc_fast_36_r10 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r16 (joined - (portRef reset_tdc_fast_36_r16) - (portRef reset_tdc_fast_36_r16 (instanceRef Encoder)) + (net reset_tdc_fast_36_r7 (joined + (portRef reset_tdc_fast_36_r7) + (portRef reset_tdc_fast_36_r7 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI1F6H)) + (portRef A (instanceRef result_2_reg_RNIPE6H)) )) - (net rd_en_i_8 (joined + (net rd_en_i_4 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef reset_i_14 (instanceRef FIFO)) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef reset_i_17 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -808030,101 +808173,30 @@ ) ) ) - (cell Channel_3 (cellType GENERIC) + (cell Channel_9 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(3:3)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(3:3)") 1) (direction INPUT)) - (port (array (rename channel_data_i_3 "channel_data_i_3(31:0)") 32) (direction OUTPUT)) - (port stat_reg_500 (direction OUTPUT)) - (port stat_reg_499 (direction OUTPUT)) - (port stat_reg_498 (direction OUTPUT)) - (port stat_reg_497 (direction OUTPUT)) - (port stat_reg_496 (direction OUTPUT)) - (port stat_reg_495 (direction OUTPUT)) - (port stat_reg_494 (direction OUTPUT)) - (port stat_reg_493 (direction OUTPUT)) - (port stat_reg_492 (direction OUTPUT)) - (port stat_reg_491 (direction OUTPUT)) - (port stat_reg_490 (direction OUTPUT)) - (port stat_reg_489 (direction OUTPUT)) - (port stat_reg_488 (direction OUTPUT)) - (port stat_reg_487 (direction OUTPUT)) - (port stat_reg_486 (direction OUTPUT)) - (port stat_reg_485 (direction OUTPUT)) - (port stat_reg_484 (direction OUTPUT)) - (port stat_reg_483 (direction OUTPUT)) - (port stat_reg_482 (direction OUTPUT)) - (port stat_reg_481 (direction OUTPUT)) - (port stat_reg_480 (direction OUTPUT)) - (port stat_reg_479 (direction OUTPUT)) - (port stat_reg_478 (direction OUTPUT)) - (port stat_reg_477 (direction OUTPUT)) - (port stat_reg_532 (direction OUTPUT)) - (port stat_reg_531 (direction OUTPUT)) - (port stat_reg_530 (direction OUTPUT)) - (port stat_reg_529 (direction OUTPUT)) - (port stat_reg_528 (direction OUTPUT)) - (port stat_reg_527 (direction OUTPUT)) - (port stat_reg_526 (direction OUTPUT)) - (port stat_reg_525 (direction OUTPUT)) - (port stat_reg_524 (direction OUTPUT)) - (port stat_reg_523 (direction OUTPUT)) - (port stat_reg_522 (direction OUTPUT)) - (port stat_reg_521 (direction OUTPUT)) - (port stat_reg_520 (direction OUTPUT)) - (port stat_reg_519 (direction OUTPUT)) - (port stat_reg_518 (direction OUTPUT)) - (port stat_reg_517 (direction OUTPUT)) - (port stat_reg_516 (direction OUTPUT)) - (port stat_reg_515 (direction OUTPUT)) - (port stat_reg_514 (direction OUTPUT)) - (port stat_reg_513 (direction OUTPUT)) - (port stat_reg_512 (direction OUTPUT)) - (port stat_reg_511 (direction OUTPUT)) - (port stat_reg_510 (direction OUTPUT)) - (port stat_reg_509 (direction OUTPUT)) - (port stat_reg_468 (direction OUTPUT)) - (port stat_reg_467 (direction OUTPUT)) - (port stat_reg_466 (direction OUTPUT)) - (port stat_reg_465 (direction OUTPUT)) - (port stat_reg_464 (direction OUTPUT)) - (port stat_reg_463 (direction OUTPUT)) - (port stat_reg_462 (direction OUTPUT)) - (port stat_reg_461 (direction OUTPUT)) - (port stat_reg_460 (direction OUTPUT)) - (port stat_reg_459 (direction OUTPUT)) - (port stat_reg_458 (direction OUTPUT)) - (port stat_reg_457 (direction OUTPUT)) - (port stat_reg_456 (direction OUTPUT)) - (port stat_reg_455 (direction OUTPUT)) - (port stat_reg_454 (direction OUTPUT)) - (port stat_reg_453 (direction OUTPUT)) - (port stat_reg_452 (direction OUTPUT)) - (port stat_reg_451 (direction OUTPUT)) - (port stat_reg_450 (direction OUTPUT)) - (port stat_reg_449 (direction OUTPUT)) - (port stat_reg_448 (direction OUTPUT)) - (port stat_reg_447 (direction OUTPUT)) - (port stat_reg_446 (direction OUTPUT)) - (port stat_reg_445 (direction OUTPUT)) - (port stat_reg_0 (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(3:3)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(9:9)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(9:9)") 1) (direction INPUT)) + (port (array (rename channel_data_i_9 "channel_data_i_9(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(41:41)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(9:9)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1740_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_16 (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port reset_tdc_fast_36_r7 (direction INPUT)) - (port reset_tdc_fast_36_r5 (direction INPUT)) - (port reset_tdc_fast_37_r3 (direction INPUT)) - (port reset_tdc_fast_36_r4 (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) - (port reset_tdc_89 (direction INPUT)) - (port reset_tdc_90 (direction INPUT)) - (port reset_tdc_91 (direction INPUT)) + (port reset_i_14 (direction INPUT)) + (port reset_i_15 (direction INPUT)) + (port reset_tdc_fast_36_r20 (direction INPUT)) + (port reset_tdc_fast_36_r18 (direction INPUT)) + (port reset_tdc_fast_37_r9 (direction INPUT)) + (port reset_tdc_fast_37_r10 (direction INPUT)) + (port reset_tdc_fast_36_r16 (direction INPUT)) + (port reset_tdc_70 (direction INPUT)) + (port reset_tdc_71 (direction INPUT)) + (port reset_tdc_72 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) (port reset_tdc_fast_18 (direction INPUT)) (port reset_tdc_fast_17 (direction INPUT)) @@ -808145,7 +808217,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295758_0 (direction OUTPUT)) + (port N_296056_0 (direction OUTPUT)) (port G_1738_Q (direction INPUT)) (port sync_q_and_6 (direction OUTPUT)) (port G_1735_Q (direction INPUT)) @@ -808154,14 +808226,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIQLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI6MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIQLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI6MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIQLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI6MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -808170,10 +808242,10 @@ (instance GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) (instance result_reg_0 (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT))) @@ -810009,7 +810081,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNINE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI3F6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -810254,12 +810326,6 @@ ) (instance Edge_To_Pulse_Hit (viewRef netlist (cellRef edge_to_pulse_0_7)) ) - (instance Lost_Hit_Sync (viewRef netlist (cellRef signal_sync_24_3_21)) - ) - (instance Encoder_Start_Sync (viewRef netlist (cellRef signal_sync_24_3_22)) - ) - (instance Measurement_Sync (viewRef netlist (cellRef signal_sync_24_3_23)) - ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) (portRef (member hit_in_iZ0 0)) @@ -810269,34 +810335,34 @@ (net tmp1_6_0 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0)) )) (net tmp1_6_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNINE6H)) + (portRef B (instanceRef result_2_reg_RNI3F6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIQLBG_1)) - (portRef B (instanceRef result_2_reg_RNIQLBG_0)) - (portRef B (instanceRef result_2_reg_RNIQLBG)) + (portRef B (instanceRef result_2_reg_RNI6MBG_1)) + (portRef B (instanceRef result_2_reg_RNI6MBG_0)) + (portRef B (instanceRef result_2_reg_RNI6MBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNINE6H)) + (portRef C (instanceRef result_2_reg_RNI3F6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIQLBG_1)) - (portRef C (instanceRef result_2_reg_RNIQLBG_0)) - (portRef C (instanceRef result_2_reg_RNIQLBG)) + (portRef C (instanceRef result_2_reg_RNI6MBG_1)) + (portRef C (instanceRef result_2_reg_RNI6MBG_0)) + (portRef C (instanceRef result_2_reg_RNI6MBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIQLBG)) + (portRef Z (instanceRef result_2_reg_RNI6MBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -810375,9 +810441,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIQLBG_1)) - (portRef A (instanceRef result_2_reg_RNIQLBG_0)) - (portRef A (instanceRef result_2_reg_RNIQLBG)) + (portRef A (instanceRef result_2_reg_RNI6MBG_1)) + (portRef A (instanceRef result_2_reg_RNI6MBG_0)) + (portRef A (instanceRef result_2_reg_RNI6MBG)) )) (net GND (joined (portRef GND) @@ -810532,7 +810598,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIQLBG_0)) + (portRef Z (instanceRef result_2_reg_RNI6MBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -810610,7 +810676,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIQLBG_1)) + (portRef Z (instanceRef result_2_reg_RNI6MBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -810697,24 +810763,24 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295758_0 (joined + (net N_296056_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295758_0) + (portRef N_296056_0) )) (net tmp1_6_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) (portRef WAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) - (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - )) - (net tmp3_6_1 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) - (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) + (portRef B (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) )) (net tmp2_6_1 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) )) + (net tmp3_6_1 (joined + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) + (portRef RAD1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) + )) (net result_i_0 (joined (portRef (member result_i 303) (instanceRef FC)) (portRef D (instanceRef result_reg_0)) @@ -810735,9 +810801,6 @@ )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) - (portRef CLK_PCLK_LEFT_c (instanceRef Measurement_Sync)) - (portRef CLK_PCLK_LEFT_c (instanceRef Encoder_Start_Sync)) - (portRef CLK_PCLK_LEFT_c (instanceRef Lost_Hit_Sync)) (portRef CLK_PCLK_LEFT_c (instanceRef Edge_To_Pulse_Hit)) (portRef CLK_PCLK_LEFT_c (instanceRef FIFO)) (portRef CLK_PCLK_LEFT_c (instanceRef Encoder)) @@ -811209,9 +811272,6 @@ )) (net VCC (joined (portRef VCC) - (portRef VCC (instanceRef Measurement_Sync)) - (portRef VCC (instanceRef Encoder_Start_Sync)) - (portRef VCC (instanceRef Lost_Hit_Sync)) (portRef VCC (instanceRef Edge_To_Pulse_Hit)) (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) @@ -811357,7 +811417,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNINE6H)) + (portRef Z (instanceRef result_2_reg_RNI3F6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -813789,7 +813849,6 @@ )) (net measurement_cntr_0 (joined (portRef Q (instanceRef measurement_cntr_0)) - (portRef (member measurement_cntr 23) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S0_6 (joined @@ -813798,7 +813857,6 @@ )) (net measurement_cntr_1 (joined (portRef Q (instanceRef measurement_cntr_1)) - (portRef (member measurement_cntr 22) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S1_6 (joined @@ -813807,7 +813865,6 @@ )) (net measurement_cntr_2 (joined (portRef Q (instanceRef measurement_cntr_2)) - (portRef (member measurement_cntr 21) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S0_6 (joined @@ -813816,7 +813873,6 @@ )) (net measurement_cntr_3 (joined (portRef Q (instanceRef measurement_cntr_3)) - (portRef (member measurement_cntr 20) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S1_6 (joined @@ -813825,7 +813881,6 @@ )) (net measurement_cntr_4 (joined (portRef Q (instanceRef measurement_cntr_4)) - (portRef (member measurement_cntr 19) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S0_6 (joined @@ -813834,7 +813889,6 @@ )) (net measurement_cntr_5 (joined (portRef Q (instanceRef measurement_cntr_5)) - (portRef (member measurement_cntr 18) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S1_6 (joined @@ -813843,7 +813897,6 @@ )) (net measurement_cntr_6 (joined (portRef Q (instanceRef measurement_cntr_6)) - (portRef (member measurement_cntr 17) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S0_6 (joined @@ -813852,7 +813905,6 @@ )) (net measurement_cntr_7 (joined (portRef Q (instanceRef measurement_cntr_7)) - (portRef (member measurement_cntr 16) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S1_6 (joined @@ -813861,7 +813913,6 @@ )) (net measurement_cntr_8 (joined (portRef Q (instanceRef measurement_cntr_8)) - (portRef (member measurement_cntr 15) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S0_6 (joined @@ -813870,7 +813921,6 @@ )) (net measurement_cntr_9 (joined (portRef Q (instanceRef measurement_cntr_9)) - (portRef (member measurement_cntr 14) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S1_6 (joined @@ -813879,7 +813929,6 @@ )) (net measurement_cntr_10 (joined (portRef Q (instanceRef measurement_cntr_10)) - (portRef (member measurement_cntr 13) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S0_6 (joined @@ -813888,7 +813937,6 @@ )) (net measurement_cntr_11 (joined (portRef Q (instanceRef measurement_cntr_11)) - (portRef (member measurement_cntr 12) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S1_6 (joined @@ -813897,7 +813945,6 @@ )) (net measurement_cntr_12 (joined (portRef Q (instanceRef measurement_cntr_12)) - (portRef (member measurement_cntr 11) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S0_6 (joined @@ -813906,7 +813953,6 @@ )) (net measurement_cntr_13 (joined (portRef Q (instanceRef measurement_cntr_13)) - (portRef (member measurement_cntr 10) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S1_6 (joined @@ -813915,7 +813961,6 @@ )) (net measurement_cntr_14 (joined (portRef Q (instanceRef measurement_cntr_14)) - (portRef (member measurement_cntr 9) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S0_6 (joined @@ -813924,7 +813969,6 @@ )) (net measurement_cntr_15 (joined (portRef Q (instanceRef measurement_cntr_15)) - (portRef (member measurement_cntr 8) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S1_6 (joined @@ -813933,7 +813977,6 @@ )) (net measurement_cntr_16 (joined (portRef Q (instanceRef measurement_cntr_16)) - (portRef (member measurement_cntr 7) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S0_6 (joined @@ -813942,7 +813985,6 @@ )) (net measurement_cntr_17 (joined (portRef Q (instanceRef measurement_cntr_17)) - (portRef (member measurement_cntr 6) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S1_6 (joined @@ -813951,7 +813993,6 @@ )) (net measurement_cntr_18 (joined (portRef Q (instanceRef measurement_cntr_18)) - (portRef (member measurement_cntr 5) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S0_6 (joined @@ -813960,7 +814001,6 @@ )) (net measurement_cntr_19 (joined (portRef Q (instanceRef measurement_cntr_19)) - (portRef (member measurement_cntr 4) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S1_6 (joined @@ -813969,7 +814009,6 @@ )) (net measurement_cntr_20 (joined (portRef Q (instanceRef measurement_cntr_20)) - (portRef (member measurement_cntr 3) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S0_6 (joined @@ -813978,7 +814017,6 @@ )) (net measurement_cntr_21 (joined (portRef Q (instanceRef measurement_cntr_21)) - (portRef (member measurement_cntr 2) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S1_6 (joined @@ -813987,7 +814025,6 @@ )) (net measurement_cntr_22 (joined (portRef Q (instanceRef measurement_cntr_22)) - (portRef (member measurement_cntr 1) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_s_23_0_S0_6 (joined @@ -813996,7 +814033,6 @@ )) (net measurement_cntr_23 (joined (portRef Q (instanceRef measurement_cntr_23)) - (portRef (member measurement_cntr 0) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) (net lost_hit_cntr_lm_0 (joined @@ -814005,7 +814041,6 @@ )) (net lost_hit_cntr_0 (joined (portRef Q (instanceRef lost_hit_cntr_0)) - (portRef (member lost_hit_cntr 23) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_0)) )) (net lost_hit_cntr_lm_1 (joined @@ -814014,7 +814049,6 @@ )) (net lost_hit_cntr_1 (joined (portRef Q (instanceRef lost_hit_cntr_1)) - (portRef (member lost_hit_cntr 22) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_2 (joined @@ -814023,7 +814057,6 @@ )) (net lost_hit_cntr_2 (joined (portRef Q (instanceRef lost_hit_cntr_2)) - (portRef (member lost_hit_cntr 21) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_3 (joined @@ -814032,7 +814065,6 @@ )) (net lost_hit_cntr_3 (joined (portRef Q (instanceRef lost_hit_cntr_3)) - (portRef (member lost_hit_cntr 20) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_4 (joined @@ -814041,7 +814073,6 @@ )) (net lost_hit_cntr_4 (joined (portRef Q (instanceRef lost_hit_cntr_4)) - (portRef (member lost_hit_cntr 19) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_5 (joined @@ -814050,7 +814081,6 @@ )) (net lost_hit_cntr_5 (joined (portRef Q (instanceRef lost_hit_cntr_5)) - (portRef (member lost_hit_cntr 18) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_6 (joined @@ -814059,7 +814089,6 @@ )) (net lost_hit_cntr_6 (joined (portRef Q (instanceRef lost_hit_cntr_6)) - (portRef (member lost_hit_cntr 17) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_7 (joined @@ -814068,7 +814097,6 @@ )) (net lost_hit_cntr_7 (joined (portRef Q (instanceRef lost_hit_cntr_7)) - (portRef (member lost_hit_cntr 16) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_8 (joined @@ -814077,7 +814105,6 @@ )) (net lost_hit_cntr_8 (joined (portRef Q (instanceRef lost_hit_cntr_8)) - (portRef (member lost_hit_cntr 15) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_9 (joined @@ -814086,7 +814113,6 @@ )) (net lost_hit_cntr_9 (joined (portRef Q (instanceRef lost_hit_cntr_9)) - (portRef (member lost_hit_cntr 14) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_10 (joined @@ -814095,7 +814121,6 @@ )) (net lost_hit_cntr_10 (joined (portRef Q (instanceRef lost_hit_cntr_10)) - (portRef (member lost_hit_cntr 13) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_11 (joined @@ -814104,7 +814129,6 @@ )) (net lost_hit_cntr_11 (joined (portRef Q (instanceRef lost_hit_cntr_11)) - (portRef (member lost_hit_cntr 12) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_12 (joined @@ -814113,7 +814137,6 @@ )) (net lost_hit_cntr_12 (joined (portRef Q (instanceRef lost_hit_cntr_12)) - (portRef (member lost_hit_cntr 11) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_13 (joined @@ -814122,7 +814145,6 @@ )) (net lost_hit_cntr_13 (joined (portRef Q (instanceRef lost_hit_cntr_13)) - (portRef (member lost_hit_cntr 10) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_14 (joined @@ -814131,7 +814153,6 @@ )) (net lost_hit_cntr_14 (joined (portRef Q (instanceRef lost_hit_cntr_14)) - (portRef (member lost_hit_cntr 9) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_15 (joined @@ -814140,7 +814161,6 @@ )) (net lost_hit_cntr_15 (joined (portRef Q (instanceRef lost_hit_cntr_15)) - (portRef (member lost_hit_cntr 8) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_16 (joined @@ -814149,7 +814169,6 @@ )) (net lost_hit_cntr_16 (joined (portRef Q (instanceRef lost_hit_cntr_16)) - (portRef (member lost_hit_cntr 7) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_17 (joined @@ -814158,7 +814177,6 @@ )) (net lost_hit_cntr_17 (joined (portRef Q (instanceRef lost_hit_cntr_17)) - (portRef (member lost_hit_cntr 6) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_18 (joined @@ -814167,7 +814185,6 @@ )) (net lost_hit_cntr_18 (joined (portRef Q (instanceRef lost_hit_cntr_18)) - (portRef (member lost_hit_cntr 5) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_19 (joined @@ -814176,7 +814193,6 @@ )) (net lost_hit_cntr_19 (joined (portRef Q (instanceRef lost_hit_cntr_19)) - (portRef (member lost_hit_cntr 4) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_20 (joined @@ -814185,7 +814201,6 @@ )) (net lost_hit_cntr_20 (joined (portRef Q (instanceRef lost_hit_cntr_20)) - (portRef (member lost_hit_cntr 3) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_21 (joined @@ -814194,7 +814209,6 @@ )) (net lost_hit_cntr_21 (joined (portRef Q (instanceRef lost_hit_cntr_21)) - (portRef (member lost_hit_cntr 2) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_22 (joined @@ -814203,7 +814217,6 @@ )) (net lost_hit_cntr_22 (joined (portRef Q (instanceRef lost_hit_cntr_22)) - (portRef (member lost_hit_cntr 1) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_23 (joined @@ -814212,7 +814225,6 @@ )) (net lost_hit_cntr_23 (joined (portRef Q (instanceRef lost_hit_cntr_23)) - (portRef (member lost_hit_cntr 0) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined @@ -814318,9 +814330,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_3_1 (joined + (net channel_debug_01_i_9_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_3 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_9 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_6 (joined @@ -814329,7 +814341,6 @@ )) (net encoder_start_cntr_0 (joined (portRef Q (instanceRef encoder_start_cntr_0)) - (portRef (member encoder_start_cntr 23) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_1_0_S0_6 (joined @@ -814338,7 +814349,6 @@ )) (net encoder_start_cntr_1 (joined (portRef Q (instanceRef encoder_start_cntr_1)) - (portRef (member encoder_start_cntr 22) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_1_0_S1_6 (joined @@ -814347,7 +814357,6 @@ )) (net encoder_start_cntr_2 (joined (portRef Q (instanceRef encoder_start_cntr_2)) - (portRef (member encoder_start_cntr 21) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_3_0_S0_6 (joined @@ -814356,7 +814365,6 @@ )) (net encoder_start_cntr_3 (joined (portRef Q (instanceRef encoder_start_cntr_3)) - (portRef (member encoder_start_cntr 20) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_3_0_S1_6 (joined @@ -814365,7 +814373,6 @@ )) (net encoder_start_cntr_4 (joined (portRef Q (instanceRef encoder_start_cntr_4)) - (portRef (member encoder_start_cntr 19) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_5_0_S0_6 (joined @@ -814374,7 +814381,6 @@ )) (net encoder_start_cntr_5 (joined (portRef Q (instanceRef encoder_start_cntr_5)) - (portRef (member encoder_start_cntr 18) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_5_0_S1_6 (joined @@ -814383,7 +814389,6 @@ )) (net encoder_start_cntr_6 (joined (portRef Q (instanceRef encoder_start_cntr_6)) - (portRef (member encoder_start_cntr 17) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_7_0_S0_6 (joined @@ -814392,7 +814397,6 @@ )) (net encoder_start_cntr_7 (joined (portRef Q (instanceRef encoder_start_cntr_7)) - (portRef (member encoder_start_cntr 16) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_7_0_S1_6 (joined @@ -814401,7 +814405,6 @@ )) (net encoder_start_cntr_8 (joined (portRef Q (instanceRef encoder_start_cntr_8)) - (portRef (member encoder_start_cntr 15) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_9_0_S0_6 (joined @@ -814410,7 +814413,6 @@ )) (net encoder_start_cntr_9 (joined (portRef Q (instanceRef encoder_start_cntr_9)) - (portRef (member encoder_start_cntr 14) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_9_0_S1_6 (joined @@ -814419,7 +814421,6 @@ )) (net encoder_start_cntr_10 (joined (portRef Q (instanceRef encoder_start_cntr_10)) - (portRef (member encoder_start_cntr 13) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_11_0_S0_6 (joined @@ -814428,7 +814429,6 @@ )) (net encoder_start_cntr_11 (joined (portRef Q (instanceRef encoder_start_cntr_11)) - (portRef (member encoder_start_cntr 12) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_11_0_S1_6 (joined @@ -814437,7 +814437,6 @@ )) (net encoder_start_cntr_12 (joined (portRef Q (instanceRef encoder_start_cntr_12)) - (portRef (member encoder_start_cntr 11) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_13_0_S0_6 (joined @@ -814446,7 +814445,6 @@ )) (net encoder_start_cntr_13 (joined (portRef Q (instanceRef encoder_start_cntr_13)) - (portRef (member encoder_start_cntr 10) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_13_0_S1_6 (joined @@ -814455,7 +814453,6 @@ )) (net encoder_start_cntr_14 (joined (portRef Q (instanceRef encoder_start_cntr_14)) - (portRef (member encoder_start_cntr 9) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_15_0_S0_6 (joined @@ -814464,7 +814461,6 @@ )) (net encoder_start_cntr_15 (joined (portRef Q (instanceRef encoder_start_cntr_15)) - (portRef (member encoder_start_cntr 8) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_15_0_S1_6 (joined @@ -814473,7 +814469,6 @@ )) (net encoder_start_cntr_16 (joined (portRef Q (instanceRef encoder_start_cntr_16)) - (portRef (member encoder_start_cntr 7) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_17_0_S0_6 (joined @@ -814482,7 +814477,6 @@ )) (net encoder_start_cntr_17 (joined (portRef Q (instanceRef encoder_start_cntr_17)) - (portRef (member encoder_start_cntr 6) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_17_0_S1_6 (joined @@ -814491,7 +814485,6 @@ )) (net encoder_start_cntr_18 (joined (portRef Q (instanceRef encoder_start_cntr_18)) - (portRef (member encoder_start_cntr 5) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_19_0_S0_6 (joined @@ -814500,7 +814493,6 @@ )) (net encoder_start_cntr_19 (joined (portRef Q (instanceRef encoder_start_cntr_19)) - (portRef (member encoder_start_cntr 4) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_19_0_S1_6 (joined @@ -814509,7 +814501,6 @@ )) (net encoder_start_cntr_20 (joined (portRef Q (instanceRef encoder_start_cntr_20)) - (portRef (member encoder_start_cntr 3) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_21_0_S0_6 (joined @@ -814518,7 +814509,6 @@ )) (net encoder_start_cntr_21 (joined (portRef Q (instanceRef encoder_start_cntr_21)) - (portRef (member encoder_start_cntr 2) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_cry_21_0_S1_6 (joined @@ -814527,7 +814517,6 @@ )) (net encoder_start_cntr_22 (joined (portRef Q (instanceRef encoder_start_cntr_22)) - (portRef (member encoder_start_cntr 1) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_s_23_0_S0_6 (joined @@ -814536,14 +814525,13 @@ )) (net encoder_start_cntr_23 (joined (portRef Q (instanceRef encoder_start_cntr_23)) - (portRef (member encoder_start_cntr 0) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) (net fifo_full_i (joined (portRef fifo_full_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_FULL_OUT)) )) - (net channel_full_i_3 (joined + (net channel_full_i_9 (joined (portRef Q (instanceRef FIFO_FULL_OUT)) (portRef (member channel_full_i 0)) )) @@ -814553,9 +814541,6 @@ )) (net clk_100_i_c (joined (portRef clk_100_i_c) - (portRef clk_100_i_c (instanceRef Measurement_Sync)) - (portRef clk_100_i_c (instanceRef Encoder_Start_Sync)) - (portRef clk_100_i_c (instanceRef Lost_Hit_Sync)) (portRef clk_100_i_c (instanceRef FIFO)) (portRef CK (instanceRef FIFO_DATA_OUT_31)) (portRef CK (instanceRef FIFO_DATA_OUT_30)) @@ -814594,9 +814579,6 @@ )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) - (portRef (member final_reset_iso 0) (instanceRef Measurement_Sync)) - (portRef (member final_reset_iso 0) (instanceRef Encoder_Start_Sync)) - (portRef (member final_reset_iso 0) (instanceRef Lost_Hit_Sync)) (portRef PD (instanceRef FIFO_DATA_OUT_31)) (portRef PD (instanceRef FIFO_DATA_OUT_30)) (portRef PD (instanceRef FIFO_DATA_OUT_29)) @@ -814632,265 +814614,265 @@ (portRef CD (instanceRef FIFO_EMPTY_OUT)) (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net stat_reg_0 (joined + (net stat_reg_41 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef stat_reg_0) + (portRef (member stat_reg 0)) )) (net fifo_data_out_i_0 (joined (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_3_0 (joined + (net channel_data_i_9_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_3 31)) + (portRef (member channel_data_i_9 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_3_1 (joined + (net channel_data_i_9_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_3 30)) + (portRef (member channel_data_i_9 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_3_2 (joined + (net channel_data_i_9_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_3 29)) + (portRef (member channel_data_i_9 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_3_3 (joined + (net channel_data_i_9_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_3 28)) + (portRef (member channel_data_i_9 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_3_4 (joined + (net channel_data_i_9_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_3 27)) + (portRef (member channel_data_i_9 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_3_5 (joined + (net channel_data_i_9_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_3 26)) + (portRef (member channel_data_i_9 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_3_6 (joined + (net channel_data_i_9_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_3 25)) + (portRef (member channel_data_i_9 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_3_7 (joined + (net channel_data_i_9_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_3 24)) + (portRef (member channel_data_i_9 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_3_8 (joined + (net channel_data_i_9_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_3 23)) + (portRef (member channel_data_i_9 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_3_9 (joined + (net channel_data_i_9_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_3 22)) + (portRef (member channel_data_i_9 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_3_10 (joined + (net channel_data_i_9_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_3 21)) + (portRef (member channel_data_i_9 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_3_11 (joined + (net channel_data_i_9_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_3 20)) + (portRef (member channel_data_i_9 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_3_12 (joined + (net channel_data_i_9_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_3 19)) + (portRef (member channel_data_i_9 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_3_13 (joined + (net channel_data_i_9_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_3 18)) + (portRef (member channel_data_i_9 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_3_14 (joined + (net channel_data_i_9_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_3 17)) + (portRef (member channel_data_i_9 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_3_15 (joined + (net channel_data_i_9_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_3 16)) + (portRef (member channel_data_i_9 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_3_16 (joined + (net channel_data_i_9_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_3 15)) + (portRef (member channel_data_i_9 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_3_17 (joined + (net channel_data_i_9_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_3 14)) + (portRef (member channel_data_i_9 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_3_18 (joined + (net channel_data_i_9_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_3 13)) + (portRef (member channel_data_i_9 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_3_19 (joined + (net channel_data_i_9_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_3 12)) + (portRef (member channel_data_i_9 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_3_20 (joined + (net channel_data_i_9_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_3 11)) + (portRef (member channel_data_i_9 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_3_21 (joined + (net channel_data_i_9_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_3 10)) + (portRef (member channel_data_i_9 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_3_22 (joined + (net channel_data_i_9_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_3 9)) + (portRef (member channel_data_i_9 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_3_23 (joined + (net channel_data_i_9_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_3 8)) + (portRef (member channel_data_i_9 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_3_24 (joined + (net channel_data_i_9_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_3 7)) + (portRef (member channel_data_i_9 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_3_25 (joined + (net channel_data_i_9_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_3 6)) + (portRef (member channel_data_i_9 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_3_26 (joined + (net channel_data_i_9_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_3 5)) + (portRef (member channel_data_i_9 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_3_27 (joined + (net channel_data_i_9_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_3 4)) + (portRef (member channel_data_i_9 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_3_28 (joined + (net channel_data_i_9_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_3 3)) + (portRef (member channel_data_i_9 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_3_29 (joined + (net channel_data_i_9_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_3 2)) + (portRef (member channel_data_i_9 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_3_30 (joined + (net channel_data_i_9_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_3 1)) + (portRef (member channel_data_i_9 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_3_31 (joined + (net channel_data_i_9_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_3 0)) + (portRef (member channel_data_i_9 0)) )) (net sync_q_CR0_ram_DO1_6 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -816544,8 +816526,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_3_0 (joined - (portRef (member channel_debug_01_i_3 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_9_0 (joined + (portRef (member channel_debug_01_i_9 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -816572,9 +816554,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_3_2 (joined - (portRef (member channel_debug_01_i_3 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_3 0) (instanceRef FIFO)) + (net channel_debug_01_i_9_2 (joined + (portRef (member channel_debug_01_i_9 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_9 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -816759,17 +816741,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_90 (joined - (portRef reset_tdc_90) - (portRef reset_tdc_90 (instanceRef FC)) + (net reset_tdc_72 (joined + (portRef reset_tdc_72) + (portRef reset_tdc_72 (instanceRef FC)) )) - (net reset_tdc_89 (joined - (portRef reset_tdc_89) - (portRef reset_tdc_89 (instanceRef FC)) + (net reset_tdc_71 (joined + (portRef reset_tdc_71) + (portRef reset_tdc_71 (instanceRef FC)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) - (portRef reset_tdc_88 (instanceRef FC)) + (net reset_tdc_70 (joined + (portRef reset_tdc_70) + (portRef reset_tdc_70 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -816811,43 +816793,47 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_91 (joined - (portRef reset_tdc_91) - (portRef reset_tdc_91 (instanceRef Encoder)) - (portRef reset_tdc_91 (instanceRef FC)) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef reset_tdc_73 (instanceRef Encoder)) + (portRef reset_tdc_73 (instanceRef FC)) )) - (net reset_tdc_fast_36_r4 (joined - (portRef reset_tdc_fast_36_r4) - (portRef reset_tdc_fast_36_r4 (instanceRef Encoder)) + (net reset_tdc_fast_36_r16 (joined + (portRef reset_tdc_fast_36_r16) + (portRef reset_tdc_fast_36_r16 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r3 (joined - (portRef reset_tdc_fast_37_r3) - (portRef reset_tdc_fast_37_r3 (instanceRef Encoder)) + (net reset_tdc_fast_37_r10 (joined + (portRef reset_tdc_fast_37_r10) + (portRef reset_tdc_fast_37_r10 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r5 (joined - (portRef reset_tdc_fast_36_r5) - (portRef reset_tdc_fast_36_r5 (instanceRef Encoder)) + (net reset_tdc_fast_37_r9 (joined + (portRef reset_tdc_fast_37_r9) + (portRef reset_tdc_fast_37_r9 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r7 (joined - (portRef reset_tdc_fast_36_r7) - (portRef reset_tdc_fast_36_r7 (instanceRef Encoder)) + (net reset_tdc_fast_36_r18 (joined + (portRef reset_tdc_fast_36_r18) + (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r20 (joined + (portRef reset_tdc_fast_36_r20) + (portRef reset_tdc_fast_36_r20 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNINE6H)) + (portRef A (instanceRef result_2_reg_RNI3F6H)) )) - (net rd_en_i_3 (joined + (net rd_en_i_9 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef reset_i_17 (instanceRef FIFO)) + (net reset_i_15 (joined + (portRef reset_i_15) + (portRef reset_i_15 (instanceRef FIFO)) )) - (net reset_i_16 (joined - (portRef reset_i_16) - (portRef reset_i_16 (instanceRef FIFO)) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef reset_i_14 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -816867,324 +816853,40 @@ (portRef G_1740_Q) (portRef G_1740_Q (instanceRef Edge_To_Pulse_Hit)) )) - (net stat_reg_445 (joined - (portRef (member stat_reg 23) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_445) - )) - (net stat_reg_446 (joined - (portRef (member stat_reg 22) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_446) - )) - (net stat_reg_447 (joined - (portRef (member stat_reg 21) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_447) - )) - (net stat_reg_448 (joined - (portRef (member stat_reg 20) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_448) - )) - (net stat_reg_449 (joined - (portRef (member stat_reg 19) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_449) - )) - (net stat_reg_450 (joined - (portRef (member stat_reg 18) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_450) - )) - (net stat_reg_451 (joined - (portRef (member stat_reg 17) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_451) - )) - (net stat_reg_452 (joined - (portRef (member stat_reg 16) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_452) - )) - (net stat_reg_453 (joined - (portRef (member stat_reg 15) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_453) - )) - (net stat_reg_454 (joined - (portRef (member stat_reg 14) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_454) - )) - (net stat_reg_455 (joined - (portRef (member stat_reg 13) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_455) - )) - (net stat_reg_456 (joined - (portRef (member stat_reg 12) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_456) - )) - (net stat_reg_457 (joined - (portRef (member stat_reg 11) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_457) - )) - (net stat_reg_458 (joined - (portRef (member stat_reg 10) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_458) - )) - (net stat_reg_459 (joined - (portRef (member stat_reg 9) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_459) - )) - (net stat_reg_460 (joined - (portRef (member stat_reg 8) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_460) - )) - (net stat_reg_461 (joined - (portRef (member stat_reg 7) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_461) - )) - (net stat_reg_462 (joined - (portRef (member stat_reg 6) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_462) - )) - (net stat_reg_463 (joined - (portRef (member stat_reg 5) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_463) - )) - (net stat_reg_464 (joined - (portRef (member stat_reg 4) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_464) - )) - (net stat_reg_465 (joined - (portRef (member stat_reg 3) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_465) - )) - (net stat_reg_466 (joined - (portRef (member stat_reg 2) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_466) - )) - (net stat_reg_467 (joined - (portRef (member stat_reg 1) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_467) - )) - (net stat_reg_468 (joined - (portRef (member stat_reg 0) (instanceRef Lost_Hit_Sync)) - (portRef stat_reg_468) - )) - (net stat_reg_509 (joined - (portRef (member stat_reg 23) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_509) - )) - (net stat_reg_510 (joined - (portRef (member stat_reg 22) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_510) - )) - (net stat_reg_511 (joined - (portRef (member stat_reg 21) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_511) - )) - (net stat_reg_512 (joined - (portRef (member stat_reg 20) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_512) - )) - (net stat_reg_513 (joined - (portRef (member stat_reg 19) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_513) - )) - (net stat_reg_514 (joined - (portRef (member stat_reg 18) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_514) - )) - (net stat_reg_515 (joined - (portRef (member stat_reg 17) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_515) - )) - (net stat_reg_516 (joined - (portRef (member stat_reg 16) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_516) - )) - (net stat_reg_517 (joined - (portRef (member stat_reg 15) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_517) - )) - (net stat_reg_518 (joined - (portRef (member stat_reg 14) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_518) - )) - (net stat_reg_519 (joined - (portRef (member stat_reg 13) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_519) - )) - (net stat_reg_520 (joined - (portRef (member stat_reg 12) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_520) - )) - (net stat_reg_521 (joined - (portRef (member stat_reg 11) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_521) - )) - (net stat_reg_522 (joined - (portRef (member stat_reg 10) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_522) - )) - (net stat_reg_523 (joined - (portRef (member stat_reg 9) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_523) - )) - (net stat_reg_524 (joined - (portRef (member stat_reg 8) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_524) - )) - (net stat_reg_525 (joined - (portRef (member stat_reg 7) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_525) - )) - (net stat_reg_526 (joined - (portRef (member stat_reg 6) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_526) - )) - (net stat_reg_527 (joined - (portRef (member stat_reg 5) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_527) - )) - (net stat_reg_528 (joined - (portRef (member stat_reg 4) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_528) - )) - (net stat_reg_529 (joined - (portRef (member stat_reg 3) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_529) - )) - (net stat_reg_530 (joined - (portRef (member stat_reg 2) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_530) - )) - (net stat_reg_531 (joined - (portRef (member stat_reg 1) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_531) - )) - (net stat_reg_532 (joined - (portRef (member stat_reg 0) (instanceRef Encoder_Start_Sync)) - (portRef stat_reg_532) - )) - (net stat_reg_477 (joined - (portRef (member stat_reg 23) (instanceRef Measurement_Sync)) - (portRef stat_reg_477) - )) - (net stat_reg_478 (joined - (portRef (member stat_reg 22) (instanceRef Measurement_Sync)) - (portRef stat_reg_478) - )) - (net stat_reg_479 (joined - (portRef (member stat_reg 21) (instanceRef Measurement_Sync)) - (portRef stat_reg_479) - )) - (net stat_reg_480 (joined - (portRef (member stat_reg 20) (instanceRef Measurement_Sync)) - (portRef stat_reg_480) - )) - (net stat_reg_481 (joined - (portRef (member stat_reg 19) (instanceRef Measurement_Sync)) - (portRef stat_reg_481) - )) - (net stat_reg_482 (joined - (portRef (member stat_reg 18) (instanceRef Measurement_Sync)) - (portRef stat_reg_482) - )) - (net stat_reg_483 (joined - (portRef (member stat_reg 17) (instanceRef Measurement_Sync)) - (portRef stat_reg_483) - )) - (net stat_reg_484 (joined - (portRef (member stat_reg 16) (instanceRef Measurement_Sync)) - (portRef stat_reg_484) - )) - (net stat_reg_485 (joined - (portRef (member stat_reg 15) (instanceRef Measurement_Sync)) - (portRef stat_reg_485) - )) - (net stat_reg_486 (joined - (portRef (member stat_reg 14) (instanceRef Measurement_Sync)) - (portRef stat_reg_486) - )) - (net stat_reg_487 (joined - (portRef (member stat_reg 13) (instanceRef Measurement_Sync)) - (portRef stat_reg_487) - )) - (net stat_reg_488 (joined - (portRef (member stat_reg 12) (instanceRef Measurement_Sync)) - (portRef stat_reg_488) - )) - (net stat_reg_489 (joined - (portRef (member stat_reg 11) (instanceRef Measurement_Sync)) - (portRef stat_reg_489) - )) - (net stat_reg_490 (joined - (portRef (member stat_reg 10) (instanceRef Measurement_Sync)) - (portRef stat_reg_490) - )) - (net stat_reg_491 (joined - (portRef (member stat_reg 9) (instanceRef Measurement_Sync)) - (portRef stat_reg_491) - )) - (net stat_reg_492 (joined - (portRef (member stat_reg 8) (instanceRef Measurement_Sync)) - (portRef stat_reg_492) - )) - (net stat_reg_493 (joined - (portRef (member stat_reg 7) (instanceRef Measurement_Sync)) - (portRef stat_reg_493) - )) - (net stat_reg_494 (joined - (portRef (member stat_reg 6) (instanceRef Measurement_Sync)) - (portRef stat_reg_494) - )) - (net stat_reg_495 (joined - (portRef (member stat_reg 5) (instanceRef Measurement_Sync)) - (portRef stat_reg_495) - )) - (net stat_reg_496 (joined - (portRef (member stat_reg 4) (instanceRef Measurement_Sync)) - (portRef stat_reg_496) - )) - (net stat_reg_497 (joined - (portRef (member stat_reg 3) (instanceRef Measurement_Sync)) - (portRef stat_reg_497) - )) - (net stat_reg_498 (joined - (portRef (member stat_reg 2) (instanceRef Measurement_Sync)) - (portRef stat_reg_498) - )) - (net stat_reg_499 (joined - (portRef (member stat_reg 1) (instanceRef Measurement_Sync)) - (portRef stat_reg_499) - )) - (net stat_reg_500 (joined - (portRef (member stat_reg 0) (instanceRef Measurement_Sync)) - (portRef stat_reg_500) - )) ) ) ) - (cell Channel_18 (cellType GENERIC) + (cell Channel_1 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(18:18)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(18:18)") 1) (direction INPUT)) - (port (array (rename channel_data_i_18 "channel_data_i_18(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(50:50)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(1:1)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(1:1)") 1) (direction INPUT)) + (port (array (rename channel_data_i_1 "channel_data_i_1(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(33:33)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(1:1)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1749_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_8 (direction INPUT)) - (port reset_i_9 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r27 (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) - (port reset_tdc_43 (direction INPUT)) - (port reset_tdc_44 (direction INPUT)) - (port reset_tdc_45 (direction INPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) + (port reset_tdc_fast_36_r3 (direction INPUT)) + (port reset_tdc_fast_36_r1 (direction INPUT)) + (port reset_tdc_fast_37_r0 (direction INPUT)) + (port reset_tdc_fast_37_r1 (direction INPUT)) + (port reset_tdc_fast_37_r2 (direction INPUT)) + (port reset_tdc_fast_36_r0 (direction INPUT)) + (port reset_tdc_94 (direction INPUT)) + (port reset_tdc_95 (direction INPUT)) + (port reset_tdc_96 (direction INPUT)) + (port reset_tdc_97 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_11 (direction INPUT)) - (port reset_tdc_rep1_10 (direction INPUT)) - (port reset_tdc_rep1_9 (direction INPUT)) - (port reset_tdc_rep1_8 (direction INPUT)) - (port reset_tdc_rep1 (direction INPUT)) + (port reset_tdc_fast_15 (direction INPUT)) + (port reset_tdc_fast_14 (direction INPUT)) + (port reset_tdc_fast_13 (direction INPUT)) + (port reset_tdc_fast_12 (direction INPUT)) + (port reset_tdc_fast_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -817198,7 +816900,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295771_0 (direction OUTPUT)) + (port N_296069_0 (direction OUTPUT)) (port G_1747_Q (direction INPUT)) (port sync_q_and_5 (direction OUTPUT)) (port G_1744_Q (direction INPUT)) @@ -817207,14 +816909,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNICH2O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIMLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICH2O_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIMLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNICH2O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIMLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -818011,6 +817713,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -819060,7 +818764,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI9ATO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIJE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -819141,157 +818845,157 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -819316,32 +819020,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0)) )) (net tmp1_5_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI9ATO)) + (portRef B (instanceRef result_2_reg_RNIJE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNICH2O_1)) - (portRef B (instanceRef result_2_reg_RNICH2O_0)) - (portRef B (instanceRef result_2_reg_RNICH2O)) + (portRef B (instanceRef result_2_reg_RNIMLBG_1)) + (portRef B (instanceRef result_2_reg_RNIMLBG_0)) + (portRef B (instanceRef result_2_reg_RNIMLBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI9ATO)) + (portRef C (instanceRef result_2_reg_RNIJE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNICH2O_1)) - (portRef C (instanceRef result_2_reg_RNICH2O_0)) - (portRef C (instanceRef result_2_reg_RNICH2O)) + (portRef C (instanceRef result_2_reg_RNIMLBG_1)) + (portRef C (instanceRef result_2_reg_RNIMLBG_0)) + (portRef C (instanceRef result_2_reg_RNIMLBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNICH2O)) + (portRef Z (instanceRef result_2_reg_RNIMLBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -819420,9 +819124,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNICH2O_1)) - (portRef A (instanceRef result_2_reg_RNICH2O_0)) - (portRef A (instanceRef result_2_reg_RNICH2O)) + (portRef A (instanceRef result_2_reg_RNIMLBG_1)) + (portRef A (instanceRef result_2_reg_RNIMLBG_0)) + (portRef A (instanceRef result_2_reg_RNIMLBG)) )) (net GND (joined (portRef GND) @@ -819430,60 +819134,6 @@ (portRef GND (instanceRef FIFO)) (portRef GND (instanceRef Encoder)) (portRef GND (instanceRef FC)) - (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef C0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef B0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef B0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef C1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) @@ -819538,6 +819188,60 @@ (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef C0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef B0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef B0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -819577,7 +819281,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNICH2O_0)) + (portRef Z (instanceRef result_2_reg_RNIMLBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -819655,7 +819359,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNICH2O_1)) + (portRef Z (instanceRef result_2_reg_RNIMLBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -819742,9 +819446,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295771_0 (joined + (net N_296069_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295771_0) + (portRef N_296069_0) )) (net tmp1_5_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -820255,32 +819959,6 @@ (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) (portRef VCC (instanceRef FC)) - (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) @@ -820307,6 +819985,32 @@ (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -820396,7 +820100,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI9ATO)) + (portRef Z (instanceRef result_2_reg_RNIJE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -823309,9 +823013,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_18_1 (joined + (net channel_debug_01_i_1_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_18 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_1 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_5 (joined @@ -823506,18 +823210,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_1 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_50 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -823554,6 +823258,7 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -823590,258 +823295,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_18_0 (joined + (net stat_reg_33 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_1_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_18 31)) + (portRef (member channel_data_i_1 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_18_1 (joined + (net channel_data_i_1_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_18 30)) + (portRef (member channel_data_i_1 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_18_2 (joined + (net channel_data_i_1_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_18 29)) + (portRef (member channel_data_i_1 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_18_3 (joined + (net channel_data_i_1_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_18 28)) + (portRef (member channel_data_i_1 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_18_4 (joined + (net channel_data_i_1_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_18 27)) + (portRef (member channel_data_i_1 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_18_5 (joined + (net channel_data_i_1_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_18 26)) + (portRef (member channel_data_i_1 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_18_6 (joined + (net channel_data_i_1_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_18 25)) + (portRef (member channel_data_i_1 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_18_7 (joined + (net channel_data_i_1_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_18 24)) + (portRef (member channel_data_i_1 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_18_8 (joined + (net channel_data_i_1_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_18 23)) + (portRef (member channel_data_i_1 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_18_9 (joined + (net channel_data_i_1_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_18 22)) + (portRef (member channel_data_i_1 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_18_10 (joined + (net channel_data_i_1_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_18 21)) + (portRef (member channel_data_i_1 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_18_11 (joined + (net channel_data_i_1_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_18 20)) + (portRef (member channel_data_i_1 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_18_12 (joined + (net channel_data_i_1_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_18 19)) + (portRef (member channel_data_i_1 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_18_13 (joined + (net channel_data_i_1_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_18 18)) + (portRef (member channel_data_i_1 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_18_14 (joined + (net channel_data_i_1_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_18 17)) + (portRef (member channel_data_i_1 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_18_15 (joined + (net channel_data_i_1_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_18 16)) + (portRef (member channel_data_i_1 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_18_16 (joined + (net channel_data_i_1_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_18 15)) + (portRef (member channel_data_i_1 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_18_17 (joined + (net channel_data_i_1_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_18 14)) + (portRef (member channel_data_i_1 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_18_18 (joined + (net channel_data_i_1_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_18 13)) + (portRef (member channel_data_i_1 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_18_19 (joined + (net channel_data_i_1_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_18 12)) + (portRef (member channel_data_i_1 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_18_20 (joined + (net channel_data_i_1_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_18 11)) + (portRef (member channel_data_i_1 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_18_21 (joined + (net channel_data_i_1_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_18 10)) + (portRef (member channel_data_i_1 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_18_22 (joined + (net channel_data_i_1_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_18 9)) + (portRef (member channel_data_i_1 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_18_23 (joined + (net channel_data_i_1_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_18 8)) + (portRef (member channel_data_i_1 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_18_24 (joined + (net channel_data_i_1_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_18 7)) + (portRef (member channel_data_i_1 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_18_25 (joined + (net channel_data_i_1_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_18 6)) + (portRef (member channel_data_i_1 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_18_26 (joined + (net channel_data_i_1_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_18 5)) + (portRef (member channel_data_i_1 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_18_27 (joined + (net channel_data_i_1_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_18 4)) + (portRef (member channel_data_i_1 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_18_28 (joined + (net channel_data_i_1_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_18 3)) + (portRef (member channel_data_i_1 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_18_29 (joined + (net channel_data_i_1_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_18 2)) + (portRef (member channel_data_i_1 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_18_30 (joined + (net channel_data_i_1_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_18 1)) + (portRef (member channel_data_i_1 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_18_31 (joined + (net channel_data_i_1_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_18 0)) + (portRef (member channel_data_i_1 0)) )) (net sync_q_CR0_ram_DO1_5 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -823860,8 +823574,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1 (joined - (portRef reset_tdc_rep1) + (net reset_tdc_fast_1 (joined + (portRef reset_tdc_fast_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -823983,18 +823697,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_8 (joined - (portRef reset_tdc_rep1_8) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - (portRef B (instanceRef result_reg_3_0_i_27)) + (net reset_tdc_fast_12 (joined + (portRef reset_tdc_fast_12) (portRef B (instanceRef result_reg_3_0_i_26)) (portRef B (instanceRef result_reg_3_0_i_25)) (portRef B (instanceRef result_reg_3_0_i_24)) @@ -824116,6 +823820,108 @@ (portRef (member result_i 275) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_28)) )) + (net reset_tdc_fast_13 (joined + (portRef reset_tdc_fast_13) + (portRef B (instanceRef result_reg_3_0_i_125)) + (portRef B (instanceRef result_reg_3_0_i_124)) + (portRef B (instanceRef result_reg_3_0_i_123)) + (portRef B (instanceRef result_reg_3_0_i_122)) + (portRef B (instanceRef result_reg_3_0_i_121)) + (portRef B (instanceRef result_reg_3_0_i_120)) + (portRef B (instanceRef result_reg_3_0_i_119)) + (portRef B (instanceRef result_reg_3_0_i_118)) + (portRef B (instanceRef result_reg_3_0_i_117)) + (portRef B (instanceRef result_reg_3_0_i_116)) + (portRef B (instanceRef result_reg_3_0_i_115)) + (portRef B (instanceRef result_reg_3_0_i_114)) + (portRef B (instanceRef result_reg_3_0_i_113)) + (portRef B (instanceRef result_reg_3_0_i_112)) + (portRef B (instanceRef result_reg_3_0_i_111)) + (portRef B (instanceRef result_reg_3_0_i_110)) + (portRef B (instanceRef result_reg_3_0_i_109)) + (portRef B (instanceRef result_reg_3_0_i_108)) + (portRef B (instanceRef result_reg_3_0_i_107)) + (portRef B (instanceRef result_reg_3_0_i_106)) + (portRef B (instanceRef result_reg_3_0_i_105)) + (portRef B (instanceRef result_reg_3_0_i_104)) + (portRef B (instanceRef result_reg_3_0_i_103)) + (portRef B (instanceRef result_reg_3_0_i_102)) + (portRef B (instanceRef result_reg_3_0_i_101)) + (portRef B (instanceRef result_reg_3_0_i_100)) + (portRef B (instanceRef result_reg_3_0_i_99)) + (portRef B (instanceRef result_reg_3_0_i_98)) + (portRef B (instanceRef result_reg_3_0_i_97)) + (portRef B (instanceRef result_reg_3_0_i_96)) + (portRef B (instanceRef result_reg_3_0_i_95)) + (portRef B (instanceRef result_reg_3_0_i_94)) + (portRef B (instanceRef result_reg_3_0_i_93)) + (portRef B (instanceRef result_reg_3_0_i_92)) + (portRef B (instanceRef result_reg_3_0_i_91)) + (portRef B (instanceRef result_reg_3_0_i_90)) + (portRef B (instanceRef result_reg_3_0_i_89)) + (portRef B (instanceRef result_reg_3_0_i_88)) + (portRef B (instanceRef result_reg_3_0_i_87)) + (portRef B (instanceRef result_reg_3_0_i_86)) + (portRef B (instanceRef result_reg_3_0_i_85)) + (portRef B (instanceRef result_reg_3_0_i_84)) + (portRef B (instanceRef result_reg_3_0_i_83)) + (portRef B (instanceRef result_reg_3_0_i_82)) + (portRef B (instanceRef result_reg_3_0_i_81)) + (portRef B (instanceRef result_reg_3_0_i_80)) + (portRef B (instanceRef result_reg_3_0_i_79)) + (portRef B (instanceRef result_reg_3_0_i_78)) + (portRef B (instanceRef result_reg_3_0_i_77)) + (portRef B (instanceRef result_reg_3_0_i_76)) + (portRef B (instanceRef result_reg_3_0_i_75)) + (portRef B (instanceRef result_reg_3_0_i_74)) + (portRef B (instanceRef result_reg_3_0_i_73)) + (portRef B (instanceRef result_reg_3_0_i_72)) + (portRef B (instanceRef result_reg_3_0_i_71)) + (portRef B (instanceRef result_reg_3_0_i_70)) + (portRef B (instanceRef result_reg_3_0_i_69)) + (portRef B (instanceRef result_reg_3_0_i_68)) + (portRef B (instanceRef result_reg_3_0_i_67)) + (portRef B (instanceRef result_reg_3_0_i_66)) + (portRef B (instanceRef result_reg_3_0_i_65)) + (portRef B (instanceRef result_reg_3_0_i_64)) + (portRef B (instanceRef result_reg_3_0_i_63)) + (portRef B (instanceRef result_reg_3_0_i_62)) + (portRef B (instanceRef result_reg_3_0_i_61)) + (portRef B (instanceRef result_reg_3_0_i_60)) + (portRef B (instanceRef result_reg_3_0_i_59)) + (portRef B (instanceRef result_reg_3_0_i_58)) + (portRef B (instanceRef result_reg_3_0_i_57)) + (portRef B (instanceRef result_reg_3_0_i_56)) + (portRef B (instanceRef result_reg_3_0_i_55)) + (portRef B (instanceRef result_reg_3_0_i_54)) + (portRef B (instanceRef result_reg_3_0_i_53)) + (portRef B (instanceRef result_reg_3_0_i_52)) + (portRef B (instanceRef result_reg_3_0_i_51)) + (portRef B (instanceRef result_reg_3_0_i_50)) + (portRef B (instanceRef result_reg_3_0_i_49)) + (portRef B (instanceRef result_reg_3_0_i_48)) + (portRef B (instanceRef result_reg_3_0_i_47)) + (portRef B (instanceRef result_reg_3_0_i_46)) + (portRef B (instanceRef result_reg_3_0_i_45)) + (portRef B (instanceRef result_reg_3_0_i_44)) + (portRef B (instanceRef result_reg_3_0_i_43)) + (portRef B (instanceRef result_reg_3_0_i_42)) + (portRef B (instanceRef result_reg_3_0_i_41)) + (portRef B (instanceRef result_reg_3_0_i_40)) + (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) + )) (net result_i_29 (joined (portRef (member result_i 274) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_29)) @@ -824156,109 +823962,6 @@ (portRef (member result_i 265) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_38)) )) - (net reset_tdc_rep1_9 (joined - (portRef reset_tdc_rep1_9) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - (portRef B (instanceRef result_reg_3_0_i_125)) - (portRef B (instanceRef result_reg_3_0_i_124)) - (portRef B (instanceRef result_reg_3_0_i_123)) - (portRef B (instanceRef result_reg_3_0_i_122)) - (portRef B (instanceRef result_reg_3_0_i_121)) - (portRef B (instanceRef result_reg_3_0_i_120)) - (portRef B (instanceRef result_reg_3_0_i_119)) - (portRef B (instanceRef result_reg_3_0_i_118)) - (portRef B (instanceRef result_reg_3_0_i_117)) - (portRef B (instanceRef result_reg_3_0_i_116)) - (portRef B (instanceRef result_reg_3_0_i_115)) - (portRef B (instanceRef result_reg_3_0_i_114)) - (portRef B (instanceRef result_reg_3_0_i_113)) - (portRef B (instanceRef result_reg_3_0_i_112)) - (portRef B (instanceRef result_reg_3_0_i_111)) - (portRef B (instanceRef result_reg_3_0_i_110)) - (portRef B (instanceRef result_reg_3_0_i_109)) - (portRef B (instanceRef result_reg_3_0_i_108)) - (portRef B (instanceRef result_reg_3_0_i_107)) - (portRef B (instanceRef result_reg_3_0_i_106)) - (portRef B (instanceRef result_reg_3_0_i_105)) - (portRef B (instanceRef result_reg_3_0_i_104)) - (portRef B (instanceRef result_reg_3_0_i_103)) - (portRef B (instanceRef result_reg_3_0_i_102)) - (portRef B (instanceRef result_reg_3_0_i_101)) - (portRef B (instanceRef result_reg_3_0_i_100)) - (portRef B (instanceRef result_reg_3_0_i_99)) - (portRef B (instanceRef result_reg_3_0_i_98)) - (portRef B (instanceRef result_reg_3_0_i_97)) - (portRef B (instanceRef result_reg_3_0_i_96)) - (portRef B (instanceRef result_reg_3_0_i_95)) - (portRef B (instanceRef result_reg_3_0_i_94)) - (portRef B (instanceRef result_reg_3_0_i_93)) - (portRef B (instanceRef result_reg_3_0_i_92)) - (portRef B (instanceRef result_reg_3_0_i_91)) - (portRef B (instanceRef result_reg_3_0_i_90)) - (portRef B (instanceRef result_reg_3_0_i_89)) - (portRef B (instanceRef result_reg_3_0_i_88)) - (portRef B (instanceRef result_reg_3_0_i_87)) - (portRef B (instanceRef result_reg_3_0_i_86)) - (portRef B (instanceRef result_reg_3_0_i_85)) - (portRef B (instanceRef result_reg_3_0_i_84)) - (portRef B (instanceRef result_reg_3_0_i_83)) - (portRef B (instanceRef result_reg_3_0_i_82)) - (portRef B (instanceRef result_reg_3_0_i_81)) - (portRef B (instanceRef result_reg_3_0_i_80)) - (portRef B (instanceRef result_reg_3_0_i_79)) - (portRef B (instanceRef result_reg_3_0_i_78)) - (portRef B (instanceRef result_reg_3_0_i_77)) - (portRef B (instanceRef result_reg_3_0_i_76)) - (portRef B (instanceRef result_reg_3_0_i_75)) - (portRef B (instanceRef result_reg_3_0_i_74)) - (portRef B (instanceRef result_reg_3_0_i_73)) - (portRef B (instanceRef result_reg_3_0_i_72)) - (portRef B (instanceRef result_reg_3_0_i_71)) - (portRef B (instanceRef result_reg_3_0_i_70)) - (portRef B (instanceRef result_reg_3_0_i_69)) - (portRef B (instanceRef result_reg_3_0_i_68)) - (portRef B (instanceRef result_reg_3_0_i_67)) - (portRef B (instanceRef result_reg_3_0_i_66)) - (portRef B (instanceRef result_reg_3_0_i_65)) - (portRef B (instanceRef result_reg_3_0_i_64)) - (portRef B (instanceRef result_reg_3_0_i_63)) - (portRef B (instanceRef result_reg_3_0_i_62)) - (portRef B (instanceRef result_reg_3_0_i_61)) - (portRef B (instanceRef result_reg_3_0_i_60)) - (portRef B (instanceRef result_reg_3_0_i_59)) - (portRef B (instanceRef result_reg_3_0_i_58)) - (portRef B (instanceRef result_reg_3_0_i_57)) - (portRef B (instanceRef result_reg_3_0_i_56)) - (portRef B (instanceRef result_reg_3_0_i_55)) - (portRef B (instanceRef result_reg_3_0_i_54)) - (portRef B (instanceRef result_reg_3_0_i_53)) - (portRef B (instanceRef result_reg_3_0_i_52)) - (portRef B (instanceRef result_reg_3_0_i_51)) - (portRef B (instanceRef result_reg_3_0_i_50)) - (portRef B (instanceRef result_reg_3_0_i_49)) - (portRef B (instanceRef result_reg_3_0_i_48)) - (portRef B (instanceRef result_reg_3_0_i_47)) - (portRef B (instanceRef result_reg_3_0_i_46)) - (portRef B (instanceRef result_reg_3_0_i_45)) - (portRef B (instanceRef result_reg_3_0_i_44)) - (portRef B (instanceRef result_reg_3_0_i_43)) - (portRef B (instanceRef result_reg_3_0_i_42)) - (portRef B (instanceRef result_reg_3_0_i_41)) - (portRef B (instanceRef result_reg_3_0_i_40)) - (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - )) (net result_i_39 (joined (portRef (member result_i 264) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_39)) @@ -824615,64 +824318,8 @@ (portRef (member result_i 176) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_127)) )) - (net result_i_128 (joined - (portRef (member result_i 175) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_128)) - )) - (net result_i_129 (joined - (portRef (member result_i 174) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_129)) - )) - (net result_i_130 (joined - (portRef (member result_i 173) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_130)) - )) - (net result_i_131 (joined - (portRef (member result_i 172) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_131)) - )) - (net result_i_132 (joined - (portRef (member result_i 171) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_132)) - )) - (net result_i_133 (joined - (portRef (member result_i 170) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_133)) - )) - (net result_i_134 (joined - (portRef (member result_i 169) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_134)) - )) - (net result_i_135 (joined - (portRef (member result_i 168) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_135)) - )) - (net result_i_136 (joined - (portRef (member result_i 167) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_136)) - )) - (net result_i_137 (joined - (portRef (member result_i 166) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_137)) - )) - (net result_i_138 (joined - (portRef (member result_i 165) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_138)) - )) - (net reset_tdc_rep1_10 (joined - (portRef reset_tdc_rep1_10) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) + (net reset_tdc_fast_14 (joined + (portRef reset_tdc_fast_14) (portRef B (instanceRef result_reg_3_0_i_224)) (portRef B (instanceRef result_reg_3_0_i_223)) (portRef B (instanceRef result_reg_3_0_i_222)) @@ -824761,6 +824408,61 @@ (portRef B (instanceRef result_reg_3_0_i_139)) (portRef B (instanceRef result_reg_3_0_i_138)) (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) + )) + (net result_i_128 (joined + (portRef (member result_i 175) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_128)) + )) + (net result_i_129 (joined + (portRef (member result_i 174) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_129)) + )) + (net result_i_130 (joined + (portRef (member result_i 173) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_130)) + )) + (net result_i_131 (joined + (portRef (member result_i 172) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_131)) + )) + (net result_i_132 (joined + (portRef (member result_i 171) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_132)) + )) + (net result_i_133 (joined + (portRef (member result_i 170) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_133)) + )) + (net result_i_134 (joined + (portRef (member result_i 169) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_134)) + )) + (net result_i_135 (joined + (portRef (member result_i 168) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_135)) + )) + (net result_i_136 (joined + (portRef (member result_i 167) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_136)) + )) + (net result_i_137 (joined + (portRef (member result_i 166) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_137)) + )) + (net result_i_138 (joined + (portRef (member result_i 165) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_138)) )) (net result_i_139 (joined (portRef (member result_i 164) (instanceRef FC)) @@ -825114,56 +824816,8 @@ (portRef (member result_i 77) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_226)) )) - (net result_i_227 (joined - (portRef (member result_i 76) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_227)) - )) - (net result_i_228 (joined - (portRef (member result_i 75) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_228)) - )) - (net result_i_229 (joined - (portRef (member result_i 74) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_229)) - )) - (net result_i_230 (joined - (portRef (member result_i 73) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_230)) - )) - (net result_i_231 (joined - (portRef (member result_i 72) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_231)) - )) - (net result_i_232 (joined - (portRef (member result_i 71) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_232)) - )) - (net result_i_233 (joined - (portRef (member result_i 70) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_234 (joined - (portRef (member result_i 69) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_234)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) - )) - (net reset_tdc_rep1_11 (joined - (portRef reset_tdc_rep1_11) + (net reset_tdc_fast_15 (joined + (portRef reset_tdc_fast_15) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -825231,6 +824885,66 @@ (portRef B (instanceRef result_reg_3_0_i_239)) (portRef B (instanceRef result_reg_3_0_i_238)) (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + )) + (net result_i_227 (joined + (portRef (member result_i 76) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_227)) + )) + (net result_i_228 (joined + (portRef (member result_i 75) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_228)) + )) + (net result_i_229 (joined + (portRef (member result_i 74) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_229)) + )) + (net result_i_230 (joined + (portRef (member result_i 73) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_230)) + )) + (net result_i_231 (joined + (portRef (member result_i 72) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_231)) + )) + (net result_i_232 (joined + (portRef (member result_i 71) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_232)) + )) + (net result_i_233 (joined + (portRef (member result_i 70) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_234 (joined + (portRef (member result_i 69) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_234)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) )) (net result_i_239 (joined (portRef (member result_i 64) (instanceRef FC)) @@ -825492,8 +825206,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_18_0 (joined - (portRef (member channel_debug_01_i_18 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_1_0 (joined + (portRef (member channel_debug_01_i_1 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -825520,9 +825234,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_18_2 (joined - (portRef (member channel_debug_01_i_18 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_18 0) (instanceRef FIFO)) + (net channel_debug_01_i_1_2 (joined + (portRef (member channel_debug_01_i_1 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_1 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -825587,63 +825301,6 @@ (net lost_hit_cntr_s_0_S1_5_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net un1_fifo_wr_en_i_cry_0 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) - )) - (net un1_fifo_wr_en_i_cry_0_0_S0_23 (joined - (portRef S0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - )) - (net un1_fifo_wr_en_i_cry_2 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_3_0)) - )) - (net un1_fifo_wr_en_i_cry_4 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_5_0)) - )) - (net un1_fifo_wr_en_i_cry_6 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_7_0)) - )) - (net un1_fifo_wr_en_i_cry_8 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_9_0)) - )) - (net un1_fifo_wr_en_i_cry_10 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_11_0)) - )) - (net un1_fifo_wr_en_i_cry_12 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_13_0)) - )) - (net un1_fifo_wr_en_i_cry_14 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_15_0)) - )) - (net un1_fifo_wr_en_i_cry_16 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_17_0)) - )) - (net un1_fifo_wr_en_i_cry_18 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_19_0)) - )) - (net un1_fifo_wr_en_i_cry_20 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_21_0)) - )) - (net un1_fifo_wr_en_i_cry_22 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) - (net un1_fifo_wr_en_i_s_23_0_COUT_23 (joined - (portRef COUT (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) - (net un1_fifo_wr_en_i_s_23_0_S1_23 (joined - (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - )) (net un1_encoder_start_i_cry_0 (joined (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) @@ -825701,23 +825358,80 @@ (net un1_encoder_start_i_s_23_0_S1_23 (joined (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net un1_fifo_wr_en_i_cry_0 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) + )) + (net un1_fifo_wr_en_i_cry_0_0_S0_23 (joined + (portRef S0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + )) + (net un1_fifo_wr_en_i_cry_2 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_3_0)) + )) + (net un1_fifo_wr_en_i_cry_4 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_5_0)) + )) + (net un1_fifo_wr_en_i_cry_6 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_7_0)) + )) + (net un1_fifo_wr_en_i_cry_8 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_9_0)) + )) + (net un1_fifo_wr_en_i_cry_10 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_11_0)) + )) + (net un1_fifo_wr_en_i_cry_12 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_13_0)) + )) + (net un1_fifo_wr_en_i_cry_14 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_15_0)) + )) + (net un1_fifo_wr_en_i_cry_16 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_17_0)) + )) + (net un1_fifo_wr_en_i_cry_18 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_19_0)) + )) + (net un1_fifo_wr_en_i_cry_20 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_21_0)) + )) + (net un1_fifo_wr_en_i_cry_22 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) + (net un1_fifo_wr_en_i_s_23_0_COUT_23 (joined + (portRef COUT (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) + (net un1_fifo_wr_en_i_s_23_0_S1_23 (joined + (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + )) (net hit_buf (joined (portRef hit_buf (instanceRef FC)) (portRef Z (instanceRef hit_buf_RNO)) ) (property NOMERGE (string "true")) ) - (net reset_tdc_44 (joined - (portRef reset_tdc_44) - (portRef reset_tdc_44 (instanceRef FC)) + (net reset_tdc_96 (joined + (portRef reset_tdc_96) + (portRef reset_tdc_96 (instanceRef FC)) )) - (net reset_tdc_43 (joined - (portRef reset_tdc_43) - (portRef reset_tdc_43 (instanceRef FC)) + (net reset_tdc_95 (joined + (portRef reset_tdc_95) + (portRef reset_tdc_95 (instanceRef FC)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) - (portRef reset_tdc_42 (instanceRef FC)) + (net reset_tdc_94 (joined + (portRef reset_tdc_94) + (portRef reset_tdc_94 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -825759,39 +825473,51 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_45 (joined - (portRef reset_tdc_45) - (portRef reset_tdc_45 (instanceRef Encoder)) - (portRef reset_tdc_45 (instanceRef FC)) + (net reset_tdc_97 (joined + (portRef reset_tdc_97) + (portRef reset_tdc_97 (instanceRef Encoder)) + (portRef reset_tdc_97 (instanceRef FC)) )) - (net reset_tdc_fast_36_r27 (joined - (portRef reset_tdc_fast_36_r27) - (portRef reset_tdc_fast_36_r27 (instanceRef Encoder)) + (net reset_tdc_fast_36_r0 (joined + (portRef reset_tdc_fast_36_r0) + (portRef reset_tdc_fast_36_r0 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r2 (joined + (portRef reset_tdc_fast_37_r2) + (portRef reset_tdc_fast_37_r2 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r1 (joined + (portRef reset_tdc_fast_37_r1) + (portRef reset_tdc_fast_37_r1 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r0 (joined + (portRef reset_tdc_fast_37_r0) + (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r1 (joined + (portRef reset_tdc_fast_36_r1) + (portRef reset_tdc_fast_36_r1 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r3 (joined + (portRef reset_tdc_fast_36_r3) + (portRef reset_tdc_fast_36_r3 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI9ATO)) + (portRef A (instanceRef result_2_reg_RNIJE6H)) )) - (net rd_en_i_18 (joined + (net rd_en_i_1 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef reset_i_9 (instanceRef FIFO)) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef FIFO)) )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef reset_i_8 (instanceRef FIFO)) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef reset_i_18 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -825827,21 +825553,23 @@ (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1758_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_15 (direction INPUT)) - (port reset_tdc_fast_36_r13 (direction INPUT)) + (port reset_i_16 (direction INPUT)) + (port reset_tdc_fast_36_r15 (direction INPUT)) (port reset_tdc_fast_36_r11 (direction INPUT)) - (port reset_tdc_fast_37_r6 (direction INPUT)) - (port reset_tdc_fast_37_r7 (direction INPUT)) (port reset_tdc_fast_36_r10 (direction INPUT)) + (port reset_tdc_fast_37_r7 (direction INPUT)) + (port reset_tdc_fast_37_r6 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_36_r9 (direction INPUT)) (port reset_tdc_79 (direction INPUT)) (port reset_tdc_80 (direction INPUT)) (port reset_tdc_81 (direction INPUT)) (port reset_tdc_82 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_15 (direction INPUT)) - (port reset_tdc_fast_14 (direction INPUT)) - (port reset_tdc_fast_13 (direction INPUT)) (port reset_tdc_fast_12 (direction INPUT)) + (port reset_tdc_fast_11 (direction INPUT)) + (port reset_tdc_fast_10 (direction INPUT)) + (port reset_tdc_fast_9 (direction INPUT)) (port reset_tdc_fast_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) @@ -825856,7 +825584,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295784_0 (direction OUTPUT)) + (port N_296082_0 (direction OUTPUT)) (port G_1756_Q (direction INPUT)) (port sync_q_and_4 (direction OUTPUT)) (port G_1753_Q (direction INPUT)) @@ -828402,9 +828130,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295784_0 (joined + (net N_296082_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295784_0) + (portRef N_296082_0) )) (net tmp1_4_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -832653,8 +832381,12 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_12 (joined - (portRef reset_tdc_fast_12) + (net reset_tdc_fast_9 (joined + (portRef reset_tdc_fast_9) + (portRef B (instanceRef result_reg_3_0_i_30)) + (portRef B (instanceRef result_reg_3_0_i_29)) + (portRef B (instanceRef result_reg_3_0_i_28)) + (portRef B (instanceRef result_reg_3_0_i_27)) (portRef B (instanceRef result_reg_3_0_i_26)) (portRef B (instanceRef result_reg_3_0_i_25)) (portRef B (instanceRef result_reg_3_0_i_24)) @@ -832776,8 +832508,28 @@ (portRef (member result_i 275) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_28)) )) - (net reset_tdc_fast_13 (joined - (portRef reset_tdc_fast_13) + (net result_i_29 (joined + (portRef (member result_i 274) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_29)) + )) + (net result_i_30 (joined + (portRef (member result_i 273) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_30)) + )) + (net result_i_31 (joined + (portRef (member result_i 272) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_31)) + )) + (net result_i_32 (joined + (portRef (member result_i 271) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_32)) + )) + (net reset_tdc_fast_10 (joined + (portRef reset_tdc_fast_10) + (portRef B (instanceRef result_reg_3_0_i_129)) + (portRef B (instanceRef result_reg_3_0_i_128)) + (portRef B (instanceRef result_reg_3_0_i_127)) + (portRef B (instanceRef result_reg_3_0_i_126)) (portRef B (instanceRef result_reg_3_0_i_125)) (portRef B (instanceRef result_reg_3_0_i_124)) (portRef B (instanceRef result_reg_3_0_i_123)) @@ -832873,26 +832625,6 @@ (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) (portRef B (instanceRef result_reg_3_0_i_31)) - (portRef B (instanceRef result_reg_3_0_i_30)) - (portRef B (instanceRef result_reg_3_0_i_29)) - (portRef B (instanceRef result_reg_3_0_i_28)) - (portRef B (instanceRef result_reg_3_0_i_27)) - )) - (net result_i_29 (joined - (portRef (member result_i 274) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_29)) - )) - (net result_i_30 (joined - (portRef (member result_i 273) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_30)) - )) - (net result_i_31 (joined - (portRef (member result_i 272) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_31)) - )) - (net result_i_32 (joined - (portRef (member result_i 271) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_32)) )) (net result_i_33 (joined (portRef (member result_i 270) (instanceRef FC)) @@ -833274,8 +833006,28 @@ (portRef (member result_i 176) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_127)) )) - (net reset_tdc_fast_14 (joined - (portRef reset_tdc_fast_14) + (net result_i_128 (joined + (portRef (member result_i 175) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_128)) + )) + (net result_i_129 (joined + (portRef (member result_i 174) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_129)) + )) + (net result_i_130 (joined + (portRef (member result_i 173) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_130)) + )) + (net result_i_131 (joined + (portRef (member result_i 172) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_131)) + )) + (net reset_tdc_fast_11 (joined + (portRef reset_tdc_fast_11) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) (portRef B (instanceRef result_reg_3_0_i_224)) (portRef B (instanceRef result_reg_3_0_i_223)) (portRef B (instanceRef result_reg_3_0_i_222)) @@ -833371,26 +833123,6 @@ (portRef B (instanceRef result_reg_3_0_i_132)) (portRef B (instanceRef result_reg_3_0_i_131)) (portRef B (instanceRef result_reg_3_0_i_130)) - (portRef B (instanceRef result_reg_3_0_i_129)) - (portRef B (instanceRef result_reg_3_0_i_128)) - (portRef B (instanceRef result_reg_3_0_i_127)) - (portRef B (instanceRef result_reg_3_0_i_126)) - )) - (net result_i_128 (joined - (portRef (member result_i 175) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_128)) - )) - (net result_i_129 (joined - (portRef (member result_i 174) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_129)) - )) - (net result_i_130 (joined - (portRef (member result_i 173) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_130)) - )) - (net result_i_131 (joined - (portRef (member result_i 172) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_131)) )) (net result_i_132 (joined (portRef (member result_i 171) (instanceRef FC)) @@ -833772,8 +833504,24 @@ (portRef (member result_i 77) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_226)) )) - (net reset_tdc_fast_15 (joined - (portRef reset_tdc_fast_15) + (net result_i_227 (joined + (portRef (member result_i 76) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_227)) + )) + (net result_i_228 (joined + (portRef (member result_i 75) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_228)) + )) + (net result_i_229 (joined + (portRef (member result_i 74) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_229)) + )) + (net result_i_230 (joined + (portRef (member result_i 73) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_230)) + )) + (net reset_tdc_fast_12 (joined + (portRef reset_tdc_fast_12) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -833849,26 +833597,6 @@ (portRef B (instanceRef result_reg_3_0_i_231)) (portRef B (instanceRef result_reg_3_0_i_230)) (portRef B (instanceRef result_reg_3_0_i_229)) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - )) - (net result_i_227 (joined - (portRef (member result_i 76) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_227)) - )) - (net result_i_228 (joined - (portRef (member result_i 75) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_228)) - )) - (net result_i_229 (joined - (portRef (member result_i 74) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_229)) - )) - (net result_i_230 (joined - (portRef (member result_i 73) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_230)) )) (net result_i_231 (joined (portRef (member result_i 72) (instanceRef FC)) @@ -834434,25 +834162,33 @@ (portRef reset_tdc_82 (instanceRef Encoder)) (portRef reset_tdc_82 (instanceRef FC)) )) - (net reset_tdc_fast_36_r10 (joined - (portRef reset_tdc_fast_36_r10) - (portRef reset_tdc_fast_36_r10 (instanceRef Encoder)) + (net reset_tdc_fast_36_r9 (joined + (portRef reset_tdc_fast_36_r9) + (portRef reset_tdc_fast_36_r9 (instanceRef Encoder)) )) - (net reset_tdc_fast_37_r7 (joined - (portRef reset_tdc_fast_37_r7) - (portRef reset_tdc_fast_37_r7 (instanceRef Encoder)) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) )) (net reset_tdc_fast_37_r6 (joined (portRef reset_tdc_fast_37_r6) (portRef reset_tdc_fast_37_r6 (instanceRef Encoder)) )) + (net reset_tdc_fast_37_r7 (joined + (portRef reset_tdc_fast_37_r7) + (portRef reset_tdc_fast_37_r7 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r10 (joined + (portRef reset_tdc_fast_36_r10) + (portRef reset_tdc_fast_36_r10 (instanceRef Encoder)) + )) (net reset_tdc_fast_36_r11 (joined (portRef reset_tdc_fast_36_r11) (portRef reset_tdc_fast_36_r11 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r13 (joined - (portRef reset_tdc_fast_36_r13) - (portRef reset_tdc_fast_36_r13 (instanceRef Encoder)) + (net reset_tdc_fast_36_r15 (joined + (portRef reset_tdc_fast_36_r15) + (portRef reset_tdc_fast_36_r15 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) @@ -834463,9 +834199,9 @@ (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_15 (joined - (portRef reset_i_15) - (portRef reset_i_15 (instanceRef FIFO)) + (net reset_i_16 (joined + (portRef reset_i_16) + (portRef reset_i_16 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -834488,36 +834224,33 @@ ) ) ) - (cell Channel_1 (cellType GENERIC) + (cell Channel_18 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(1:1)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(1:1)") 1) (direction INPUT)) - (port (array (rename channel_data_i_1 "channel_data_i_1(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(33:33)") 1) (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(1:1)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(18:18)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(18:18)") 1) (direction INPUT)) + (port (array (rename channel_data_i_18 "channel_data_i_18(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(50:50)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1767_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_17 (direction INPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_tdc_fast_36_r1 (direction INPUT)) - (port reset_tdc_fast_36_r2 (direction INPUT)) - (port reset_tdc_fast_37_r1 (direction INPUT)) - (port reset_tdc_fast_37_r0 (direction INPUT)) - (port reset_tdc_fast_36_r0 (direction INPUT)) - (port reset_tdc_94 (direction INPUT)) - (port reset_tdc_95 (direction INPUT)) - (port reset_tdc_96 (direction INPUT)) - (port reset_tdc_97 (direction INPUT)) + (port reset_i_9 (direction INPUT)) + (port reset_i_10 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r27 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) + (port reset_tdc_43 (direction INPUT)) + (port reset_tdc_44 (direction INPUT)) + (port reset_tdc_45 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_12 (direction INPUT)) - (port reset_tdc_fast_11 (direction INPUT)) - (port reset_tdc_fast_10 (direction INPUT)) - (port reset_tdc_fast_9 (direction INPUT)) - (port reset_tdc_fast_1 (direction INPUT)) + (port reset_tdc_rep1_11 (direction INPUT)) + (port reset_tdc_rep1_10 (direction INPUT)) + (port reset_tdc_rep1_9 (direction INPUT)) + (port reset_tdc_rep1_8 (direction INPUT)) + (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -834531,7 +834264,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295797_0 (direction OUTPUT)) + (port N_296095_0 (direction OUTPUT)) (port G_1765_Q (direction INPUT)) (port sync_q_and_3 (direction OUTPUT)) (port G_1762_Q (direction INPUT)) @@ -834540,14 +834273,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIMLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNICH2O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIMLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICH2O_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIMLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNICH2O_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -835344,8 +835077,6 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -836395,7 +836126,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIJE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI9ATO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -836651,32 +836382,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0)) )) (net tmp1_3_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI1E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIHA8B_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIJE6H)) + (portRef B (instanceRef result_2_reg_RNI9ATO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIMLBG_1)) - (portRef B (instanceRef result_2_reg_RNIMLBG_0)) - (portRef B (instanceRef result_2_reg_RNIMLBG)) + (portRef B (instanceRef result_2_reg_RNICH2O_1)) + (portRef B (instanceRef result_2_reg_RNICH2O_0)) + (portRef B (instanceRef result_2_reg_RNICH2O)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIJE6H)) + (portRef C (instanceRef result_2_reg_RNI9ATO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIMLBG_1)) - (portRef C (instanceRef result_2_reg_RNIMLBG_0)) - (portRef C (instanceRef result_2_reg_RNIMLBG)) + (portRef C (instanceRef result_2_reg_RNICH2O_1)) + (portRef C (instanceRef result_2_reg_RNICH2O_0)) + (portRef C (instanceRef result_2_reg_RNICH2O)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIMLBG)) + (portRef Z (instanceRef result_2_reg_RNICH2O)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -836755,9 +836486,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIMLBG_1)) - (portRef A (instanceRef result_2_reg_RNIMLBG_0)) - (portRef A (instanceRef result_2_reg_RNIMLBG)) + (portRef A (instanceRef result_2_reg_RNICH2O_1)) + (portRef A (instanceRef result_2_reg_RNICH2O_0)) + (portRef A (instanceRef result_2_reg_RNICH2O)) )) (net GND (joined (portRef GND) @@ -836912,7 +836643,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIMLBG_0)) + (portRef Z (instanceRef result_2_reg_RNICH2O_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -836990,7 +836721,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIMLBG_1)) + (portRef Z (instanceRef result_2_reg_RNICH2O_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -837077,9 +836808,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295797_0 (joined + (net N_296095_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295797_0) + (portRef N_296095_0) )) (net tmp1_3_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -837731,7 +837462,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIJE6H)) + (portRef Z (instanceRef result_2_reg_RNI9ATO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -840644,9 +840375,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_1_1 (joined + (net channel_debug_01_i_18_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_1 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_18 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_3 (joined @@ -840841,18 +840572,18 @@ (portRef Q (instanceRef encoder_start_cntr_23)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) - (net fifo_full_i (joined - (portRef fifo_full_i (instanceRef FIFO)) - (portRef D (instanceRef FIFO_FULL_OUT)) - )) - (net channel_full_i_1 (joined - (portRef Q (instanceRef FIFO_FULL_OUT)) - (portRef (member channel_full_i 0)) - )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) + (net stat_reg_50 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef (member stat_reg 0)) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef clk_100_i_c (instanceRef FIFO)) @@ -840889,7 +840620,6 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) - (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) @@ -840926,267 +840656,258 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) - (portRef CD (instanceRef FIFO_FULL_OUT)) - )) - (net stat_reg_33 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) - (net channel_data_i_1_0 (joined + (net channel_data_i_18_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_1 31)) + (portRef (member channel_data_i_18 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_1_1 (joined + (net channel_data_i_18_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_1 30)) + (portRef (member channel_data_i_18 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_1_2 (joined + (net channel_data_i_18_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_1 29)) + (portRef (member channel_data_i_18 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_1_3 (joined + (net channel_data_i_18_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_1 28)) + (portRef (member channel_data_i_18 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_1_4 (joined + (net channel_data_i_18_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_1 27)) + (portRef (member channel_data_i_18 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_1_5 (joined + (net channel_data_i_18_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_1 26)) + (portRef (member channel_data_i_18 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_1_6 (joined + (net channel_data_i_18_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_1 25)) + (portRef (member channel_data_i_18 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_1_7 (joined + (net channel_data_i_18_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_1 24)) + (portRef (member channel_data_i_18 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_1_8 (joined + (net channel_data_i_18_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_1 23)) + (portRef (member channel_data_i_18 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_1_9 (joined + (net channel_data_i_18_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_1 22)) + (portRef (member channel_data_i_18 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_1_10 (joined + (net channel_data_i_18_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_1 21)) + (portRef (member channel_data_i_18 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_1_11 (joined + (net channel_data_i_18_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_1 20)) + (portRef (member channel_data_i_18 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_1_12 (joined + (net channel_data_i_18_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_1 19)) + (portRef (member channel_data_i_18 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_1_13 (joined + (net channel_data_i_18_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_1 18)) + (portRef (member channel_data_i_18 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_1_14 (joined + (net channel_data_i_18_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_1 17)) + (portRef (member channel_data_i_18 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_1_15 (joined + (net channel_data_i_18_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_1 16)) + (portRef (member channel_data_i_18 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_1_16 (joined + (net channel_data_i_18_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_1 15)) + (portRef (member channel_data_i_18 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_1_17 (joined + (net channel_data_i_18_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_1 14)) + (portRef (member channel_data_i_18 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_1_18 (joined + (net channel_data_i_18_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_1 13)) + (portRef (member channel_data_i_18 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_1_19 (joined + (net channel_data_i_18_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_1 12)) + (portRef (member channel_data_i_18 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_1_20 (joined + (net channel_data_i_18_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_1 11)) + (portRef (member channel_data_i_18 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_1_21 (joined + (net channel_data_i_18_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_1 10)) + (portRef (member channel_data_i_18 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_1_22 (joined + (net channel_data_i_18_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_1 9)) + (portRef (member channel_data_i_18 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_1_23 (joined + (net channel_data_i_18_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_1 8)) + (portRef (member channel_data_i_18 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_1_24 (joined + (net channel_data_i_18_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_1 7)) + (portRef (member channel_data_i_18 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_1_25 (joined + (net channel_data_i_18_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_1 6)) + (portRef (member channel_data_i_18 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_1_26 (joined + (net channel_data_i_18_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_1 5)) + (portRef (member channel_data_i_18 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_1_27 (joined + (net channel_data_i_18_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_1 4)) + (portRef (member channel_data_i_18 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_1_28 (joined + (net channel_data_i_18_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_1 3)) + (portRef (member channel_data_i_18 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_1_29 (joined + (net channel_data_i_18_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_1 2)) + (portRef (member channel_data_i_18 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_1_30 (joined + (net channel_data_i_18_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_1 1)) + (portRef (member channel_data_i_18 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_1_31 (joined + (net channel_data_i_18_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_1 0)) + (portRef (member channel_data_i_18 0)) )) (net sync_q_CR0_ram_DO1_3 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -841205,8 +840926,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_fast_1 (joined - (portRef reset_tdc_fast_1) + (net reset_tdc_rep1 (joined + (portRef reset_tdc_rep1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -841328,8 +841049,14 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_9 (joined - (portRef reset_tdc_fast_9) + (net reset_tdc_rep1_8 (joined + (portRef reset_tdc_rep1_8) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + (portRef B (instanceRef result_reg_3_0_i_34)) + (portRef B (instanceRef result_reg_3_0_i_33)) + (portRef B (instanceRef result_reg_3_0_i_32)) + (portRef B (instanceRef result_reg_3_0_i_31)) (portRef B (instanceRef result_reg_3_0_i_30)) (portRef B (instanceRef result_reg_3_0_i_29)) (portRef B (instanceRef result_reg_3_0_i_28)) @@ -841471,8 +841198,39 @@ (portRef (member result_i 271) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_32)) )) - (net reset_tdc_fast_10 (joined - (portRef reset_tdc_fast_10) + (net result_i_33 (joined + (portRef (member result_i 270) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_33)) + )) + (net result_i_34 (joined + (portRef (member result_i 269) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_34)) + )) + (net result_i_35 (joined + (portRef (member result_i 268) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_35)) + )) + (net result_i_36 (joined + (portRef (member result_i 267) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_36)) + )) + (net result_i_37 (joined + (portRef (member result_i 266) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_37)) + )) + (net result_i_38 (joined + (portRef (member result_i 265) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_38)) + )) + (net reset_tdc_rep1_9 (joined + (portRef reset_tdc_rep1_9) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + (portRef B (instanceRef result_reg_3_0_i_133)) + (portRef B (instanceRef result_reg_3_0_i_132)) + (portRef B (instanceRef result_reg_3_0_i_131)) + (portRef B (instanceRef result_reg_3_0_i_130)) (portRef B (instanceRef result_reg_3_0_i_129)) (portRef B (instanceRef result_reg_3_0_i_128)) (portRef B (instanceRef result_reg_3_0_i_127)) @@ -841566,36 +841324,6 @@ (portRef B (instanceRef result_reg_3_0_i_39)) (portRef B (instanceRef result_reg_3_0_i_38)) (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - (portRef B (instanceRef result_reg_3_0_i_34)) - (portRef B (instanceRef result_reg_3_0_i_33)) - (portRef B (instanceRef result_reg_3_0_i_32)) - (portRef B (instanceRef result_reg_3_0_i_31)) - )) - (net result_i_33 (joined - (portRef (member result_i 270) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_33)) - )) - (net result_i_34 (joined - (portRef (member result_i 269) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_34)) - )) - (net result_i_35 (joined - (portRef (member result_i 268) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_35)) - )) - (net result_i_36 (joined - (portRef (member result_i 267) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_36)) - )) - (net result_i_37 (joined - (portRef (member result_i 266) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_37)) - )) - (net result_i_38 (joined - (portRef (member result_i 265) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_38)) )) (net result_i_39 (joined (portRef (member result_i 264) (instanceRef FC)) @@ -841969,108 +841697,6 @@ (portRef (member result_i 172) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_131)) )) - (net reset_tdc_fast_11 (joined - (portRef reset_tdc_fast_11) - (portRef B (instanceRef result_reg_3_0_i_228)) - (portRef B (instanceRef result_reg_3_0_i_227)) - (portRef B (instanceRef result_reg_3_0_i_226)) - (portRef B (instanceRef result_reg_3_0_i_225)) - (portRef B (instanceRef result_reg_3_0_i_224)) - (portRef B (instanceRef result_reg_3_0_i_223)) - (portRef B (instanceRef result_reg_3_0_i_222)) - (portRef B (instanceRef result_reg_3_0_i_221)) - (portRef B (instanceRef result_reg_3_0_i_220)) - (portRef B (instanceRef result_reg_3_0_i_219)) - (portRef B (instanceRef result_reg_3_0_i_218)) - (portRef B (instanceRef result_reg_3_0_i_217)) - (portRef B (instanceRef result_reg_3_0_i_216)) - (portRef B (instanceRef result_reg_3_0_i_215)) - (portRef B (instanceRef result_reg_3_0_i_214)) - (portRef B (instanceRef result_reg_3_0_i_213)) - (portRef B (instanceRef result_reg_3_0_i_212)) - (portRef B (instanceRef result_reg_3_0_i_211)) - (portRef B (instanceRef result_reg_3_0_i_210)) - (portRef B (instanceRef result_reg_3_0_i_209)) - (portRef B (instanceRef result_reg_3_0_i_208)) - (portRef B (instanceRef result_reg_3_0_i_207)) - (portRef B (instanceRef result_reg_3_0_i_206)) - (portRef B (instanceRef result_reg_3_0_i_205)) - (portRef B (instanceRef result_reg_3_0_i_204)) - (portRef B (instanceRef result_reg_3_0_i_203)) - (portRef B (instanceRef result_reg_3_0_i_202)) - (portRef B (instanceRef result_reg_3_0_i_201)) - (portRef B (instanceRef result_reg_3_0_i_200)) - (portRef B (instanceRef result_reg_3_0_i_199)) - (portRef B (instanceRef result_reg_3_0_i_198)) - (portRef B (instanceRef result_reg_3_0_i_197)) - (portRef B (instanceRef result_reg_3_0_i_196)) - (portRef B (instanceRef result_reg_3_0_i_195)) - (portRef B (instanceRef result_reg_3_0_i_194)) - (portRef B (instanceRef result_reg_3_0_i_193)) - (portRef B (instanceRef result_reg_3_0_i_192)) - (portRef B (instanceRef result_reg_3_0_i_191)) - (portRef B (instanceRef result_reg_3_0_i_190)) - (portRef B (instanceRef result_reg_3_0_i_189)) - (portRef B (instanceRef result_reg_3_0_i_188)) - (portRef B (instanceRef result_reg_3_0_i_187)) - (portRef B (instanceRef result_reg_3_0_i_186)) - (portRef B (instanceRef result_reg_3_0_i_185)) - (portRef B (instanceRef result_reg_3_0_i_184)) - (portRef B (instanceRef result_reg_3_0_i_183)) - (portRef B (instanceRef result_reg_3_0_i_182)) - (portRef B (instanceRef result_reg_3_0_i_181)) - (portRef B (instanceRef result_reg_3_0_i_180)) - (portRef B (instanceRef result_reg_3_0_i_179)) - (portRef B (instanceRef result_reg_3_0_i_178)) - (portRef B (instanceRef result_reg_3_0_i_177)) - (portRef B (instanceRef result_reg_3_0_i_176)) - (portRef B (instanceRef result_reg_3_0_i_175)) - (portRef B (instanceRef result_reg_3_0_i_174)) - (portRef B (instanceRef result_reg_3_0_i_173)) - (portRef B (instanceRef result_reg_3_0_i_172)) - (portRef B (instanceRef result_reg_3_0_i_171)) - (portRef B (instanceRef result_reg_3_0_i_170)) - (portRef B (instanceRef result_reg_3_0_i_169)) - (portRef B (instanceRef result_reg_3_0_i_168)) - (portRef B (instanceRef result_reg_3_0_i_167)) - (portRef B (instanceRef result_reg_3_0_i_166)) - (portRef B (instanceRef result_reg_3_0_i_165)) - (portRef B (instanceRef result_reg_3_0_i_164)) - (portRef B (instanceRef result_reg_3_0_i_163)) - (portRef B (instanceRef result_reg_3_0_i_162)) - (portRef B (instanceRef result_reg_3_0_i_161)) - (portRef B (instanceRef result_reg_3_0_i_160)) - (portRef B (instanceRef result_reg_3_0_i_159)) - (portRef B (instanceRef result_reg_3_0_i_158)) - (portRef B (instanceRef result_reg_3_0_i_157)) - (portRef B (instanceRef result_reg_3_0_i_156)) - (portRef B (instanceRef result_reg_3_0_i_155)) - (portRef B (instanceRef result_reg_3_0_i_154)) - (portRef B (instanceRef result_reg_3_0_i_153)) - (portRef B (instanceRef result_reg_3_0_i_152)) - (portRef B (instanceRef result_reg_3_0_i_151)) - (portRef B (instanceRef result_reg_3_0_i_150)) - (portRef B (instanceRef result_reg_3_0_i_149)) - (portRef B (instanceRef result_reg_3_0_i_148)) - (portRef B (instanceRef result_reg_3_0_i_147)) - (portRef B (instanceRef result_reg_3_0_i_146)) - (portRef B (instanceRef result_reg_3_0_i_145)) - (portRef B (instanceRef result_reg_3_0_i_144)) - (portRef B (instanceRef result_reg_3_0_i_143)) - (portRef B (instanceRef result_reg_3_0_i_142)) - (portRef B (instanceRef result_reg_3_0_i_141)) - (portRef B (instanceRef result_reg_3_0_i_140)) - (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - (portRef B (instanceRef result_reg_3_0_i_133)) - (portRef B (instanceRef result_reg_3_0_i_132)) - (portRef B (instanceRef result_reg_3_0_i_131)) - (portRef B (instanceRef result_reg_3_0_i_130)) - )) (net result_i_132 (joined (portRef (member result_i 171) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_132)) @@ -842099,6 +841725,109 @@ (portRef (member result_i 165) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_138)) )) + (net reset_tdc_rep1_10 (joined + (portRef reset_tdc_rep1_10) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + (portRef B (instanceRef result_reg_3_0_i_232)) + (portRef B (instanceRef result_reg_3_0_i_231)) + (portRef B (instanceRef result_reg_3_0_i_230)) + (portRef B (instanceRef result_reg_3_0_i_229)) + (portRef B (instanceRef result_reg_3_0_i_228)) + (portRef B (instanceRef result_reg_3_0_i_227)) + (portRef B (instanceRef result_reg_3_0_i_226)) + (portRef B (instanceRef result_reg_3_0_i_225)) + (portRef B (instanceRef result_reg_3_0_i_224)) + (portRef B (instanceRef result_reg_3_0_i_223)) + (portRef B (instanceRef result_reg_3_0_i_222)) + (portRef B (instanceRef result_reg_3_0_i_221)) + (portRef B (instanceRef result_reg_3_0_i_220)) + (portRef B (instanceRef result_reg_3_0_i_219)) + (portRef B (instanceRef result_reg_3_0_i_218)) + (portRef B (instanceRef result_reg_3_0_i_217)) + (portRef B (instanceRef result_reg_3_0_i_216)) + (portRef B (instanceRef result_reg_3_0_i_215)) + (portRef B (instanceRef result_reg_3_0_i_214)) + (portRef B (instanceRef result_reg_3_0_i_213)) + (portRef B (instanceRef result_reg_3_0_i_212)) + (portRef B (instanceRef result_reg_3_0_i_211)) + (portRef B (instanceRef result_reg_3_0_i_210)) + (portRef B (instanceRef result_reg_3_0_i_209)) + (portRef B (instanceRef result_reg_3_0_i_208)) + (portRef B (instanceRef result_reg_3_0_i_207)) + (portRef B (instanceRef result_reg_3_0_i_206)) + (portRef B (instanceRef result_reg_3_0_i_205)) + (portRef B (instanceRef result_reg_3_0_i_204)) + (portRef B (instanceRef result_reg_3_0_i_203)) + (portRef B (instanceRef result_reg_3_0_i_202)) + (portRef B (instanceRef result_reg_3_0_i_201)) + (portRef B (instanceRef result_reg_3_0_i_200)) + (portRef B (instanceRef result_reg_3_0_i_199)) + (portRef B (instanceRef result_reg_3_0_i_198)) + (portRef B (instanceRef result_reg_3_0_i_197)) + (portRef B (instanceRef result_reg_3_0_i_196)) + (portRef B (instanceRef result_reg_3_0_i_195)) + (portRef B (instanceRef result_reg_3_0_i_194)) + (portRef B (instanceRef result_reg_3_0_i_193)) + (portRef B (instanceRef result_reg_3_0_i_192)) + (portRef B (instanceRef result_reg_3_0_i_191)) + (portRef B (instanceRef result_reg_3_0_i_190)) + (portRef B (instanceRef result_reg_3_0_i_189)) + (portRef B (instanceRef result_reg_3_0_i_188)) + (portRef B (instanceRef result_reg_3_0_i_187)) + (portRef B (instanceRef result_reg_3_0_i_186)) + (portRef B (instanceRef result_reg_3_0_i_185)) + (portRef B (instanceRef result_reg_3_0_i_184)) + (portRef B (instanceRef result_reg_3_0_i_183)) + (portRef B (instanceRef result_reg_3_0_i_182)) + (portRef B (instanceRef result_reg_3_0_i_181)) + (portRef B (instanceRef result_reg_3_0_i_180)) + (portRef B (instanceRef result_reg_3_0_i_179)) + (portRef B (instanceRef result_reg_3_0_i_178)) + (portRef B (instanceRef result_reg_3_0_i_177)) + (portRef B (instanceRef result_reg_3_0_i_176)) + (portRef B (instanceRef result_reg_3_0_i_175)) + (portRef B (instanceRef result_reg_3_0_i_174)) + (portRef B (instanceRef result_reg_3_0_i_173)) + (portRef B (instanceRef result_reg_3_0_i_172)) + (portRef B (instanceRef result_reg_3_0_i_171)) + (portRef B (instanceRef result_reg_3_0_i_170)) + (portRef B (instanceRef result_reg_3_0_i_169)) + (portRef B (instanceRef result_reg_3_0_i_168)) + (portRef B (instanceRef result_reg_3_0_i_167)) + (portRef B (instanceRef result_reg_3_0_i_166)) + (portRef B (instanceRef result_reg_3_0_i_165)) + (portRef B (instanceRef result_reg_3_0_i_164)) + (portRef B (instanceRef result_reg_3_0_i_163)) + (portRef B (instanceRef result_reg_3_0_i_162)) + (portRef B (instanceRef result_reg_3_0_i_161)) + (portRef B (instanceRef result_reg_3_0_i_160)) + (portRef B (instanceRef result_reg_3_0_i_159)) + (portRef B (instanceRef result_reg_3_0_i_158)) + (portRef B (instanceRef result_reg_3_0_i_157)) + (portRef B (instanceRef result_reg_3_0_i_156)) + (portRef B (instanceRef result_reg_3_0_i_155)) + (portRef B (instanceRef result_reg_3_0_i_154)) + (portRef B (instanceRef result_reg_3_0_i_153)) + (portRef B (instanceRef result_reg_3_0_i_152)) + (portRef B (instanceRef result_reg_3_0_i_151)) + (portRef B (instanceRef result_reg_3_0_i_150)) + (portRef B (instanceRef result_reg_3_0_i_149)) + (portRef B (instanceRef result_reg_3_0_i_148)) + (portRef B (instanceRef result_reg_3_0_i_147)) + (portRef B (instanceRef result_reg_3_0_i_146)) + (portRef B (instanceRef result_reg_3_0_i_145)) + (portRef B (instanceRef result_reg_3_0_i_144)) + (portRef B (instanceRef result_reg_3_0_i_143)) + (portRef B (instanceRef result_reg_3_0_i_142)) + (portRef B (instanceRef result_reg_3_0_i_141)) + (portRef B (instanceRef result_reg_3_0_i_140)) + (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + )) (net result_i_139 (joined (portRef (member result_i 164) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_139)) @@ -842467,8 +842196,40 @@ (portRef (member result_i 73) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_230)) )) - (net reset_tdc_fast_12 (joined - (portRef reset_tdc_fast_12) + (net result_i_231 (joined + (portRef (member result_i 72) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_231)) + )) + (net result_i_232 (joined + (portRef (member result_i 71) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_232)) + )) + (net result_i_233 (joined + (portRef (member result_i 70) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_234 (joined + (portRef (member result_i 69) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_234)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) + )) + (net reset_tdc_rep1_11 (joined + (portRef reset_tdc_rep1_11) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -842536,46 +842297,6 @@ (portRef B (instanceRef result_reg_3_0_i_239)) (portRef B (instanceRef result_reg_3_0_i_238)) (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - (portRef B (instanceRef result_reg_3_0_i_232)) - (portRef B (instanceRef result_reg_3_0_i_231)) - (portRef B (instanceRef result_reg_3_0_i_230)) - (portRef B (instanceRef result_reg_3_0_i_229)) - )) - (net result_i_231 (joined - (portRef (member result_i 72) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_231)) - )) - (net result_i_232 (joined - (portRef (member result_i 71) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_232)) - )) - (net result_i_233 (joined - (portRef (member result_i 70) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_234 (joined - (portRef (member result_i 69) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_234)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) )) (net result_i_239 (joined (portRef (member result_i 64) (instanceRef FC)) @@ -842837,8 +842558,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_1_0 (joined - (portRef (member channel_debug_01_i_1 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_18_0 (joined + (portRef (member channel_debug_01_i_18 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -842865,9 +842586,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_1_2 (joined - (portRef (member channel_debug_01_i_1 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_1 0) (instanceRef FIFO)) + (net channel_debug_01_i_18_2 (joined + (portRef (member channel_debug_01_i_18 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_18 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -843052,17 +842773,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_96 (joined - (portRef reset_tdc_96) - (portRef reset_tdc_96 (instanceRef FC)) + (net reset_tdc_44 (joined + (portRef reset_tdc_44) + (portRef reset_tdc_44 (instanceRef FC)) )) - (net reset_tdc_95 (joined - (portRef reset_tdc_95) - (portRef reset_tdc_95 (instanceRef FC)) + (net reset_tdc_43 (joined + (portRef reset_tdc_43) + (portRef reset_tdc_43 (instanceRef FC)) )) - (net reset_tdc_94 (joined - (portRef reset_tdc_94) - (portRef reset_tdc_94 (instanceRef FC)) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef reset_tdc_42 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -843104,47 +842825,39 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_97 (joined - (portRef reset_tdc_97) - (portRef reset_tdc_97 (instanceRef Encoder)) - (portRef reset_tdc_97 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r0 (joined - (portRef reset_tdc_fast_36_r0) - (portRef reset_tdc_fast_36_r0 (instanceRef Encoder)) - )) - (net reset_tdc_fast_37_r0 (joined - (portRef reset_tdc_fast_37_r0) - (portRef reset_tdc_fast_37_r0 (instanceRef Encoder)) + (net reset_tdc_45 (joined + (portRef reset_tdc_45) + (portRef reset_tdc_45 (instanceRef Encoder)) + (portRef reset_tdc_45 (instanceRef FC)) )) - (net reset_tdc_fast_37_r1 (joined - (portRef reset_tdc_fast_37_r1) - (portRef reset_tdc_fast_37_r1 (instanceRef Encoder)) + (net reset_tdc_fast_36_r27 (joined + (portRef reset_tdc_fast_36_r27) + (portRef reset_tdc_fast_36_r27 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r2 (joined - (portRef reset_tdc_fast_36_r2) - (portRef reset_tdc_fast_36_r2 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r1 (joined - (portRef reset_tdc_fast_36_r1) - (portRef reset_tdc_fast_36_r1 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIJE6H)) + (portRef A (instanceRef result_2_reg_RNI9ATO)) )) - (net rd_en_i_1 (joined + (net rd_en_i_18 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef FIFO)) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef reset_i_10 (instanceRef FIFO)) )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef reset_i_17 (instanceRef FIFO)) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef reset_i_9 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -843167,32 +842880,109 @@ ) ) ) - (cell Channel_21 (cellType GENERIC) + (cell Channel_3 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(21:21)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(21:21)") 1) (direction INPUT)) - (port (array (rename channel_data_i_21 "channel_data_i_21(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(53:53)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(3:3)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(3:3)") 1) (direction INPUT)) + (port (array (rename channel_data_i_3 "channel_data_i_3(31:0)") 32) (direction OUTPUT)) + (port stat_reg_500 (direction OUTPUT)) + (port stat_reg_499 (direction OUTPUT)) + (port stat_reg_498 (direction OUTPUT)) + (port stat_reg_497 (direction OUTPUT)) + (port stat_reg_496 (direction OUTPUT)) + (port stat_reg_495 (direction OUTPUT)) + (port stat_reg_494 (direction OUTPUT)) + (port stat_reg_493 (direction OUTPUT)) + (port stat_reg_492 (direction OUTPUT)) + (port stat_reg_491 (direction OUTPUT)) + (port stat_reg_490 (direction OUTPUT)) + (port stat_reg_489 (direction OUTPUT)) + (port stat_reg_488 (direction OUTPUT)) + (port stat_reg_487 (direction OUTPUT)) + (port stat_reg_486 (direction OUTPUT)) + (port stat_reg_485 (direction OUTPUT)) + (port stat_reg_484 (direction OUTPUT)) + (port stat_reg_483 (direction OUTPUT)) + (port stat_reg_482 (direction OUTPUT)) + (port stat_reg_481 (direction OUTPUT)) + (port stat_reg_480 (direction OUTPUT)) + (port stat_reg_479 (direction OUTPUT)) + (port stat_reg_478 (direction OUTPUT)) + (port stat_reg_477 (direction OUTPUT)) + (port stat_reg_532 (direction OUTPUT)) + (port stat_reg_531 (direction OUTPUT)) + (port stat_reg_530 (direction OUTPUT)) + (port stat_reg_529 (direction OUTPUT)) + (port stat_reg_528 (direction OUTPUT)) + (port stat_reg_527 (direction OUTPUT)) + (port stat_reg_526 (direction OUTPUT)) + (port stat_reg_525 (direction OUTPUT)) + (port stat_reg_524 (direction OUTPUT)) + (port stat_reg_523 (direction OUTPUT)) + (port stat_reg_522 (direction OUTPUT)) + (port stat_reg_521 (direction OUTPUT)) + (port stat_reg_520 (direction OUTPUT)) + (port stat_reg_519 (direction OUTPUT)) + (port stat_reg_518 (direction OUTPUT)) + (port stat_reg_517 (direction OUTPUT)) + (port stat_reg_516 (direction OUTPUT)) + (port stat_reg_515 (direction OUTPUT)) + (port stat_reg_514 (direction OUTPUT)) + (port stat_reg_513 (direction OUTPUT)) + (port stat_reg_512 (direction OUTPUT)) + (port stat_reg_511 (direction OUTPUT)) + (port stat_reg_510 (direction OUTPUT)) + (port stat_reg_509 (direction OUTPUT)) + (port stat_reg_468 (direction OUTPUT)) + (port stat_reg_467 (direction OUTPUT)) + (port stat_reg_466 (direction OUTPUT)) + (port stat_reg_465 (direction OUTPUT)) + (port stat_reg_464 (direction OUTPUT)) + (port stat_reg_463 (direction OUTPUT)) + (port stat_reg_462 (direction OUTPUT)) + (port stat_reg_461 (direction OUTPUT)) + (port stat_reg_460 (direction OUTPUT)) + (port stat_reg_459 (direction OUTPUT)) + (port stat_reg_458 (direction OUTPUT)) + (port stat_reg_457 (direction OUTPUT)) + (port stat_reg_456 (direction OUTPUT)) + (port stat_reg_455 (direction OUTPUT)) + (port stat_reg_454 (direction OUTPUT)) + (port stat_reg_453 (direction OUTPUT)) + (port stat_reg_452 (direction OUTPUT)) + (port stat_reg_451 (direction OUTPUT)) + (port stat_reg_450 (direction OUTPUT)) + (port stat_reg_449 (direction OUTPUT)) + (port stat_reg_448 (direction OUTPUT)) + (port stat_reg_447 (direction OUTPUT)) + (port stat_reg_446 (direction OUTPUT)) + (port stat_reg_445 (direction OUTPUT)) + (port stat_reg_0 (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(3:3)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1776_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_7 (direction INPUT)) - (port reset_tdc_rep1_35 (direction INPUT)) - (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r30 (direction INPUT)) - (port reset_tdc_33 (direction INPUT)) - (port reset_tdc_34 (direction INPUT)) - (port reset_tdc_35 (direction INPUT)) - (port reset_tdc_36 (direction INPUT)) + (port reset_i_17 (direction INPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_tdc_fast_36_r5 (direction INPUT)) + (port reset_tdc_fast_36_r7 (direction INPUT)) + (port reset_tdc_fast_37_r3 (direction INPUT)) + (port reset_tdc_fast_37_r4 (direction INPUT)) + (port reset_tdc_fast_37_r5 (direction INPUT)) + (port reset_tdc_fast_36_r4 (direction INPUT)) + (port reset_tdc_88 (direction INPUT)) + (port reset_tdc_89 (direction INPUT)) + (port reset_tdc_90 (direction INPUT)) + (port reset_tdc_91 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_rep1_8 (direction INPUT)) - (port reset_tdc_rep1_7 (direction INPUT)) - (port reset_tdc_rep1_6 (direction INPUT)) - (port reset_tdc_rep1_5 (direction INPUT)) - (port reset_tdc_rep1 (direction INPUT)) + (port reset_tdc_fast_9 (direction INPUT)) + (port reset_tdc_fast_8 (direction INPUT)) + (port reset_tdc_fast_7 (direction INPUT)) + (port reset_tdc_fast_6 (direction INPUT)) + (port reset_tdc_fast_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -843206,7 +842996,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295810_0 (direction OUTPUT)) + (port N_296108_0 (direction OUTPUT)) (port G_1774_Q (direction INPUT)) (port sync_q_and_2 (direction OUTPUT)) (port G_1771_Q (direction INPUT)) @@ -843215,14 +843005,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNIAFQT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIQLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIAFQT_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIQLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNIAFQT_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIQLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -844019,6 +843809,8 @@ ) (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance FIFO_FULL_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance FIFO_EMPTY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance FIFO_DATA_OUT_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) @@ -845068,7 +844860,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI78LU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNINE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -845149,157 +844941,157 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_fifo_wr_en_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_encoder_start_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -845313,6 +845105,12 @@ ) (instance Edge_To_Pulse_Hit (viewRef netlist (cellRef edge_to_pulse_0_3)) ) + (instance Lost_Hit_Sync (viewRef netlist (cellRef signal_sync_24_3_9)) + ) + (instance Encoder_Start_Sync (viewRef netlist (cellRef signal_sync_24_3_10)) + ) + (instance Measurement_Sync (viewRef netlist (cellRef signal_sync_24_3_11)) + ) (net hit_in_i (joined (portRef A (instanceRef hit_buf_RNO)) (portRef (member hit_in_iZ0 0)) @@ -845324,32 +845122,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0)) )) (net tmp1_2_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIBP22_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI3E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI78LU)) + (portRef B (instanceRef result_2_reg_RNINE6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNIAFQT_1)) - (portRef B (instanceRef result_2_reg_RNIAFQT_0)) - (portRef B (instanceRef result_2_reg_RNIAFQT)) + (portRef B (instanceRef result_2_reg_RNIQLBG_1)) + (portRef B (instanceRef result_2_reg_RNIQLBG_0)) + (portRef B (instanceRef result_2_reg_RNIQLBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI78LU)) + (portRef C (instanceRef result_2_reg_RNINE6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNIAFQT_1)) - (portRef C (instanceRef result_2_reg_RNIAFQT_0)) - (portRef C (instanceRef result_2_reg_RNIAFQT)) + (portRef C (instanceRef result_2_reg_RNIQLBG_1)) + (portRef C (instanceRef result_2_reg_RNIQLBG_0)) + (portRef C (instanceRef result_2_reg_RNIQLBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNIAFQT)) + (portRef Z (instanceRef result_2_reg_RNIQLBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -845428,9 +845226,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNIAFQT_1)) - (portRef A (instanceRef result_2_reg_RNIAFQT_0)) - (portRef A (instanceRef result_2_reg_RNIAFQT)) + (portRef A (instanceRef result_2_reg_RNIQLBG_1)) + (portRef A (instanceRef result_2_reg_RNIQLBG_0)) + (portRef A (instanceRef result_2_reg_RNIQLBG)) )) (net GND (joined (portRef GND) @@ -845438,60 +845236,6 @@ (portRef GND (instanceRef FIFO)) (portRef GND (instanceRef Encoder)) (portRef GND (instanceRef FC)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_s_23_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) - (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef B1 (instanceRef un1_encoder_start_i_s_23_0)) (portRef A1 (instanceRef un1_encoder_start_i_s_23_0)) @@ -845546,6 +845290,60 @@ (portRef B1 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef C0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef A0 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef A1 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_s_23_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) + (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef B1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef C0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) + (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef C1 (instanceRef lost_hit_cntr_s_0_23)) (portRef B1 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_s_0_23)) @@ -845585,7 +845383,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNIAFQT_0)) + (portRef Z (instanceRef result_2_reg_RNIQLBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -845663,7 +845461,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNIAFQT_1)) + (portRef Z (instanceRef result_2_reg_RNIQLBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -845750,9 +845548,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295810_0 (joined + (net N_296108_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295810_0) + (portRef N_296108_0) )) (net tmp1_2_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -845788,6 +845586,9 @@ )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) + (portRef CLK_PCLK_LEFT_c (instanceRef Measurement_Sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Encoder_Start_Sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Lost_Hit_Sync)) (portRef CLK_PCLK_LEFT_c (instanceRef Edge_To_Pulse_Hit)) (portRef CLK_PCLK_LEFT_c (instanceRef FIFO)) (portRef CLK_PCLK_LEFT_c (instanceRef Encoder)) @@ -846259,10 +846060,39 @@ )) (net VCC (joined (portRef VCC) + (portRef VCC (instanceRef Measurement_Sync)) + (portRef VCC (instanceRef Encoder_Start_Sync)) + (portRef VCC (instanceRef Lost_Hit_Sync)) (portRef VCC (instanceRef Edge_To_Pulse_Hit)) (portRef VCC (instanceRef FIFO)) (portRef VCC (instanceRef Encoder)) (portRef VCC (instanceRef FC)) + (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_s_23_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) @@ -846289,32 +846119,6 @@ (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) (portRef D1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef D0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) - (portRef D1 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D0 (instanceRef un1_encoder_start_i_s_23_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef D1 (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef D0 (instanceRef un1_encoder_start_i_cry_0_0)) (portRef D1 (instanceRef lost_hit_cntr_s_0_23)) (portRef D0 (instanceRef lost_hit_cntr_s_0_23)) (portRef D1 (instanceRef lost_hit_cntr_cry_0_21)) @@ -846404,7 +846208,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI78LU)) + (portRef Z (instanceRef result_2_reg_RNINE6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -848836,6 +848640,7 @@ )) (net measurement_cntr_0 (joined (portRef Q (instanceRef measurement_cntr_0)) + (portRef (member measurement_cntr 23) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_0_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S0_2 (joined @@ -848844,6 +848649,7 @@ )) (net measurement_cntr_1 (joined (portRef Q (instanceRef measurement_cntr_1)) + (portRef (member measurement_cntr 22) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_1_0_S1_2 (joined @@ -848852,6 +848658,7 @@ )) (net measurement_cntr_2 (joined (portRef Q (instanceRef measurement_cntr_2)) + (portRef (member measurement_cntr 21) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_1_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S0_2 (joined @@ -848860,6 +848667,7 @@ )) (net measurement_cntr_3 (joined (portRef Q (instanceRef measurement_cntr_3)) + (portRef (member measurement_cntr 20) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_3_0_S1_2 (joined @@ -848868,6 +848676,7 @@ )) (net measurement_cntr_4 (joined (portRef Q (instanceRef measurement_cntr_4)) + (portRef (member measurement_cntr 19) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_3_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S0_2 (joined @@ -848876,6 +848685,7 @@ )) (net measurement_cntr_5 (joined (portRef Q (instanceRef measurement_cntr_5)) + (portRef (member measurement_cntr 18) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_5_0_S1_2 (joined @@ -848884,6 +848694,7 @@ )) (net measurement_cntr_6 (joined (portRef Q (instanceRef measurement_cntr_6)) + (portRef (member measurement_cntr 17) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_5_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S0_2 (joined @@ -848892,6 +848703,7 @@ )) (net measurement_cntr_7 (joined (portRef Q (instanceRef measurement_cntr_7)) + (portRef (member measurement_cntr 16) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_7_0_S1_2 (joined @@ -848900,6 +848712,7 @@ )) (net measurement_cntr_8 (joined (portRef Q (instanceRef measurement_cntr_8)) + (portRef (member measurement_cntr 15) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_7_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S0_2 (joined @@ -848908,6 +848721,7 @@ )) (net measurement_cntr_9 (joined (portRef Q (instanceRef measurement_cntr_9)) + (portRef (member measurement_cntr 14) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_9_0_S1_2 (joined @@ -848916,6 +848730,7 @@ )) (net measurement_cntr_10 (joined (portRef Q (instanceRef measurement_cntr_10)) + (portRef (member measurement_cntr 13) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_9_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S0_2 (joined @@ -848924,6 +848739,7 @@ )) (net measurement_cntr_11 (joined (portRef Q (instanceRef measurement_cntr_11)) + (portRef (member measurement_cntr 12) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_11_0_S1_2 (joined @@ -848932,6 +848748,7 @@ )) (net measurement_cntr_12 (joined (portRef Q (instanceRef measurement_cntr_12)) + (portRef (member measurement_cntr 11) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_11_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S0_2 (joined @@ -848940,6 +848757,7 @@ )) (net measurement_cntr_13 (joined (portRef Q (instanceRef measurement_cntr_13)) + (portRef (member measurement_cntr 10) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_13_0_S1_2 (joined @@ -848948,6 +848766,7 @@ )) (net measurement_cntr_14 (joined (portRef Q (instanceRef measurement_cntr_14)) + (portRef (member measurement_cntr 9) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_13_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S0_2 (joined @@ -848956,6 +848775,7 @@ )) (net measurement_cntr_15 (joined (portRef Q (instanceRef measurement_cntr_15)) + (portRef (member measurement_cntr 8) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_15_0_S1_2 (joined @@ -848964,6 +848784,7 @@ )) (net measurement_cntr_16 (joined (portRef Q (instanceRef measurement_cntr_16)) + (portRef (member measurement_cntr 7) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_15_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S0_2 (joined @@ -848972,6 +848793,7 @@ )) (net measurement_cntr_17 (joined (portRef Q (instanceRef measurement_cntr_17)) + (portRef (member measurement_cntr 6) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_17_0_S1_2 (joined @@ -848980,6 +848802,7 @@ )) (net measurement_cntr_18 (joined (portRef Q (instanceRef measurement_cntr_18)) + (portRef (member measurement_cntr 5) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_17_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S0_2 (joined @@ -848988,6 +848811,7 @@ )) (net measurement_cntr_19 (joined (portRef Q (instanceRef measurement_cntr_19)) + (portRef (member measurement_cntr 4) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_19_0_S1_2 (joined @@ -848996,6 +848820,7 @@ )) (net measurement_cntr_20 (joined (portRef Q (instanceRef measurement_cntr_20)) + (portRef (member measurement_cntr 3) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_19_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S0_2 (joined @@ -849004,6 +848829,7 @@ )) (net measurement_cntr_21 (joined (portRef Q (instanceRef measurement_cntr_21)) + (portRef (member measurement_cntr 2) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_cry_21_0_S1_2 (joined @@ -849012,6 +848838,7 @@ )) (net measurement_cntr_22 (joined (portRef Q (instanceRef measurement_cntr_22)) + (portRef (member measurement_cntr 1) (instanceRef Measurement_Sync)) (portRef A1 (instanceRef un1_fifo_wr_en_i_cry_21_0)) )) (net un1_fifo_wr_en_i_s_23_0_S0_2 (joined @@ -849020,6 +848847,7 @@ )) (net measurement_cntr_23 (joined (portRef Q (instanceRef measurement_cntr_23)) + (portRef (member measurement_cntr 0) (instanceRef Measurement_Sync)) (portRef A0 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) (net lost_hit_cntr_lm_0 (joined @@ -849028,6 +848856,7 @@ )) (net lost_hit_cntr_0 (joined (portRef Q (instanceRef lost_hit_cntr_0)) + (portRef (member lost_hit_cntr 23) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_0)) )) (net lost_hit_cntr_lm_1 (joined @@ -849036,6 +848865,7 @@ )) (net lost_hit_cntr_1 (joined (portRef Q (instanceRef lost_hit_cntr_1)) + (portRef (member lost_hit_cntr 22) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_2 (joined @@ -849044,6 +848874,7 @@ )) (net lost_hit_cntr_2 (joined (portRef Q (instanceRef lost_hit_cntr_2)) + (portRef (member lost_hit_cntr 21) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_1)) )) (net lost_hit_cntr_lm_3 (joined @@ -849052,6 +848883,7 @@ )) (net lost_hit_cntr_3 (joined (portRef Q (instanceRef lost_hit_cntr_3)) + (portRef (member lost_hit_cntr 20) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_4 (joined @@ -849060,6 +848892,7 @@ )) (net lost_hit_cntr_4 (joined (portRef Q (instanceRef lost_hit_cntr_4)) + (portRef (member lost_hit_cntr 19) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_3)) )) (net lost_hit_cntr_lm_5 (joined @@ -849068,6 +848901,7 @@ )) (net lost_hit_cntr_5 (joined (portRef Q (instanceRef lost_hit_cntr_5)) + (portRef (member lost_hit_cntr 18) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_6 (joined @@ -849076,6 +848910,7 @@ )) (net lost_hit_cntr_6 (joined (portRef Q (instanceRef lost_hit_cntr_6)) + (portRef (member lost_hit_cntr 17) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_5)) )) (net lost_hit_cntr_lm_7 (joined @@ -849084,6 +848919,7 @@ )) (net lost_hit_cntr_7 (joined (portRef Q (instanceRef lost_hit_cntr_7)) + (portRef (member lost_hit_cntr 16) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_8 (joined @@ -849092,6 +848928,7 @@ )) (net lost_hit_cntr_8 (joined (portRef Q (instanceRef lost_hit_cntr_8)) + (portRef (member lost_hit_cntr 15) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_7)) )) (net lost_hit_cntr_lm_9 (joined @@ -849100,6 +848937,7 @@ )) (net lost_hit_cntr_9 (joined (portRef Q (instanceRef lost_hit_cntr_9)) + (portRef (member lost_hit_cntr 14) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_10 (joined @@ -849108,6 +848946,7 @@ )) (net lost_hit_cntr_10 (joined (portRef Q (instanceRef lost_hit_cntr_10)) + (portRef (member lost_hit_cntr 13) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_9)) )) (net lost_hit_cntr_lm_11 (joined @@ -849116,6 +848955,7 @@ )) (net lost_hit_cntr_11 (joined (portRef Q (instanceRef lost_hit_cntr_11)) + (portRef (member lost_hit_cntr 12) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_12 (joined @@ -849124,6 +848964,7 @@ )) (net lost_hit_cntr_12 (joined (portRef Q (instanceRef lost_hit_cntr_12)) + (portRef (member lost_hit_cntr 11) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_11)) )) (net lost_hit_cntr_lm_13 (joined @@ -849132,6 +848973,7 @@ )) (net lost_hit_cntr_13 (joined (portRef Q (instanceRef lost_hit_cntr_13)) + (portRef (member lost_hit_cntr 10) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_14 (joined @@ -849140,6 +848982,7 @@ )) (net lost_hit_cntr_14 (joined (portRef Q (instanceRef lost_hit_cntr_14)) + (portRef (member lost_hit_cntr 9) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_13)) )) (net lost_hit_cntr_lm_15 (joined @@ -849148,6 +848991,7 @@ )) (net lost_hit_cntr_15 (joined (portRef Q (instanceRef lost_hit_cntr_15)) + (portRef (member lost_hit_cntr 8) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_16 (joined @@ -849156,6 +849000,7 @@ )) (net lost_hit_cntr_16 (joined (portRef Q (instanceRef lost_hit_cntr_16)) + (portRef (member lost_hit_cntr 7) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_15)) )) (net lost_hit_cntr_lm_17 (joined @@ -849164,6 +849009,7 @@ )) (net lost_hit_cntr_17 (joined (portRef Q (instanceRef lost_hit_cntr_17)) + (portRef (member lost_hit_cntr 6) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_18 (joined @@ -849172,6 +849018,7 @@ )) (net lost_hit_cntr_18 (joined (portRef Q (instanceRef lost_hit_cntr_18)) + (portRef (member lost_hit_cntr 5) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_17)) )) (net lost_hit_cntr_lm_19 (joined @@ -849180,6 +849027,7 @@ )) (net lost_hit_cntr_19 (joined (portRef Q (instanceRef lost_hit_cntr_19)) + (portRef (member lost_hit_cntr 4) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_20 (joined @@ -849188,6 +849036,7 @@ )) (net lost_hit_cntr_20 (joined (portRef Q (instanceRef lost_hit_cntr_20)) + (portRef (member lost_hit_cntr 3) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_19)) )) (net lost_hit_cntr_lm_21 (joined @@ -849196,6 +849045,7 @@ )) (net lost_hit_cntr_21 (joined (portRef Q (instanceRef lost_hit_cntr_21)) + (portRef (member lost_hit_cntr 2) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_22 (joined @@ -849204,6 +849054,7 @@ )) (net lost_hit_cntr_22 (joined (portRef Q (instanceRef lost_hit_cntr_22)) + (portRef (member lost_hit_cntr 1) (instanceRef Lost_Hit_Sync)) (portRef B1 (instanceRef lost_hit_cntr_cry_0_21)) )) (net lost_hit_cntr_lm_23 (joined @@ -849212,6 +849063,7 @@ )) (net lost_hit_cntr_23 (joined (portRef Q (instanceRef lost_hit_cntr_23)) + (portRef (member lost_hit_cntr 0) (instanceRef Lost_Hit_Sync)) (portRef B0 (instanceRef lost_hit_cntr_s_0_23)) )) (net coarse_cnt_0 (joined @@ -849317,9 +849169,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_21_1 (joined + (net channel_debug_01_i_3_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_21 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_3 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_2 (joined @@ -849328,6 +849180,7 @@ )) (net encoder_start_cntr_0 (joined (portRef Q (instanceRef encoder_start_cntr_0)) + (portRef (member encoder_start_cntr 23) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_1_0_S0_2 (joined @@ -849336,6 +849189,7 @@ )) (net encoder_start_cntr_1 (joined (portRef Q (instanceRef encoder_start_cntr_1)) + (portRef (member encoder_start_cntr 22) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_1_0_S1_2 (joined @@ -849344,6 +849198,7 @@ )) (net encoder_start_cntr_2 (joined (portRef Q (instanceRef encoder_start_cntr_2)) + (portRef (member encoder_start_cntr 21) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_1_0)) )) (net un1_encoder_start_i_cry_3_0_S0_2 (joined @@ -849352,6 +849207,7 @@ )) (net encoder_start_cntr_3 (joined (portRef Q (instanceRef encoder_start_cntr_3)) + (portRef (member encoder_start_cntr 20) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_3_0_S1_2 (joined @@ -849360,6 +849216,7 @@ )) (net encoder_start_cntr_4 (joined (portRef Q (instanceRef encoder_start_cntr_4)) + (portRef (member encoder_start_cntr 19) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_3_0)) )) (net un1_encoder_start_i_cry_5_0_S0_2 (joined @@ -849368,6 +849225,7 @@ )) (net encoder_start_cntr_5 (joined (portRef Q (instanceRef encoder_start_cntr_5)) + (portRef (member encoder_start_cntr 18) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_5_0_S1_2 (joined @@ -849376,6 +849234,7 @@ )) (net encoder_start_cntr_6 (joined (portRef Q (instanceRef encoder_start_cntr_6)) + (portRef (member encoder_start_cntr 17) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_5_0)) )) (net un1_encoder_start_i_cry_7_0_S0_2 (joined @@ -849384,6 +849243,7 @@ )) (net encoder_start_cntr_7 (joined (portRef Q (instanceRef encoder_start_cntr_7)) + (portRef (member encoder_start_cntr 16) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_7_0_S1_2 (joined @@ -849392,6 +849252,7 @@ )) (net encoder_start_cntr_8 (joined (portRef Q (instanceRef encoder_start_cntr_8)) + (portRef (member encoder_start_cntr 15) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_7_0)) )) (net un1_encoder_start_i_cry_9_0_S0_2 (joined @@ -849400,6 +849261,7 @@ )) (net encoder_start_cntr_9 (joined (portRef Q (instanceRef encoder_start_cntr_9)) + (portRef (member encoder_start_cntr 14) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_9_0_S1_2 (joined @@ -849408,6 +849270,7 @@ )) (net encoder_start_cntr_10 (joined (portRef Q (instanceRef encoder_start_cntr_10)) + (portRef (member encoder_start_cntr 13) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_9_0)) )) (net un1_encoder_start_i_cry_11_0_S0_2 (joined @@ -849416,6 +849279,7 @@ )) (net encoder_start_cntr_11 (joined (portRef Q (instanceRef encoder_start_cntr_11)) + (portRef (member encoder_start_cntr 12) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_11_0_S1_2 (joined @@ -849424,6 +849288,7 @@ )) (net encoder_start_cntr_12 (joined (portRef Q (instanceRef encoder_start_cntr_12)) + (portRef (member encoder_start_cntr 11) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_11_0)) )) (net un1_encoder_start_i_cry_13_0_S0_2 (joined @@ -849432,6 +849297,7 @@ )) (net encoder_start_cntr_13 (joined (portRef Q (instanceRef encoder_start_cntr_13)) + (portRef (member encoder_start_cntr 10) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_13_0_S1_2 (joined @@ -849440,6 +849306,7 @@ )) (net encoder_start_cntr_14 (joined (portRef Q (instanceRef encoder_start_cntr_14)) + (portRef (member encoder_start_cntr 9) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_13_0)) )) (net un1_encoder_start_i_cry_15_0_S0_2 (joined @@ -849448,6 +849315,7 @@ )) (net encoder_start_cntr_15 (joined (portRef Q (instanceRef encoder_start_cntr_15)) + (portRef (member encoder_start_cntr 8) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_15_0_S1_2 (joined @@ -849456,6 +849324,7 @@ )) (net encoder_start_cntr_16 (joined (portRef Q (instanceRef encoder_start_cntr_16)) + (portRef (member encoder_start_cntr 7) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_15_0)) )) (net un1_encoder_start_i_cry_17_0_S0_2 (joined @@ -849464,6 +849333,7 @@ )) (net encoder_start_cntr_17 (joined (portRef Q (instanceRef encoder_start_cntr_17)) + (portRef (member encoder_start_cntr 6) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_17_0_S1_2 (joined @@ -849472,6 +849342,7 @@ )) (net encoder_start_cntr_18 (joined (portRef Q (instanceRef encoder_start_cntr_18)) + (portRef (member encoder_start_cntr 5) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_17_0)) )) (net un1_encoder_start_i_cry_19_0_S0_2 (joined @@ -849480,6 +849351,7 @@ )) (net encoder_start_cntr_19 (joined (portRef Q (instanceRef encoder_start_cntr_19)) + (portRef (member encoder_start_cntr 4) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_19_0_S1_2 (joined @@ -849488,6 +849360,7 @@ )) (net encoder_start_cntr_20 (joined (portRef Q (instanceRef encoder_start_cntr_20)) + (portRef (member encoder_start_cntr 3) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_19_0)) )) (net un1_encoder_start_i_cry_21_0_S0_2 (joined @@ -849496,6 +849369,7 @@ )) (net encoder_start_cntr_21 (joined (portRef Q (instanceRef encoder_start_cntr_21)) + (portRef (member encoder_start_cntr 2) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_cry_21_0_S1_2 (joined @@ -849504,6 +849378,7 @@ )) (net encoder_start_cntr_22 (joined (portRef Q (instanceRef encoder_start_cntr_22)) + (portRef (member encoder_start_cntr 1) (instanceRef Encoder_Start_Sync)) (portRef A1 (instanceRef un1_encoder_start_i_cry_21_0)) )) (net un1_encoder_start_i_s_23_0_S0_2 (joined @@ -849512,22 +849387,26 @@ )) (net encoder_start_cntr_23 (joined (portRef Q (instanceRef encoder_start_cntr_23)) + (portRef (member encoder_start_cntr 0) (instanceRef Encoder_Start_Sync)) (portRef A0 (instanceRef un1_encoder_start_i_s_23_0)) )) + (net fifo_full_i (joined + (portRef fifo_full_i (instanceRef FIFO)) + (portRef D (instanceRef FIFO_FULL_OUT)) + )) + (net channel_full_i_3 (joined + (portRef Q (instanceRef FIFO_FULL_OUT)) + (portRef (member channel_full_i 0)) + )) (net fifo_empty_i (joined (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_53 (joined - (portRef Q (instanceRef FIFO_EMPTY_OUT)) - (portRef (member stat_reg 0)) - )) - (net fifo_data_out_i_0 (joined - (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) - (portRef D (instanceRef FIFO_DATA_OUT_0)) - )) (net clk_100_i_c (joined (portRef clk_100_i_c) + (portRef clk_100_i_c (instanceRef Measurement_Sync)) + (portRef clk_100_i_c (instanceRef Encoder_Start_Sync)) + (portRef clk_100_i_c (instanceRef Lost_Hit_Sync)) (portRef clk_100_i_c (instanceRef FIFO)) (portRef CK (instanceRef FIFO_DATA_OUT_31)) (portRef CK (instanceRef FIFO_DATA_OUT_30)) @@ -849562,9 +849441,13 @@ (portRef CK (instanceRef FIFO_DATA_OUT_1)) (portRef CK (instanceRef FIFO_DATA_OUT_0)) (portRef CK (instanceRef FIFO_EMPTY_OUT)) + (portRef CK (instanceRef FIFO_FULL_OUT)) )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) + (portRef (member final_reset_iso 0) (instanceRef Measurement_Sync)) + (portRef (member final_reset_iso 0) (instanceRef Encoder_Start_Sync)) + (portRef (member final_reset_iso 0) (instanceRef Lost_Hit_Sync)) (portRef PD (instanceRef FIFO_DATA_OUT_31)) (portRef PD (instanceRef FIFO_DATA_OUT_30)) (portRef PD (instanceRef FIFO_DATA_OUT_29)) @@ -849598,258 +849481,267 @@ (portRef PD (instanceRef FIFO_DATA_OUT_1)) (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) + (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net channel_data_i_21_0 (joined + (net stat_reg_0 (joined + (portRef Q (instanceRef FIFO_EMPTY_OUT)) + (portRef stat_reg_0) + )) + (net fifo_data_out_i_0 (joined + (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) + (portRef D (instanceRef FIFO_DATA_OUT_0)) + )) + (net channel_data_i_3_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_21 31)) + (portRef (member channel_data_i_3 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_21_1 (joined + (net channel_data_i_3_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_21 30)) + (portRef (member channel_data_i_3 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_21_2 (joined + (net channel_data_i_3_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_21 29)) + (portRef (member channel_data_i_3 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_21_3 (joined + (net channel_data_i_3_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_21 28)) + (portRef (member channel_data_i_3 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_21_4 (joined + (net channel_data_i_3_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_21 27)) + (portRef (member channel_data_i_3 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_21_5 (joined + (net channel_data_i_3_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_21 26)) + (portRef (member channel_data_i_3 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_21_6 (joined + (net channel_data_i_3_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_21 25)) + (portRef (member channel_data_i_3 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_21_7 (joined + (net channel_data_i_3_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_21 24)) + (portRef (member channel_data_i_3 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_21_8 (joined + (net channel_data_i_3_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_21 23)) + (portRef (member channel_data_i_3 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_21_9 (joined + (net channel_data_i_3_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_21 22)) + (portRef (member channel_data_i_3 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_21_10 (joined + (net channel_data_i_3_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_21 21)) + (portRef (member channel_data_i_3 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_21_11 (joined + (net channel_data_i_3_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_21 20)) + (portRef (member channel_data_i_3 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_21_12 (joined + (net channel_data_i_3_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_21 19)) + (portRef (member channel_data_i_3 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_21_13 (joined + (net channel_data_i_3_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_21 18)) + (portRef (member channel_data_i_3 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_21_14 (joined + (net channel_data_i_3_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_21 17)) + (portRef (member channel_data_i_3 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_21_15 (joined + (net channel_data_i_3_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_21 16)) + (portRef (member channel_data_i_3 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_21_16 (joined + (net channel_data_i_3_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_21 15)) + (portRef (member channel_data_i_3 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_21_17 (joined + (net channel_data_i_3_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_21 14)) + (portRef (member channel_data_i_3 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_21_18 (joined + (net channel_data_i_3_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_21 13)) + (portRef (member channel_data_i_3 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_21_19 (joined + (net channel_data_i_3_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_21 12)) + (portRef (member channel_data_i_3 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_21_20 (joined + (net channel_data_i_3_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_21 11)) + (portRef (member channel_data_i_3 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_21_21 (joined + (net channel_data_i_3_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_21 10)) + (portRef (member channel_data_i_3 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_21_22 (joined + (net channel_data_i_3_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_21 9)) + (portRef (member channel_data_i_3 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_21_23 (joined + (net channel_data_i_3_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_21 8)) + (portRef (member channel_data_i_3 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_21_24 (joined + (net channel_data_i_3_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_21 7)) + (portRef (member channel_data_i_3 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_21_25 (joined + (net channel_data_i_3_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_21 6)) + (portRef (member channel_data_i_3 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_21_26 (joined + (net channel_data_i_3_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_21 5)) + (portRef (member channel_data_i_3 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_21_27 (joined + (net channel_data_i_3_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_21 4)) + (portRef (member channel_data_i_3 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_21_28 (joined + (net channel_data_i_3_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_21 3)) + (portRef (member channel_data_i_3 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_21_29 (joined + (net channel_data_i_3_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_21 2)) + (portRef (member channel_data_i_3 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_21_30 (joined + (net channel_data_i_3_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_21 1)) + (portRef (member channel_data_i_3 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_21_31 (joined + (net channel_data_i_3_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_21 0)) + (portRef (member channel_data_i_3 0)) )) (net sync_q_CR0_ram_DO1_2 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -849868,8 +849760,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net reset_tdc_rep1 (joined - (portRef reset_tdc_rep1) + (net reset_tdc_fast_1 (joined + (portRef reset_tdc_fast_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -849991,11 +849883,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_5 (joined - (portRef reset_tdc_rep1_5) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) + (net reset_tdc_fast_6 (joined + (portRef reset_tdc_fast_6) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) @@ -850157,24 +850046,8 @@ (portRef (member result_i 267) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_36)) )) - (net result_i_37 (joined - (portRef (member result_i 266) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_37)) - )) - (net result_i_38 (joined - (portRef (member result_i 265) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_38)) - )) - (net result_i_39 (joined - (portRef (member result_i 264) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_39)) - )) - (net reset_tdc_rep1_6 (joined - (portRef reset_tdc_rep1_6) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) + (net reset_tdc_fast_7 (joined + (portRef reset_tdc_fast_7) (portRef B (instanceRef result_reg_3_0_i_133)) (portRef B (instanceRef result_reg_3_0_i_132)) (portRef B (instanceRef result_reg_3_0_i_131)) @@ -850271,6 +850144,21 @@ (portRef B (instanceRef result_reg_3_0_i_40)) (portRef B (instanceRef result_reg_3_0_i_39)) (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) + )) + (net result_i_37 (joined + (portRef (member result_i 266) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_37)) + )) + (net result_i_38 (joined + (portRef (member result_i 265) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_38)) + )) + (net result_i_39 (joined + (portRef (member result_i 264) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_39)) )) (net result_i_40 (joined (portRef (member result_i 263) (instanceRef FC)) @@ -850656,29 +850544,8 @@ (portRef (member result_i 168) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_135)) )) - (net result_i_136 (joined - (portRef (member result_i 167) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_136)) - )) - (net result_i_137 (joined - (portRef (member result_i 166) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_137)) - )) - (net result_i_138 (joined - (portRef (member result_i 165) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_138)) - )) - (net result_i_139 (joined - (portRef (member result_i 164) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_139)) - )) - (net reset_tdc_rep1_7 (joined - (portRef reset_tdc_rep1_7) - (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) + (net reset_tdc_fast_8 (joined + (portRef reset_tdc_fast_8) (portRef B (instanceRef result_reg_3_0_i_232)) (portRef B (instanceRef result_reg_3_0_i_231)) (portRef B (instanceRef result_reg_3_0_i_230)) @@ -850774,6 +850641,26 @@ (portRef B (instanceRef result_reg_3_0_i_140)) (portRef B (instanceRef result_reg_3_0_i_139)) (portRef B (instanceRef result_reg_3_0_i_138)) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) + )) + (net result_i_136 (joined + (portRef (member result_i 167) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_136)) + )) + (net result_i_137 (joined + (portRef (member result_i 166) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_137)) + )) + (net result_i_138 (joined + (portRef (member result_i 165) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_138)) + )) + (net result_i_139 (joined + (portRef (member result_i 164) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_139)) )) (net result_i_140 (joined (portRef (member result_i 163) (instanceRef FC)) @@ -851155,28 +851042,8 @@ (portRef (member result_i 69) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_234)) )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) - )) - (net result_i_239 (joined - (portRef (member result_i 64) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_239)) - )) - (net reset_tdc_rep1_8 (joined - (portRef reset_tdc_rep1_8) + (net reset_tdc_fast_9 (joined + (portRef reset_tdc_fast_9) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -851243,6 +851110,31 @@ (portRef B (instanceRef result_reg_3_0_i_240)) (portRef B (instanceRef result_reg_3_0_i_239)) (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) + )) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) + )) + (net result_i_239 (joined + (portRef (member result_i 64) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_239)) )) (net result_i_240 (joined (portRef (member result_i 63) (instanceRef FC)) @@ -851500,8 +851392,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_21_0 (joined - (portRef (member channel_debug_01_i_21 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_3_0 (joined + (portRef (member channel_debug_01_i_3 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -851528,9 +851420,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_21_2 (joined - (portRef (member channel_debug_01_i_21 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_21 0) (instanceRef FIFO)) + (net channel_debug_01_i_3_2 (joined + (portRef (member channel_debug_01_i_3 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_3 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -851595,63 +851487,6 @@ (net lost_hit_cntr_s_0_S1_2_23 (joined (portRef S1 (instanceRef lost_hit_cntr_s_0_23)) )) - (net un1_encoder_start_i_cry_0 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) - )) - (net un1_encoder_start_i_cry_0_0_S0_26 (joined - (portRef S0 (instanceRef un1_encoder_start_i_cry_0_0)) - )) - (net un1_encoder_start_i_cry_2 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_1_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_3_0)) - )) - (net un1_encoder_start_i_cry_4 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_3_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_5_0)) - )) - (net un1_encoder_start_i_cry_6 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_5_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_7_0)) - )) - (net un1_encoder_start_i_cry_8 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_7_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_9_0)) - )) - (net un1_encoder_start_i_cry_10 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_9_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_11_0)) - )) - (net un1_encoder_start_i_cry_12 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_11_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_13_0)) - )) - (net un1_encoder_start_i_cry_14 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_13_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_15_0)) - )) - (net un1_encoder_start_i_cry_16 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_15_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_17_0)) - )) - (net un1_encoder_start_i_cry_18 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_17_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_19_0)) - )) - (net un1_encoder_start_i_cry_20 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_19_0)) - (portRef CIN (instanceRef un1_encoder_start_i_cry_21_0)) - )) - (net un1_encoder_start_i_cry_22 (joined - (portRef COUT (instanceRef un1_encoder_start_i_cry_21_0)) - (portRef CIN (instanceRef un1_encoder_start_i_s_23_0)) - )) - (net un1_encoder_start_i_s_23_0_COUT_26 (joined - (portRef COUT (instanceRef un1_encoder_start_i_s_23_0)) - )) - (net un1_encoder_start_i_s_23_0_S1_26 (joined - (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) - )) (net un1_fifo_wr_en_i_cry_0 (joined (portRef COUT (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef CIN (instanceRef un1_fifo_wr_en_i_cry_1_0)) @@ -851709,23 +851544,80 @@ (net un1_fifo_wr_en_i_s_23_0_S1_26 (joined (portRef S1 (instanceRef un1_fifo_wr_en_i_s_23_0)) )) + (net un1_encoder_start_i_cry_0 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_0_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_1_0)) + )) + (net un1_encoder_start_i_cry_0_0_S0_26 (joined + (portRef S0 (instanceRef un1_encoder_start_i_cry_0_0)) + )) + (net un1_encoder_start_i_cry_2 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_1_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_3_0)) + )) + (net un1_encoder_start_i_cry_4 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_3_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_5_0)) + )) + (net un1_encoder_start_i_cry_6 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_5_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_7_0)) + )) + (net un1_encoder_start_i_cry_8 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_7_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_9_0)) + )) + (net un1_encoder_start_i_cry_10 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_9_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_11_0)) + )) + (net un1_encoder_start_i_cry_12 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_11_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_13_0)) + )) + (net un1_encoder_start_i_cry_14 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_13_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_15_0)) + )) + (net un1_encoder_start_i_cry_16 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_15_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_17_0)) + )) + (net un1_encoder_start_i_cry_18 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_17_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_19_0)) + )) + (net un1_encoder_start_i_cry_20 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_19_0)) + (portRef CIN (instanceRef un1_encoder_start_i_cry_21_0)) + )) + (net un1_encoder_start_i_cry_22 (joined + (portRef COUT (instanceRef un1_encoder_start_i_cry_21_0)) + (portRef CIN (instanceRef un1_encoder_start_i_s_23_0)) + )) + (net un1_encoder_start_i_s_23_0_COUT_26 (joined + (portRef COUT (instanceRef un1_encoder_start_i_s_23_0)) + )) + (net un1_encoder_start_i_s_23_0_S1_26 (joined + (portRef S1 (instanceRef un1_encoder_start_i_s_23_0)) + )) (net hit_buf (joined (portRef hit_buf (instanceRef FC)) (portRef Z (instanceRef hit_buf_RNO)) ) (property NOMERGE (string "true")) ) - (net reset_tdc_35 (joined - (portRef reset_tdc_35) - (portRef reset_tdc_35 (instanceRef FC)) + (net reset_tdc_90 (joined + (portRef reset_tdc_90) + (portRef reset_tdc_90 (instanceRef FC)) )) - (net reset_tdc_34 (joined - (portRef reset_tdc_34) - (portRef reset_tdc_34 (instanceRef FC)) + (net reset_tdc_89 (joined + (portRef reset_tdc_89) + (portRef reset_tdc_89 (instanceRef FC)) )) - (net reset_tdc_33 (joined - (portRef reset_tdc_33) - (portRef reset_tdc_33 (instanceRef FC)) + (net reset_tdc_88 (joined + (portRef reset_tdc_88) + (portRef reset_tdc_88 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -851767,35 +851659,51 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_36 (joined - (portRef reset_tdc_36) - (portRef reset_tdc_36 (instanceRef Encoder)) - (portRef reset_tdc_36 (instanceRef FC)) + (net reset_tdc_91 (joined + (portRef reset_tdc_91) + (portRef reset_tdc_91 (instanceRef Encoder)) + (portRef reset_tdc_91 (instanceRef FC)) )) - (net reset_tdc_fast_36_r30 (joined - (portRef reset_tdc_fast_36_r30) - (portRef reset_tdc_fast_36_r30 (instanceRef Encoder)) + (net reset_tdc_fast_36_r4 (joined + (portRef reset_tdc_fast_36_r4) + (portRef reset_tdc_fast_36_r4 (instanceRef Encoder)) )) - (net reset_tdc_rep1_36 (joined - (portRef reset_tdc_rep1_36) - (portRef reset_tdc_rep1_36 (instanceRef Encoder)) + (net reset_tdc_fast_37_r5 (joined + (portRef reset_tdc_fast_37_r5) + (portRef reset_tdc_fast_37_r5 (instanceRef Encoder)) )) - (net reset_tdc_rep1_35 (joined - (portRef reset_tdc_rep1_35) - (portRef reset_tdc_rep1_35 (instanceRef Encoder)) + (net reset_tdc_fast_37_r4 (joined + (portRef reset_tdc_fast_37_r4) + (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) + )) + (net reset_tdc_fast_37_r3 (joined + (portRef reset_tdc_fast_37_r3) + (portRef reset_tdc_fast_37_r3 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r7 (joined + (portRef reset_tdc_fast_36_r7) + (portRef reset_tdc_fast_36_r7 (instanceRef Encoder)) + )) + (net reset_tdc_fast_36_r5 (joined + (portRef reset_tdc_fast_36_r5) + (portRef reset_tdc_fast_36_r5 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI78LU)) + (portRef A (instanceRef result_2_reg_RNINE6H)) )) - (net rd_en_i_21 (joined + (net rd_en_i_3 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef reset_i_7 (instanceRef FIFO)) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef reset_i_18 (instanceRef FIFO)) + )) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef reset_i_17 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -851815,36 +851723,323 @@ (portRef G_1776_Q) (portRef G_1776_Q (instanceRef Edge_To_Pulse_Hit)) )) + (net stat_reg_445 (joined + (portRef (member stat_reg 23) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_445) + )) + (net stat_reg_446 (joined + (portRef (member stat_reg 22) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_446) + )) + (net stat_reg_447 (joined + (portRef (member stat_reg 21) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_447) + )) + (net stat_reg_448 (joined + (portRef (member stat_reg 20) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_448) + )) + (net stat_reg_449 (joined + (portRef (member stat_reg 19) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_449) + )) + (net stat_reg_450 (joined + (portRef (member stat_reg 18) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_450) + )) + (net stat_reg_451 (joined + (portRef (member stat_reg 17) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_451) + )) + (net stat_reg_452 (joined + (portRef (member stat_reg 16) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_452) + )) + (net stat_reg_453 (joined + (portRef (member stat_reg 15) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_453) + )) + (net stat_reg_454 (joined + (portRef (member stat_reg 14) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_454) + )) + (net stat_reg_455 (joined + (portRef (member stat_reg 13) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_455) + )) + (net stat_reg_456 (joined + (portRef (member stat_reg 12) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_456) + )) + (net stat_reg_457 (joined + (portRef (member stat_reg 11) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_457) + )) + (net stat_reg_458 (joined + (portRef (member stat_reg 10) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_458) + )) + (net stat_reg_459 (joined + (portRef (member stat_reg 9) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_459) + )) + (net stat_reg_460 (joined + (portRef (member stat_reg 8) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_460) + )) + (net stat_reg_461 (joined + (portRef (member stat_reg 7) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_461) + )) + (net stat_reg_462 (joined + (portRef (member stat_reg 6) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_462) + )) + (net stat_reg_463 (joined + (portRef (member stat_reg 5) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_463) + )) + (net stat_reg_464 (joined + (portRef (member stat_reg 4) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_464) + )) + (net stat_reg_465 (joined + (portRef (member stat_reg 3) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_465) + )) + (net stat_reg_466 (joined + (portRef (member stat_reg 2) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_466) + )) + (net stat_reg_467 (joined + (portRef (member stat_reg 1) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_467) + )) + (net stat_reg_468 (joined + (portRef (member stat_reg 0) (instanceRef Lost_Hit_Sync)) + (portRef stat_reg_468) + )) + (net stat_reg_509 (joined + (portRef (member stat_reg 23) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_509) + )) + (net stat_reg_510 (joined + (portRef (member stat_reg 22) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_510) + )) + (net stat_reg_511 (joined + (portRef (member stat_reg 21) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_511) + )) + (net stat_reg_512 (joined + (portRef (member stat_reg 20) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_512) + )) + (net stat_reg_513 (joined + (portRef (member stat_reg 19) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_513) + )) + (net stat_reg_514 (joined + (portRef (member stat_reg 18) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_514) + )) + (net stat_reg_515 (joined + (portRef (member stat_reg 17) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_515) + )) + (net stat_reg_516 (joined + (portRef (member stat_reg 16) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_516) + )) + (net stat_reg_517 (joined + (portRef (member stat_reg 15) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_517) + )) + (net stat_reg_518 (joined + (portRef (member stat_reg 14) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_518) + )) + (net stat_reg_519 (joined + (portRef (member stat_reg 13) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_519) + )) + (net stat_reg_520 (joined + (portRef (member stat_reg 12) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_520) + )) + (net stat_reg_521 (joined + (portRef (member stat_reg 11) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_521) + )) + (net stat_reg_522 (joined + (portRef (member stat_reg 10) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_522) + )) + (net stat_reg_523 (joined + (portRef (member stat_reg 9) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_523) + )) + (net stat_reg_524 (joined + (portRef (member stat_reg 8) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_524) + )) + (net stat_reg_525 (joined + (portRef (member stat_reg 7) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_525) + )) + (net stat_reg_526 (joined + (portRef (member stat_reg 6) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_526) + )) + (net stat_reg_527 (joined + (portRef (member stat_reg 5) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_527) + )) + (net stat_reg_528 (joined + (portRef (member stat_reg 4) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_528) + )) + (net stat_reg_529 (joined + (portRef (member stat_reg 3) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_529) + )) + (net stat_reg_530 (joined + (portRef (member stat_reg 2) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_530) + )) + (net stat_reg_531 (joined + (portRef (member stat_reg 1) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_531) + )) + (net stat_reg_532 (joined + (portRef (member stat_reg 0) (instanceRef Encoder_Start_Sync)) + (portRef stat_reg_532) + )) + (net stat_reg_477 (joined + (portRef (member stat_reg 23) (instanceRef Measurement_Sync)) + (portRef stat_reg_477) + )) + (net stat_reg_478 (joined + (portRef (member stat_reg 22) (instanceRef Measurement_Sync)) + (portRef stat_reg_478) + )) + (net stat_reg_479 (joined + (portRef (member stat_reg 21) (instanceRef Measurement_Sync)) + (portRef stat_reg_479) + )) + (net stat_reg_480 (joined + (portRef (member stat_reg 20) (instanceRef Measurement_Sync)) + (portRef stat_reg_480) + )) + (net stat_reg_481 (joined + (portRef (member stat_reg 19) (instanceRef Measurement_Sync)) + (portRef stat_reg_481) + )) + (net stat_reg_482 (joined + (portRef (member stat_reg 18) (instanceRef Measurement_Sync)) + (portRef stat_reg_482) + )) + (net stat_reg_483 (joined + (portRef (member stat_reg 17) (instanceRef Measurement_Sync)) + (portRef stat_reg_483) + )) + (net stat_reg_484 (joined + (portRef (member stat_reg 16) (instanceRef Measurement_Sync)) + (portRef stat_reg_484) + )) + (net stat_reg_485 (joined + (portRef (member stat_reg 15) (instanceRef Measurement_Sync)) + (portRef stat_reg_485) + )) + (net stat_reg_486 (joined + (portRef (member stat_reg 14) (instanceRef Measurement_Sync)) + (portRef stat_reg_486) + )) + (net stat_reg_487 (joined + (portRef (member stat_reg 13) (instanceRef Measurement_Sync)) + (portRef stat_reg_487) + )) + (net stat_reg_488 (joined + (portRef (member stat_reg 12) (instanceRef Measurement_Sync)) + (portRef stat_reg_488) + )) + (net stat_reg_489 (joined + (portRef (member stat_reg 11) (instanceRef Measurement_Sync)) + (portRef stat_reg_489) + )) + (net stat_reg_490 (joined + (portRef (member stat_reg 10) (instanceRef Measurement_Sync)) + (portRef stat_reg_490) + )) + (net stat_reg_491 (joined + (portRef (member stat_reg 9) (instanceRef Measurement_Sync)) + (portRef stat_reg_491) + )) + (net stat_reg_492 (joined + (portRef (member stat_reg 8) (instanceRef Measurement_Sync)) + (portRef stat_reg_492) + )) + (net stat_reg_493 (joined + (portRef (member stat_reg 7) (instanceRef Measurement_Sync)) + (portRef stat_reg_493) + )) + (net stat_reg_494 (joined + (portRef (member stat_reg 6) (instanceRef Measurement_Sync)) + (portRef stat_reg_494) + )) + (net stat_reg_495 (joined + (portRef (member stat_reg 5) (instanceRef Measurement_Sync)) + (portRef stat_reg_495) + )) + (net stat_reg_496 (joined + (portRef (member stat_reg 4) (instanceRef Measurement_Sync)) + (portRef stat_reg_496) + )) + (net stat_reg_497 (joined + (portRef (member stat_reg 3) (instanceRef Measurement_Sync)) + (portRef stat_reg_497) + )) + (net stat_reg_498 (joined + (portRef (member stat_reg 2) (instanceRef Measurement_Sync)) + (portRef stat_reg_498) + )) + (net stat_reg_499 (joined + (portRef (member stat_reg 1) (instanceRef Measurement_Sync)) + (portRef stat_reg_499) + )) + (net stat_reg_500 (joined + (portRef (member stat_reg 0) (instanceRef Measurement_Sync)) + (portRef stat_reg_500) + )) ) ) ) - (cell Channel_4 (cellType GENERIC) + (cell Channel_8 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(4:4)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(4:4)") 1) (direction INPUT)) - (port (array (rename channel_data_i_4 "channel_data_i_4(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(36:36)") 1) (direction OUTPUT)) - (port (array (rename channel_full_i "channel_full_i(4:4)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(8:8)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(8:8)") 1) (direction INPUT)) + (port (array (rename channel_data_i_8 "channel_data_i_8(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(40:40)") 1) (direction OUTPUT)) + (port (array (rename channel_full_i "channel_full_i(8:8)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1785_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_16 (direction INPUT)) - (port reset_tdc_fast_36_r7 (direction INPUT)) - (port reset_tdc_fast_36_r9 (direction INPUT)) - (port reset_tdc_fast_37_r4 (direction INPUT)) - (port reset_tdc_fast_36_r6 (direction INPUT)) - (port reset_tdc_85 (direction INPUT)) - (port reset_tdc_86 (direction INPUT)) - (port reset_tdc_87 (direction INPUT)) - (port reset_tdc_88 (direction INPUT)) + (port reset_i_15 (direction INPUT)) + (port reset_tdc_fast_36_r15 (direction INPUT)) + (port reset_tdc_fast_37_r8 (direction INPUT)) + (port reset_tdc_fast_36_r14 (direction INPUT)) + (port reset_tdc_73 (direction INPUT)) + (port reset_tdc_74 (direction INPUT)) + (port reset_tdc_75 (direction INPUT)) + (port reset_tdc_76 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_9 (direction INPUT)) - (port reset_tdc_fast_8 (direction INPUT)) - (port reset_tdc_fast_7 (direction INPUT)) (port reset_tdc_fast_6 (direction INPUT)) + (port reset_tdc_fast_5 (direction INPUT)) + (port reset_tdc_fast_4 (direction INPUT)) + (port reset_tdc_fast_3 (direction INPUT)) (port reset_tdc_fast_1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) @@ -851859,7 +852054,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295823_0 (direction OUTPUT)) + (port N_296121_0 (direction OUTPUT)) (port G_1783_Q (direction INPUT)) (port sync_q_and_1 (direction OUTPUT)) (port G_1780_Q (direction INPUT)) @@ -851868,14 +852063,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNISLBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI4MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNISLBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI4MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNISLBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI4MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -853723,7 +853918,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNIPE6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI1F6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -853979,32 +854174,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0)) )) (net tmp1_1_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI4E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI8E75_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNIPE6H)) + (portRef B (instanceRef result_2_reg_RNI1F6H)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNISLBG_1)) - (portRef B (instanceRef result_2_reg_RNISLBG_0)) - (portRef B (instanceRef result_2_reg_RNISLBG)) + (portRef B (instanceRef result_2_reg_RNI4MBG_1)) + (portRef B (instanceRef result_2_reg_RNI4MBG_0)) + (portRef B (instanceRef result_2_reg_RNI4MBG)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNIPE6H)) + (portRef C (instanceRef result_2_reg_RNI1F6H)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNISLBG_1)) - (portRef C (instanceRef result_2_reg_RNISLBG_0)) - (portRef C (instanceRef result_2_reg_RNISLBG)) + (portRef C (instanceRef result_2_reg_RNI4MBG_1)) + (portRef C (instanceRef result_2_reg_RNI4MBG_0)) + (portRef C (instanceRef result_2_reg_RNI4MBG)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNISLBG)) + (portRef Z (instanceRef result_2_reg_RNI4MBG)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -854083,9 +854278,12 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNISLBG_1)) - (portRef A (instanceRef result_2_reg_RNISLBG_0)) - (portRef A (instanceRef result_2_reg_RNISLBG)) + (portRef B (instanceRef lost_hit_cntr_lm_0_2)) + (portRef B (instanceRef lost_hit_cntr_lm_0_1)) + (portRef B (instanceRef lost_hit_cntr_lm_0_0)) + (portRef A (instanceRef result_2_reg_RNI4MBG_1)) + (portRef A (instanceRef result_2_reg_RNI4MBG_0)) + (portRef A (instanceRef result_2_reg_RNI4MBG)) )) (net GND (joined (portRef GND) @@ -854240,7 +854438,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNISLBG_0)) + (portRef Z (instanceRef result_2_reg_RNI4MBG_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -854318,7 +854516,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNISLBG_1)) + (portRef Z (instanceRef result_2_reg_RNI4MBG_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -854405,9 +854603,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295823_0 (joined + (net N_296121_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295823_0) + (portRef N_296121_0) )) (net tmp1_1_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -855059,7 +855257,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNIPE6H)) + (portRef Z (instanceRef result_2_reg_RNI1F6H)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -857972,9 +858170,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_4_1 (joined + (net channel_debug_01_i_8_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_4 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_8 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_1 (joined @@ -858173,7 +858371,7 @@ (portRef fifo_full_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_FULL_OUT)) )) - (net channel_full_i_4 (joined + (net channel_full_i_8 (joined (portRef Q (instanceRef FIFO_FULL_OUT)) (portRef (member channel_full_i 0)) )) @@ -858256,7 +858454,7 @@ (portRef CD (instanceRef FIFO_EMPTY_OUT)) (portRef CD (instanceRef FIFO_FULL_OUT)) )) - (net stat_reg_36 (joined + (net stat_reg_40 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -858264,257 +858462,257 @@ (portRef (member fifo_data_out_i 31) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_0)) )) - (net channel_data_i_4_0 (joined + (net channel_data_i_8_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_4 31)) + (portRef (member channel_data_i_8 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_4_1 (joined + (net channel_data_i_8_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_4 30)) + (portRef (member channel_data_i_8 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_4_2 (joined + (net channel_data_i_8_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_4 29)) + (portRef (member channel_data_i_8 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_4_3 (joined + (net channel_data_i_8_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_4 28)) + (portRef (member channel_data_i_8 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_4_4 (joined + (net channel_data_i_8_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_4 27)) + (portRef (member channel_data_i_8 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_4_5 (joined + (net channel_data_i_8_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_4 26)) + (portRef (member channel_data_i_8 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_4_6 (joined + (net channel_data_i_8_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_4 25)) + (portRef (member channel_data_i_8 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_4_7 (joined + (net channel_data_i_8_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_4 24)) + (portRef (member channel_data_i_8 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_4_8 (joined + (net channel_data_i_8_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_4 23)) + (portRef (member channel_data_i_8 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_4_9 (joined + (net channel_data_i_8_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_4 22)) + (portRef (member channel_data_i_8 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_4_10 (joined + (net channel_data_i_8_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_4 21)) + (portRef (member channel_data_i_8 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_4_11 (joined + (net channel_data_i_8_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_4 20)) + (portRef (member channel_data_i_8 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_4_12 (joined + (net channel_data_i_8_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_4 19)) + (portRef (member channel_data_i_8 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_4_13 (joined + (net channel_data_i_8_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_4 18)) + (portRef (member channel_data_i_8 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_4_14 (joined + (net channel_data_i_8_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_4 17)) + (portRef (member channel_data_i_8 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_4_15 (joined + (net channel_data_i_8_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_4 16)) + (portRef (member channel_data_i_8 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_4_16 (joined + (net channel_data_i_8_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_4 15)) + (portRef (member channel_data_i_8 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_4_17 (joined + (net channel_data_i_8_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_4 14)) + (portRef (member channel_data_i_8 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_4_18 (joined + (net channel_data_i_8_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_4 13)) + (portRef (member channel_data_i_8 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_4_19 (joined + (net channel_data_i_8_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_4 12)) + (portRef (member channel_data_i_8 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_4_20 (joined + (net channel_data_i_8_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_4 11)) + (portRef (member channel_data_i_8 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_4_21 (joined + (net channel_data_i_8_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_4 10)) + (portRef (member channel_data_i_8 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_4_22 (joined + (net channel_data_i_8_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_4 9)) + (portRef (member channel_data_i_8 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_4_23 (joined + (net channel_data_i_8_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_4 8)) + (portRef (member channel_data_i_8 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_4_24 (joined + (net channel_data_i_8_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_4 7)) + (portRef (member channel_data_i_8 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_4_25 (joined + (net channel_data_i_8_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_4 6)) + (portRef (member channel_data_i_8 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_4_26 (joined + (net channel_data_i_8_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_4 5)) + (portRef (member channel_data_i_8 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_4_27 (joined + (net channel_data_i_8_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_4 4)) + (portRef (member channel_data_i_8 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_4_28 (joined + (net channel_data_i_8_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_4 3)) + (portRef (member channel_data_i_8 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_4_29 (joined + (net channel_data_i_8_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_4 2)) + (portRef (member channel_data_i_8 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_4_30 (joined + (net channel_data_i_8_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_4 1)) + (portRef (member channel_data_i_8 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_4_31 (joined + (net channel_data_i_8_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_4 0)) + (portRef (member channel_data_i_8 0)) )) (net sync_q_CR0_ram_DO1_1 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -858533,6 +858731,18 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) + (net lost_hit_cntr_s_2 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) + (portRef A (instanceRef lost_hit_cntr_lm_0_2)) + )) + (net lost_hit_cntr_s_3 (joined + (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_3)) + )) + (net lost_hit_cntr_s_4 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_4)) + )) (net reset_tdc_fast_1 (joined (portRef reset_tdc_fast_1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) @@ -858556,21 +858766,6 @@ (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) - (portRef B (instanceRef lost_hit_cntr_lm_0_2)) - (portRef B (instanceRef lost_hit_cntr_lm_0_1)) - (portRef B (instanceRef lost_hit_cntr_lm_0_0)) - )) - (net lost_hit_cntr_s_2 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) - (portRef A (instanceRef lost_hit_cntr_lm_0_2)) - )) - (net lost_hit_cntr_s_3 (joined - (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_3)) - )) - (net lost_hit_cntr_s_4 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_4)) )) (net lost_hit_cntr_s_5 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) @@ -858656,8 +858851,12 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_6 (joined - (portRef reset_tdc_fast_6) + (net reset_tdc_fast_3 (joined + (portRef reset_tdc_fast_3) + (portRef B (instanceRef result_reg_3_0_i_38)) + (portRef B (instanceRef result_reg_3_0_i_37)) + (portRef B (instanceRef result_reg_3_0_i_36)) + (portRef B (instanceRef result_reg_3_0_i_35)) (portRef B (instanceRef result_reg_3_0_i_34)) (portRef B (instanceRef result_reg_3_0_i_33)) (portRef B (instanceRef result_reg_3_0_i_32)) @@ -858819,8 +859018,28 @@ (portRef (member result_i 267) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_36)) )) - (net reset_tdc_fast_7 (joined - (portRef reset_tdc_fast_7) + (net result_i_37 (joined + (portRef (member result_i 266) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_37)) + )) + (net result_i_38 (joined + (portRef (member result_i 265) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_38)) + )) + (net result_i_39 (joined + (portRef (member result_i 264) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_39)) + )) + (net result_i_40 (joined + (portRef (member result_i 263) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_40)) + )) + (net reset_tdc_fast_4 (joined + (portRef reset_tdc_fast_4) + (portRef B (instanceRef result_reg_3_0_i_137)) + (portRef B (instanceRef result_reg_3_0_i_136)) + (portRef B (instanceRef result_reg_3_0_i_135)) + (portRef B (instanceRef result_reg_3_0_i_134)) (portRef B (instanceRef result_reg_3_0_i_133)) (portRef B (instanceRef result_reg_3_0_i_132)) (portRef B (instanceRef result_reg_3_0_i_131)) @@ -858916,26 +859135,6 @@ (portRef B (instanceRef result_reg_3_0_i_41)) (portRef B (instanceRef result_reg_3_0_i_40)) (portRef B (instanceRef result_reg_3_0_i_39)) - (portRef B (instanceRef result_reg_3_0_i_38)) - (portRef B (instanceRef result_reg_3_0_i_37)) - (portRef B (instanceRef result_reg_3_0_i_36)) - (portRef B (instanceRef result_reg_3_0_i_35)) - )) - (net result_i_37 (joined - (portRef (member result_i 266) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_37)) - )) - (net result_i_38 (joined - (portRef (member result_i 265) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_38)) - )) - (net result_i_39 (joined - (portRef (member result_i 264) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_39)) - )) - (net result_i_40 (joined - (portRef (member result_i 263) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_40)) )) (net result_i_41 (joined (portRef (member result_i 262) (instanceRef FC)) @@ -859317,8 +859516,28 @@ (portRef (member result_i 168) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_135)) )) - (net reset_tdc_fast_8 (joined - (portRef reset_tdc_fast_8) + (net result_i_136 (joined + (portRef (member result_i 167) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_136)) + )) + (net result_i_137 (joined + (portRef (member result_i 166) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_137)) + )) + (net result_i_138 (joined + (portRef (member result_i 165) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_138)) + )) + (net result_i_139 (joined + (portRef (member result_i 164) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_139)) + )) + (net reset_tdc_fast_5 (joined + (portRef reset_tdc_fast_5) + (portRef B (instanceRef result_reg_3_0_i_236)) + (portRef B (instanceRef result_reg_3_0_i_235)) + (portRef B (instanceRef result_reg_3_0_i_234)) + (portRef B (instanceRef result_reg_3_0_i_233)) (portRef B (instanceRef result_reg_3_0_i_232)) (portRef B (instanceRef result_reg_3_0_i_231)) (portRef B (instanceRef result_reg_3_0_i_230)) @@ -859414,26 +859633,6 @@ (portRef B (instanceRef result_reg_3_0_i_140)) (portRef B (instanceRef result_reg_3_0_i_139)) (portRef B (instanceRef result_reg_3_0_i_138)) - (portRef B (instanceRef result_reg_3_0_i_137)) - (portRef B (instanceRef result_reg_3_0_i_136)) - (portRef B (instanceRef result_reg_3_0_i_135)) - (portRef B (instanceRef result_reg_3_0_i_134)) - )) - (net result_i_136 (joined - (portRef (member result_i 167) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_136)) - )) - (net result_i_137 (joined - (portRef (member result_i 166) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_137)) - )) - (net result_i_138 (joined - (portRef (member result_i 165) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_138)) - )) - (net result_i_139 (joined - (portRef (member result_i 164) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_139)) )) (net result_i_140 (joined (portRef (member result_i 163) (instanceRef FC)) @@ -859815,8 +860014,24 @@ (portRef (member result_i 69) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_234)) )) - (net reset_tdc_fast_9 (joined - (portRef reset_tdc_fast_9) + (net result_i_235 (joined + (portRef (member result_i 68) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_235)) + )) + (net result_i_236 (joined + (portRef (member result_i 67) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_236)) + )) + (net result_i_237 (joined + (portRef (member result_i 66) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_237)) + )) + (net result_i_238 (joined + (portRef (member result_i 65) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_238)) + )) + (net reset_tdc_fast_6 (joined + (portRef reset_tdc_fast_6) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -859884,26 +860099,6 @@ (portRef B (instanceRef result_reg_3_0_i_239)) (portRef B (instanceRef result_reg_3_0_i_238)) (portRef B (instanceRef result_reg_3_0_i_237)) - (portRef B (instanceRef result_reg_3_0_i_236)) - (portRef B (instanceRef result_reg_3_0_i_235)) - (portRef B (instanceRef result_reg_3_0_i_234)) - (portRef B (instanceRef result_reg_3_0_i_233)) - )) - (net result_i_235 (joined - (portRef (member result_i 68) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_235)) - )) - (net result_i_236 (joined - (portRef (member result_i 67) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_236)) - )) - (net result_i_237 (joined - (portRef (member result_i 66) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_238 (joined - (portRef (member result_i 65) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_238)) )) (net result_i_239 (joined (portRef (member result_i 64) (instanceRef FC)) @@ -860165,8 +860360,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_4_0 (joined - (portRef (member channel_debug_01_i_4 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_8_0 (joined + (portRef (member channel_debug_01_i_8 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -860193,9 +860388,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_4_2 (joined - (portRef (member channel_debug_01_i_4 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_4 0) (instanceRef FIFO)) + (net channel_debug_01_i_8_2 (joined + (portRef (member channel_debug_01_i_8 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_8 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -860380,17 +860575,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_87 (joined - (portRef reset_tdc_87) - (portRef reset_tdc_87 (instanceRef FC)) + (net reset_tdc_75 (joined + (portRef reset_tdc_75) + (portRef reset_tdc_75 (instanceRef FC)) )) - (net reset_tdc_86 (joined - (portRef reset_tdc_86) - (portRef reset_tdc_86 (instanceRef FC)) + (net reset_tdc_74 (joined + (portRef reset_tdc_74) + (portRef reset_tdc_74 (instanceRef FC)) )) - (net reset_tdc_85 (joined - (portRef reset_tdc_85) - (portRef reset_tdc_85 (instanceRef FC)) + (net reset_tdc_73 (joined + (portRef reset_tdc_73) + (portRef reset_tdc_73 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -860432,39 +860627,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_88 (joined - (portRef reset_tdc_88) - (portRef reset_tdc_88 (instanceRef Encoder)) - (portRef reset_tdc_88 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r6 (joined - (portRef reset_tdc_fast_36_r6) - (portRef reset_tdc_fast_36_r6 (instanceRef Encoder)) + (net reset_tdc_76 (joined + (portRef reset_tdc_76) + (portRef reset_tdc_76 (instanceRef Encoder)) + (portRef reset_tdc_76 (instanceRef FC)) )) - (net reset_tdc_fast_37_r4 (joined - (portRef reset_tdc_fast_37_r4) - (portRef reset_tdc_fast_37_r4 (instanceRef Encoder)) + (net reset_tdc_fast_36_r14 (joined + (portRef reset_tdc_fast_36_r14) + (portRef reset_tdc_fast_36_r14 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r9 (joined - (portRef reset_tdc_fast_36_r9) - (portRef reset_tdc_fast_36_r9 (instanceRef Encoder)) + (net reset_tdc_fast_37_r8 (joined + (portRef reset_tdc_fast_37_r8) + (portRef reset_tdc_fast_37_r8 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r7 (joined - (portRef reset_tdc_fast_36_r7) - (portRef reset_tdc_fast_36_r7 (instanceRef Encoder)) + (net reset_tdc_fast_36_r15 (joined + (portRef reset_tdc_fast_36_r15) + (portRef reset_tdc_fast_36_r15 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNIPE6H)) + (portRef A (instanceRef result_2_reg_RNI1F6H)) )) - (net rd_en_i_4 (joined + (net rd_en_i_8 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_16 (joined - (portRef reset_i_16) - (portRef reset_i_16 (instanceRef FIFO)) + (net reset_i_15 (joined + (portRef reset_i_15) + (portRef reset_i_15 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -860487,31 +860678,32 @@ ) ) ) - (cell Channel_19 (cellType GENERIC) + (cell Channel_20 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(19:19)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(19:19)") 1) (direction INPUT)) - (port (array (rename channel_data_i_19 "channel_data_i_19(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(51:51)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(20:20)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(20:20)") 1) (direction INPUT)) + (port (array (rename channel_data_i_20 "channel_data_i_20(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(52:52)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1794_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port reset_i_8 (direction INPUT)) + (port reset_i_9 (direction INPUT)) (port reset_tdc_rep1_35 (direction INPUT)) (port reset_tdc_rep1_36 (direction INPUT)) - (port reset_tdc_fast_36_r28 (direction INPUT)) + (port reset_tdc_fast_36_r29 (direction INPUT)) + (port reset_tdc_36 (direction INPUT)) + (port reset_tdc_37 (direction INPUT)) + (port reset_tdc_38 (direction INPUT)) (port reset_tdc_39 (direction INPUT)) - (port reset_tdc_40 (direction INPUT)) - (port reset_tdc_41 (direction INPUT)) - (port reset_tdc_42 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) + (port reset_tdc_rep1_8 (direction INPUT)) + (port reset_tdc_rep1_7 (direction INPUT)) + (port reset_tdc_rep1_6 (direction INPUT)) (port reset_tdc_rep1_5 (direction INPUT)) - (port reset_tdc_rep1_4 (direction INPUT)) - (port reset_tdc_rep1_3 (direction INPUT)) - (port reset_tdc_rep1_2 (direction INPUT)) (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) @@ -860526,7 +860718,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295836_0 (direction OUTPUT)) + (port N_296134_0 (direction OUTPUT)) (port G_1792_Q (direction INPUT)) (port sync_q_and_0 (direction OUTPUT)) (port G_1789_Q (direction INPUT)) @@ -860535,14 +860727,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI8M3U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNIEAPN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI8M3U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIEAPN_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI8M3U_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIEAPN_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -862388,7 +862580,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI5FUU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNIB3KO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -862644,32 +862836,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0)) )) (net tmp1_0_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIAI6C_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI5FUU)) + (portRef B (instanceRef result_2_reg_RNIB3KO)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI8M3U_1)) - (portRef B (instanceRef result_2_reg_RNI8M3U_0)) - (portRef B (instanceRef result_2_reg_RNI8M3U)) + (portRef B (instanceRef result_2_reg_RNIEAPN_1)) + (portRef B (instanceRef result_2_reg_RNIEAPN_0)) + (portRef B (instanceRef result_2_reg_RNIEAPN)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI5FUU)) + (portRef C (instanceRef result_2_reg_RNIB3KO)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI8M3U_1)) - (portRef C (instanceRef result_2_reg_RNI8M3U_0)) - (portRef C (instanceRef result_2_reg_RNI8M3U)) + (portRef C (instanceRef result_2_reg_RNIEAPN_1)) + (portRef C (instanceRef result_2_reg_RNIEAPN_0)) + (portRef C (instanceRef result_2_reg_RNIEAPN)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI8M3U)) + (portRef Z (instanceRef result_2_reg_RNIEAPN)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -862748,9 +862940,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef A (instanceRef result_2_reg_RNI8M3U_1)) - (portRef A (instanceRef result_2_reg_RNI8M3U_0)) - (portRef A (instanceRef result_2_reg_RNI8M3U)) + (portRef A (instanceRef result_2_reg_RNIEAPN_1)) + (portRef A (instanceRef result_2_reg_RNIEAPN_0)) + (portRef A (instanceRef result_2_reg_RNIEAPN)) )) (net GND (joined (portRef GND) @@ -862905,7 +863097,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI8M3U_0)) + (portRef Z (instanceRef result_2_reg_RNIEAPN_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -862983,7 +863175,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI8M3U_1)) + (portRef Z (instanceRef result_2_reg_RNIEAPN_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -863070,9 +863262,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295836_0 (joined + (net N_296134_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295836_0) + (portRef N_296134_0) )) (net tmp1_0_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -863724,7 +863916,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI5FUU)) + (portRef Z (instanceRef result_2_reg_RNIB3KO)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -866637,9 +866829,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_19_1 (joined + (net channel_debug_01_i_20_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_19 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_20 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1_0 (joined @@ -866838,7 +867030,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_51 (joined + (net stat_reg_52 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -866919,257 +867111,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_19_0 (joined + (net channel_data_i_20_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_19 31)) + (portRef (member channel_data_i_20 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_19_1 (joined + (net channel_data_i_20_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_19 30)) + (portRef (member channel_data_i_20 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_19_2 (joined + (net channel_data_i_20_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_19 29)) + (portRef (member channel_data_i_20 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_19_3 (joined + (net channel_data_i_20_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_19 28)) + (portRef (member channel_data_i_20 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_19_4 (joined + (net channel_data_i_20_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_19 27)) + (portRef (member channel_data_i_20 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_19_5 (joined + (net channel_data_i_20_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_19 26)) + (portRef (member channel_data_i_20 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_19_6 (joined + (net channel_data_i_20_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_19 25)) + (portRef (member channel_data_i_20 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_19_7 (joined + (net channel_data_i_20_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_19 24)) + (portRef (member channel_data_i_20 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_19_8 (joined + (net channel_data_i_20_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_19 23)) + (portRef (member channel_data_i_20 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_19_9 (joined + (net channel_data_i_20_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_19 22)) + (portRef (member channel_data_i_20 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_19_10 (joined + (net channel_data_i_20_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_19 21)) + (portRef (member channel_data_i_20 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_19_11 (joined + (net channel_data_i_20_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_19 20)) + (portRef (member channel_data_i_20 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_19_12 (joined + (net channel_data_i_20_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_19 19)) + (portRef (member channel_data_i_20 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_19_13 (joined + (net channel_data_i_20_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_19 18)) + (portRef (member channel_data_i_20 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_19_14 (joined + (net channel_data_i_20_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_19 17)) + (portRef (member channel_data_i_20 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_19_15 (joined + (net channel_data_i_20_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_19 16)) + (portRef (member channel_data_i_20 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_19_16 (joined + (net channel_data_i_20_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_19 15)) + (portRef (member channel_data_i_20 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_19_17 (joined + (net channel_data_i_20_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_19 14)) + (portRef (member channel_data_i_20 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_19_18 (joined + (net channel_data_i_20_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_19 13)) + (portRef (member channel_data_i_20 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_19_19 (joined + (net channel_data_i_20_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_19 12)) + (portRef (member channel_data_i_20 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_19_20 (joined + (net channel_data_i_20_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_19 11)) + (portRef (member channel_data_i_20 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_19_21 (joined + (net channel_data_i_20_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_19 10)) + (portRef (member channel_data_i_20 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_19_22 (joined + (net channel_data_i_20_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_19 9)) + (portRef (member channel_data_i_20 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_19_23 (joined + (net channel_data_i_20_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_19 8)) + (portRef (member channel_data_i_20 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_19_24 (joined + (net channel_data_i_20_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_19 7)) + (portRef (member channel_data_i_20 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_19_25 (joined + (net channel_data_i_20_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_19 6)) + (portRef (member channel_data_i_20 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_19_26 (joined + (net channel_data_i_20_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_19 5)) + (portRef (member channel_data_i_20 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_19_27 (joined + (net channel_data_i_20_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_19 4)) + (portRef (member channel_data_i_20 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_19_28 (joined + (net channel_data_i_20_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_19 3)) + (portRef (member channel_data_i_20 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_19_29 (joined + (net channel_data_i_20_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_19 2)) + (portRef (member channel_data_i_20 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_19_30 (joined + (net channel_data_i_20_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_19 1)) + (portRef (member channel_data_i_20 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_19_31 (joined + (net channel_data_i_20_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_19 0)) + (portRef (member channel_data_i_20 0)) )) (net sync_q_CR0_ram_DO1_0 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -867311,9 +867503,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_rep1_2 (joined - (portRef reset_tdc_rep1_2) - (portRef B (instanceRef result_reg_3_0_i_38)) + (net reset_tdc_rep1_5 (joined + (portRef reset_tdc_rep1_5) (portRef B (instanceRef result_reg_3_0_i_37)) (portRef B (instanceRef result_reg_3_0_i_36)) (portRef B (instanceRef result_reg_3_0_i_35)) @@ -867490,13 +867681,8 @@ (portRef (member result_i 264) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_39)) )) - (net result_i_40 (joined - (portRef (member result_i 263) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_40)) - )) - (net reset_tdc_rep1_3 (joined - (portRef reset_tdc_rep1_3) - (portRef B (instanceRef result_reg_3_0_i_138)) + (net reset_tdc_rep1_6 (joined + (portRef reset_tdc_rep1_6) (portRef B (instanceRef result_reg_3_0_i_137)) (portRef B (instanceRef result_reg_3_0_i_136)) (portRef B (instanceRef result_reg_3_0_i_135)) @@ -867596,6 +867782,11 @@ (portRef B (instanceRef result_reg_3_0_i_41)) (portRef B (instanceRef result_reg_3_0_i_40)) (portRef B (instanceRef result_reg_3_0_i_39)) + (portRef B (instanceRef result_reg_3_0_i_38)) + )) + (net result_i_40 (joined + (portRef (member result_i 263) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_40)) )) (net result_i_41 (joined (portRef (member result_i 262) (instanceRef FC)) @@ -867993,13 +868184,8 @@ (portRef (member result_i 164) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_139)) )) - (net result_i_140 (joined - (portRef (member result_i 163) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_140)) - )) - (net reset_tdc_rep1_4 (joined - (portRef reset_tdc_rep1_4) - (portRef B (instanceRef result_reg_3_0_i_238)) + (net reset_tdc_rep1_7 (joined + (portRef reset_tdc_rep1_7) (portRef B (instanceRef result_reg_3_0_i_237)) (portRef B (instanceRef result_reg_3_0_i_236)) (portRef B (instanceRef result_reg_3_0_i_235)) @@ -868099,6 +868285,11 @@ (portRef B (instanceRef result_reg_3_0_i_141)) (portRef B (instanceRef result_reg_3_0_i_140)) (portRef B (instanceRef result_reg_3_0_i_139)) + (portRef B (instanceRef result_reg_3_0_i_138)) + )) + (net result_i_140 (joined + (portRef (member result_i 163) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_140)) )) (net result_i_141 (joined (portRef (member result_i 162) (instanceRef FC)) @@ -868496,12 +868687,8 @@ (portRef (member result_i 64) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_239)) )) - (net result_i_240 (joined - (portRef (member result_i 63) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_240)) - )) - (net reset_tdc_rep1_5 (joined - (portRef reset_tdc_rep1_5) + (net reset_tdc_rep1_8 (joined + (portRef reset_tdc_rep1_8) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -868567,6 +868754,11 @@ (portRef B (instanceRef result_reg_3_0_i_241)) (portRef B (instanceRef result_reg_3_0_i_240)) (portRef B (instanceRef result_reg_3_0_i_239)) + (portRef B (instanceRef result_reg_3_0_i_238)) + )) + (net result_i_240 (joined + (portRef (member result_i 63) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_240)) )) (net result_i_241 (joined (portRef (member result_i 62) (instanceRef FC)) @@ -868820,8 +869012,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_19_0 (joined - (portRef (member channel_debug_01_i_19 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_20_0 (joined + (portRef (member channel_debug_01_i_20 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -868848,9 +869040,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_19_2 (joined - (portRef (member channel_debug_01_i_19 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_19 0) (instanceRef FIFO)) + (net channel_debug_01_i_20_2 (joined + (portRef (member channel_debug_01_i_20 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_20 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -869035,17 +869227,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_41 (joined - (portRef reset_tdc_41) - (portRef reset_tdc_41 (instanceRef FC)) + (net reset_tdc_38 (joined + (portRef reset_tdc_38) + (portRef reset_tdc_38 (instanceRef FC)) )) - (net reset_tdc_40 (joined - (portRef reset_tdc_40) - (portRef reset_tdc_40 (instanceRef FC)) + (net reset_tdc_37 (joined + (portRef reset_tdc_37) + (portRef reset_tdc_37 (instanceRef FC)) )) - (net reset_tdc_39 (joined - (portRef reset_tdc_39) - (portRef reset_tdc_39 (instanceRef FC)) + (net reset_tdc_36 (joined + (portRef reset_tdc_36) + (portRef reset_tdc_36 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -869087,14 +869279,14 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_42 (joined - (portRef reset_tdc_42) - (portRef reset_tdc_42 (instanceRef Encoder)) - (portRef reset_tdc_42 (instanceRef FC)) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) + (portRef reset_tdc_39 (instanceRef Encoder)) + (portRef reset_tdc_39 (instanceRef FC)) )) - (net reset_tdc_fast_36_r28 (joined - (portRef reset_tdc_fast_36_r28) - (portRef reset_tdc_fast_36_r28 (instanceRef Encoder)) + (net reset_tdc_fast_36_r29 (joined + (portRef reset_tdc_fast_36_r29) + (portRef reset_tdc_fast_36_r29 (instanceRef Encoder)) )) (net reset_tdc_rep1_36 (joined (portRef reset_tdc_rep1_36) @@ -869107,12 +869299,16 @@ (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI5FUU)) + (portRef A (instanceRef result_2_reg_RNIB3KO)) )) - (net rd_en_i_19 (joined + (net rd_en_i_20 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef reset_i_9 (instanceRef FIFO)) + )) (net reset_i_8 (joined (portRef reset_i_8) (portRef reset_i_8 (instanceRef FIFO)) @@ -869138,35 +869334,32 @@ ) ) ) - (cell Channel_9 (cellType GENERIC) + (cell Channel_19 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename rd_en_i "rd_en_i(9:9)") 1) (direction INPUT)) - (port (array (rename hit_in_iZ0 "hit_in_i(9:9)") 1) (direction INPUT)) - (port (array (rename channel_data_i_9 "channel_data_i_9(31:0)") 32) (direction OUTPUT)) - (port (array (rename stat_reg "stat_reg(41:41)") 1) (direction OUTPUT)) + (port (array (rename rd_en_i "rd_en_i(19:19)") 1) (direction INPUT)) + (port (array (rename hit_in_iZ0 "hit_in_i(19:19)") 1) (direction INPUT)) + (port (array (rename channel_data_i_19 "channel_data_i_19(31:0)") 32) (direction OUTPUT)) + (port (array (rename stat_reg "stat_reg(51:51)") 1) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt_i "coarse_cnt_i(1:1)") 1) (direction INPUT)) (port (array (rename coarse_cnt "coarse_cnt(0:0)") 1) (direction INPUT)) (port G_1803_Q (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port reset_i_13 (direction INPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_tdc_fast_36_r16 (direction INPUT)) - (port reset_tdc_fast_36_r18 (direction INPUT)) - (port reset_tdc_fast_37_r9 (direction INPUT)) - (port reset_tdc_fast_37_r10 (direction INPUT)) - (port reset_tdc_fast_36_r15 (direction INPUT)) - (port reset_tdc_70 (direction INPUT)) - (port reset_tdc_71 (direction INPUT)) - (port reset_tdc_72 (direction INPUT)) - (port reset_tdc_73 (direction INPUT)) + (port reset_i_9 (direction INPUT)) + (port reset_tdc_rep1_35 (direction INPUT)) + (port reset_tdc_rep1_36 (direction INPUT)) + (port reset_tdc_fast_36_r28 (direction INPUT)) + (port reset_tdc_39 (direction INPUT)) + (port reset_tdc_40 (direction INPUT)) + (port reset_tdc_41 (direction INPUT)) + (port reset_tdc_42 (direction INPUT)) (port reset_tdc_fast_35 (direction INPUT)) - (port reset_tdc_fast_6 (direction INPUT)) - (port reset_tdc_fast_5 (direction INPUT)) - (port reset_tdc_fast_4 (direction INPUT)) - (port reset_tdc_fast_3 (direction INPUT)) - (port reset_tdc_fast_1 (direction INPUT)) + (port reset_tdc_rep1_5 (direction INPUT)) + (port reset_tdc_rep1_4 (direction INPUT)) + (port reset_tdc_rep1_3 (direction INPUT)) + (port reset_tdc_rep1_2 (direction INPUT)) + (port reset_tdc_rep1 (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port un3_hit_time_stamp_i_s_9_0_S0 (direction INPUT)) (port un3_hit_time_stamp_i_cry_7_0_S1 (direction INPUT)) @@ -869180,7 +869373,7 @@ (port VCC (direction INPUT)) (port reset_tdc_iso (direction INPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port N_295849_0 (direction OUTPUT)) + (port N_296147_0 (direction OUTPUT)) (port G_1801_Q (direction INPUT)) (port sync_q_and (direction OUTPUT)) (port G_1798_Q (direction INPUT)) @@ -869189,14 +869382,14 @@ ) (contents (instance hit_buf_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance result_2_reg_RNI6MBG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance result_2_reg_RNI8M3U (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI6MBG_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI8M3U_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) - (instance result_2_reg_RNI6MBG_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI8M3U_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance GEN_flipflops_3_Hit_Sync_sync_q_and_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -871042,7 +871235,7 @@ (instance lost_hit_cntr_cry_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance result_2_reg_RNI3F6H (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance result_2_reg_RNI5FUU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C A)")) ) (instance lost_hit_cntr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) @@ -871298,32 +871491,32 @@ (portRef WAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CA1_1_SUM1)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_C1_1_SUM1)) - (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0)) + (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0)) )) (net tmp1_i_0 (joined - (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNI9E75_0)) + (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_RNIIH41_0)) (portRef RAD0 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) (portRef D (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_0)) )) (net result_i_2 (joined (portRef (member result_i 301) (instanceRef FC)) - (portRef B (instanceRef result_2_reg_RNI3F6H)) + (portRef B (instanceRef result_2_reg_RNI5FUU)) (portRef B (instanceRef hit_detect_i)) (portRef D (instanceRef result_2_reg)) - (portRef B (instanceRef result_2_reg_RNI6MBG_1)) - (portRef B (instanceRef result_2_reg_RNI6MBG_0)) - (portRef B (instanceRef result_2_reg_RNI6MBG)) + (portRef B (instanceRef result_2_reg_RNI8M3U_1)) + (portRef B (instanceRef result_2_reg_RNI8M3U_0)) + (portRef B (instanceRef result_2_reg_RNI8M3U)) )) (net result_2_reg (joined (portRef Q (instanceRef result_2_reg)) - (portRef C (instanceRef result_2_reg_RNI3F6H)) + (portRef C (instanceRef result_2_reg_RNI5FUU)) (portRef A (instanceRef hit_detect_i)) - (portRef C (instanceRef result_2_reg_RNI6MBG_1)) - (portRef C (instanceRef result_2_reg_RNI6MBG_0)) - (portRef C (instanceRef result_2_reg_RNI6MBG)) + (portRef C (instanceRef result_2_reg_RNI8M3U_1)) + (portRef C (instanceRef result_2_reg_RNI8M3U_0)) + (portRef C (instanceRef result_2_reg_RNI8M3U)) )) (net un5_hit_detect_i_0_i_3 (joined - (portRef Z (instanceRef result_2_reg_RNI6MBG)) + (portRef Z (instanceRef result_2_reg_RNI8M3U)) (portRef SP (instanceRef result_reg_99)) (portRef SP (instanceRef result_reg_98)) (portRef SP (instanceRef result_reg_97)) @@ -871402,12 +871595,9 @@ )) (net reset_tdc_fast (joined (portRef reset_tdc_fast) - (portRef B (instanceRef lost_hit_cntr_lm_0_2)) - (portRef B (instanceRef lost_hit_cntr_lm_0_1)) - (portRef B (instanceRef lost_hit_cntr_lm_0_0)) - (portRef A (instanceRef result_2_reg_RNI6MBG_1)) - (portRef A (instanceRef result_2_reg_RNI6MBG_0)) - (portRef A (instanceRef result_2_reg_RNI6MBG)) + (portRef A (instanceRef result_2_reg_RNI8M3U_1)) + (portRef A (instanceRef result_2_reg_RNI8M3U_0)) + (portRef A (instanceRef result_2_reg_RNI8M3U)) )) (net GND (joined (portRef GND) @@ -871562,7 +871752,7 @@ (portRef RAD2 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) )) (net un5_hit_detect_i_0_i_2 (joined - (portRef Z (instanceRef result_2_reg_RNI6MBG_0)) + (portRef Z (instanceRef result_2_reg_RNI8M3U_0)) (portRef SP (instanceRef result_reg_303)) (portRef SP (instanceRef result_reg_302)) (portRef SP (instanceRef result_reg_301)) @@ -871640,7 +871830,7 @@ (portRef SP (instanceRef result_reg_23)) )) (net un5_hit_detect_i_0_i_1 (joined - (portRef Z (instanceRef result_2_reg_RNI6MBG_1)) + (portRef Z (instanceRef result_2_reg_RNI8M3U_1)) (portRef SP (instanceRef result_reg_237)) (portRef SP (instanceRef result_reg_236)) (portRef SP (instanceRef result_reg_235)) @@ -871727,9 +871917,9 @@ (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) (portRef A (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_and_0_0)) )) - (net N_295849_0 (joined + (net N_296147_0 (joined (portRef Z (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_or_rst_en)) - (portRef N_295849_0) + (portRef N_296147_0) )) (net tmp1_1 (joined (portRef Q (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CF1_1)) @@ -872381,7 +872571,7 @@ (portRef D (instanceRef result_reg_10)) )) (net un5_hit_detect_i_0_i (joined - (portRef Z (instanceRef result_2_reg_RNI3F6H)) + (portRef Z (instanceRef result_2_reg_RNI5FUU)) (portRef SP (instanceRef result_reg_169)) (portRef SP (instanceRef result_reg_168)) (portRef SP (instanceRef result_reg_167)) @@ -875294,9 +875484,9 @@ (portRef Q (instanceRef hit_time_stamp_i_10)) (portRef (member hit_time_stamp_i 0) (instanceRef FIFO)) )) - (net channel_debug_01_i_9_1 (joined + (net channel_debug_01_i_19_1 (joined (portRef Q (instanceRef encoder_start_i)) - (portRef (member channel_debug_01_i_9 1) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_19 1) (instanceRef Encoder)) (portRef B0 (instanceRef un1_encoder_start_i_cry_0_0)) )) (net un1_encoder_start_i_cry_0_0_S1 (joined @@ -875495,7 +875685,7 @@ (portRef fifo_empty_i (instanceRef FIFO)) (portRef D (instanceRef FIFO_EMPTY_OUT)) )) - (net stat_reg_41 (joined + (net stat_reg_51 (joined (portRef Q (instanceRef FIFO_EMPTY_OUT)) (portRef (member stat_reg 0)) )) @@ -875576,257 +875766,257 @@ (portRef PD (instanceRef FIFO_DATA_OUT_0)) (portRef CD (instanceRef FIFO_EMPTY_OUT)) )) - (net channel_data_i_9_0 (joined + (net channel_data_i_19_0 (joined (portRef Q (instanceRef FIFO_DATA_OUT_0)) - (portRef (member channel_data_i_9 31)) + (portRef (member channel_data_i_19 31)) )) (net fifo_data_out_i_1 (joined (portRef (member fifo_data_out_i 30) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_1)) )) - (net channel_data_i_9_1 (joined + (net channel_data_i_19_1 (joined (portRef Q (instanceRef FIFO_DATA_OUT_1)) - (portRef (member channel_data_i_9 30)) + (portRef (member channel_data_i_19 30)) )) (net fifo_data_out_i_2 (joined (portRef (member fifo_data_out_i 29) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_2)) )) - (net channel_data_i_9_2 (joined + (net channel_data_i_19_2 (joined (portRef Q (instanceRef FIFO_DATA_OUT_2)) - (portRef (member channel_data_i_9 29)) + (portRef (member channel_data_i_19 29)) )) (net fifo_data_out_i_3 (joined (portRef (member fifo_data_out_i 28) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_3)) )) - (net channel_data_i_9_3 (joined + (net channel_data_i_19_3 (joined (portRef Q (instanceRef FIFO_DATA_OUT_3)) - (portRef (member channel_data_i_9 28)) + (portRef (member channel_data_i_19 28)) )) (net fifo_data_out_i_4 (joined (portRef (member fifo_data_out_i 27) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_4)) )) - (net channel_data_i_9_4 (joined + (net channel_data_i_19_4 (joined (portRef Q (instanceRef FIFO_DATA_OUT_4)) - (portRef (member channel_data_i_9 27)) + (portRef (member channel_data_i_19 27)) )) (net fifo_data_out_i_5 (joined (portRef (member fifo_data_out_i 26) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_5)) )) - (net channel_data_i_9_5 (joined + (net channel_data_i_19_5 (joined (portRef Q (instanceRef FIFO_DATA_OUT_5)) - (portRef (member channel_data_i_9 26)) + (portRef (member channel_data_i_19 26)) )) (net fifo_data_out_i_6 (joined (portRef (member fifo_data_out_i 25) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_6)) )) - (net channel_data_i_9_6 (joined + (net channel_data_i_19_6 (joined (portRef Q (instanceRef FIFO_DATA_OUT_6)) - (portRef (member channel_data_i_9 25)) + (portRef (member channel_data_i_19 25)) )) (net fifo_data_out_i_7 (joined (portRef (member fifo_data_out_i 24) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_7)) )) - (net channel_data_i_9_7 (joined + (net channel_data_i_19_7 (joined (portRef Q (instanceRef FIFO_DATA_OUT_7)) - (portRef (member channel_data_i_9 24)) + (portRef (member channel_data_i_19 24)) )) (net fifo_data_out_i_8 (joined (portRef (member fifo_data_out_i 23) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_8)) )) - (net channel_data_i_9_8 (joined + (net channel_data_i_19_8 (joined (portRef Q (instanceRef FIFO_DATA_OUT_8)) - (portRef (member channel_data_i_9 23)) + (portRef (member channel_data_i_19 23)) )) (net fifo_data_out_i_9 (joined (portRef (member fifo_data_out_i 22) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_9)) )) - (net channel_data_i_9_9 (joined + (net channel_data_i_19_9 (joined (portRef Q (instanceRef FIFO_DATA_OUT_9)) - (portRef (member channel_data_i_9 22)) + (portRef (member channel_data_i_19 22)) )) (net fifo_data_out_i_10 (joined (portRef (member fifo_data_out_i 21) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_10)) )) - (net channel_data_i_9_10 (joined + (net channel_data_i_19_10 (joined (portRef Q (instanceRef FIFO_DATA_OUT_10)) - (portRef (member channel_data_i_9 21)) + (portRef (member channel_data_i_19 21)) )) (net fifo_data_out_i_11 (joined (portRef (member fifo_data_out_i 20) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_11)) )) - (net channel_data_i_9_11 (joined + (net channel_data_i_19_11 (joined (portRef Q (instanceRef FIFO_DATA_OUT_11)) - (portRef (member channel_data_i_9 20)) + (portRef (member channel_data_i_19 20)) )) (net fifo_data_out_i_12 (joined (portRef (member fifo_data_out_i 19) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_12)) )) - (net channel_data_i_9_12 (joined + (net channel_data_i_19_12 (joined (portRef Q (instanceRef FIFO_DATA_OUT_12)) - (portRef (member channel_data_i_9 19)) + (portRef (member channel_data_i_19 19)) )) (net fifo_data_out_i_13 (joined (portRef (member fifo_data_out_i 18) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_13)) )) - (net channel_data_i_9_13 (joined + (net channel_data_i_19_13 (joined (portRef Q (instanceRef FIFO_DATA_OUT_13)) - (portRef (member channel_data_i_9 18)) + (portRef (member channel_data_i_19 18)) )) (net fifo_data_out_i_14 (joined (portRef (member fifo_data_out_i 17) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_14)) )) - (net channel_data_i_9_14 (joined + (net channel_data_i_19_14 (joined (portRef Q (instanceRef FIFO_DATA_OUT_14)) - (portRef (member channel_data_i_9 17)) + (portRef (member channel_data_i_19 17)) )) (net fifo_data_out_i_15 (joined (portRef (member fifo_data_out_i 16) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_15)) )) - (net channel_data_i_9_15 (joined + (net channel_data_i_19_15 (joined (portRef Q (instanceRef FIFO_DATA_OUT_15)) - (portRef (member channel_data_i_9 16)) + (portRef (member channel_data_i_19 16)) )) (net fifo_data_out_i_16 (joined (portRef (member fifo_data_out_i 15) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_16)) )) - (net channel_data_i_9_16 (joined + (net channel_data_i_19_16 (joined (portRef Q (instanceRef FIFO_DATA_OUT_16)) - (portRef (member channel_data_i_9 15)) + (portRef (member channel_data_i_19 15)) )) (net fifo_data_out_i_17 (joined (portRef (member fifo_data_out_i 14) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_17)) )) - (net channel_data_i_9_17 (joined + (net channel_data_i_19_17 (joined (portRef Q (instanceRef FIFO_DATA_OUT_17)) - (portRef (member channel_data_i_9 14)) + (portRef (member channel_data_i_19 14)) )) (net fifo_data_out_i_18 (joined (portRef (member fifo_data_out_i 13) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_18)) )) - (net channel_data_i_9_18 (joined + (net channel_data_i_19_18 (joined (portRef Q (instanceRef FIFO_DATA_OUT_18)) - (portRef (member channel_data_i_9 13)) + (portRef (member channel_data_i_19 13)) )) (net fifo_data_out_i_19 (joined (portRef (member fifo_data_out_i 12) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_19)) )) - (net channel_data_i_9_19 (joined + (net channel_data_i_19_19 (joined (portRef Q (instanceRef FIFO_DATA_OUT_19)) - (portRef (member channel_data_i_9 12)) + (portRef (member channel_data_i_19 12)) )) (net fifo_data_out_i_20 (joined (portRef (member fifo_data_out_i 11) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_20)) )) - (net channel_data_i_9_20 (joined + (net channel_data_i_19_20 (joined (portRef Q (instanceRef FIFO_DATA_OUT_20)) - (portRef (member channel_data_i_9 11)) + (portRef (member channel_data_i_19 11)) )) (net fifo_data_out_i_21 (joined (portRef (member fifo_data_out_i 10) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_21)) )) - (net channel_data_i_9_21 (joined + (net channel_data_i_19_21 (joined (portRef Q (instanceRef FIFO_DATA_OUT_21)) - (portRef (member channel_data_i_9 10)) + (portRef (member channel_data_i_19 10)) )) (net fifo_data_out_i_22 (joined (portRef (member fifo_data_out_i 9) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_22)) )) - (net channel_data_i_9_22 (joined + (net channel_data_i_19_22 (joined (portRef Q (instanceRef FIFO_DATA_OUT_22)) - (portRef (member channel_data_i_9 9)) + (portRef (member channel_data_i_19 9)) )) (net fifo_data_out_i_23 (joined (portRef (member fifo_data_out_i 8) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_23)) )) - (net channel_data_i_9_23 (joined + (net channel_data_i_19_23 (joined (portRef Q (instanceRef FIFO_DATA_OUT_23)) - (portRef (member channel_data_i_9 8)) + (portRef (member channel_data_i_19 8)) )) (net fifo_data_out_i_24 (joined (portRef (member fifo_data_out_i 7) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_24)) )) - (net channel_data_i_9_24 (joined + (net channel_data_i_19_24 (joined (portRef Q (instanceRef FIFO_DATA_OUT_24)) - (portRef (member channel_data_i_9 7)) + (portRef (member channel_data_i_19 7)) )) (net fifo_data_out_i_25 (joined (portRef (member fifo_data_out_i 6) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_25)) )) - (net channel_data_i_9_25 (joined + (net channel_data_i_19_25 (joined (portRef Q (instanceRef FIFO_DATA_OUT_25)) - (portRef (member channel_data_i_9 6)) + (portRef (member channel_data_i_19 6)) )) (net fifo_data_out_i_26 (joined (portRef (member fifo_data_out_i 5) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_26)) )) - (net channel_data_i_9_26 (joined + (net channel_data_i_19_26 (joined (portRef Q (instanceRef FIFO_DATA_OUT_26)) - (portRef (member channel_data_i_9 5)) + (portRef (member channel_data_i_19 5)) )) (net fifo_data_out_i_27 (joined (portRef (member fifo_data_out_i 4) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_27)) )) - (net channel_data_i_9_27 (joined + (net channel_data_i_19_27 (joined (portRef Q (instanceRef FIFO_DATA_OUT_27)) - (portRef (member channel_data_i_9 4)) + (portRef (member channel_data_i_19 4)) )) (net fifo_data_out_i_28 (joined (portRef (member fifo_data_out_i 3) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_28)) )) - (net channel_data_i_9_28 (joined + (net channel_data_i_19_28 (joined (portRef Q (instanceRef FIFO_DATA_OUT_28)) - (portRef (member channel_data_i_9 3)) + (portRef (member channel_data_i_19 3)) )) (net fifo_data_out_i_29 (joined (portRef (member fifo_data_out_i 2) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_29)) )) - (net channel_data_i_9_29 (joined + (net channel_data_i_19_29 (joined (portRef Q (instanceRef FIFO_DATA_OUT_29)) - (portRef (member channel_data_i_9 2)) + (portRef (member channel_data_i_19 2)) )) (net fifo_data_out_i_30 (joined (portRef (member fifo_data_out_i 1) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_30)) )) - (net channel_data_i_9_30 (joined + (net channel_data_i_19_30 (joined (portRef Q (instanceRef FIFO_DATA_OUT_30)) - (portRef (member channel_data_i_9 1)) + (portRef (member channel_data_i_19 1)) )) (net fifo_data_out_i_31 (joined (portRef (member fifo_data_out_i 0) (instanceRef FIFO)) (portRef D (instanceRef FIFO_DATA_OUT_31)) )) - (net channel_data_i_9_31 (joined + (net channel_data_i_19_31 (joined (portRef Q (instanceRef FIFO_DATA_OUT_31)) - (portRef (member channel_data_i_9 0)) + (portRef (member channel_data_i_19 0)) )) (net sync_q_CR0_ram_DO1 (joined (portRef DO1 (instanceRef GEN_flipflops_3_Hit_Sync_sync_q_CR0_ram)) @@ -875845,20 +876035,8 @@ (portRef S0 (instanceRef lost_hit_cntr_cry_0_1)) (portRef A (instanceRef lost_hit_cntr_lm_0_1)) )) - (net lost_hit_cntr_s_2 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) - (portRef A (instanceRef lost_hit_cntr_lm_0_2)) - )) - (net lost_hit_cntr_s_3 (joined - (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_3)) - )) - (net lost_hit_cntr_s_4 (joined - (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) - (portRef A (instanceRef lost_hit_cntr_lm_0_4)) - )) - (net reset_tdc_fast_1 (joined - (portRef reset_tdc_fast_1) + (net reset_tdc_rep1 (joined + (portRef reset_tdc_rep1) (portRef B (instanceRef lost_hit_cntr_lm_0_23)) (portRef B (instanceRef lost_hit_cntr_lm_0_22)) (portRef B (instanceRef lost_hit_cntr_lm_0_21)) @@ -875880,6 +876058,21 @@ (portRef B (instanceRef lost_hit_cntr_lm_0_5)) (portRef B (instanceRef lost_hit_cntr_lm_0_4)) (portRef B (instanceRef lost_hit_cntr_lm_0_3)) + (portRef B (instanceRef lost_hit_cntr_lm_0_2)) + (portRef B (instanceRef lost_hit_cntr_lm_0_1)) + (portRef B (instanceRef lost_hit_cntr_lm_0_0)) + )) + (net lost_hit_cntr_s_2 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_1)) + (portRef A (instanceRef lost_hit_cntr_lm_0_2)) + )) + (net lost_hit_cntr_s_3 (joined + (portRef S0 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_3)) + )) + (net lost_hit_cntr_s_4 (joined + (portRef S1 (instanceRef lost_hit_cntr_cry_0_3)) + (portRef A (instanceRef lost_hit_cntr_lm_0_4)) )) (net lost_hit_cntr_s_5 (joined (portRef S0 (instanceRef lost_hit_cntr_cry_0_5)) @@ -875965,8 +876158,8 @@ (portRef (member result_i 299) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_4)) )) - (net reset_tdc_fast_3 (joined - (portRef reset_tdc_fast_3) + (net reset_tdc_rep1_2 (joined + (portRef reset_tdc_rep1_2) (portRef B (instanceRef result_reg_3_0_i_38)) (portRef B (instanceRef result_reg_3_0_i_37)) (portRef B (instanceRef result_reg_3_0_i_36)) @@ -876148,8 +876341,9 @@ (portRef (member result_i 263) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_40)) )) - (net reset_tdc_fast_4 (joined - (portRef reset_tdc_fast_4) + (net reset_tdc_rep1_3 (joined + (portRef reset_tdc_rep1_3) + (portRef B (instanceRef result_reg_3_0_i_138)) (portRef B (instanceRef result_reg_3_0_i_137)) (portRef B (instanceRef result_reg_3_0_i_136)) (portRef B (instanceRef result_reg_3_0_i_135)) @@ -876646,8 +876840,14 @@ (portRef (member result_i 164) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_139)) )) - (net reset_tdc_fast_5 (joined - (portRef reset_tdc_fast_5) + (net result_i_140 (joined + (portRef (member result_i 163) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_140)) + )) + (net reset_tdc_rep1_4 (joined + (portRef reset_tdc_rep1_4) + (portRef B (instanceRef result_reg_3_0_i_238)) + (portRef B (instanceRef result_reg_3_0_i_237)) (portRef B (instanceRef result_reg_3_0_i_236)) (portRef B (instanceRef result_reg_3_0_i_235)) (portRef B (instanceRef result_reg_3_0_i_234)) @@ -876746,11 +876946,6 @@ (portRef B (instanceRef result_reg_3_0_i_141)) (portRef B (instanceRef result_reg_3_0_i_140)) (portRef B (instanceRef result_reg_3_0_i_139)) - (portRef B (instanceRef result_reg_3_0_i_138)) - )) - (net result_i_140 (joined - (portRef (member result_i 163) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_140)) )) (net result_i_141 (joined (portRef (member result_i 162) (instanceRef FC)) @@ -877144,8 +877339,16 @@ (portRef (member result_i 65) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_238)) )) - (net reset_tdc_fast_6 (joined - (portRef reset_tdc_fast_6) + (net result_i_239 (joined + (portRef (member result_i 64) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_239)) + )) + (net result_i_240 (joined + (portRef (member result_i 63) (instanceRef FC)) + (portRef A (instanceRef result_reg_3_0_i_240)) + )) + (net reset_tdc_rep1_5 (joined + (portRef reset_tdc_rep1_5) (portRef B (instanceRef result_reg_3_0_i_303)) (portRef B (instanceRef result_reg_3_0_i_302)) (portRef B (instanceRef result_reg_3_0_i_301)) @@ -877211,16 +877414,6 @@ (portRef B (instanceRef result_reg_3_0_i_241)) (portRef B (instanceRef result_reg_3_0_i_240)) (portRef B (instanceRef result_reg_3_0_i_239)) - (portRef B (instanceRef result_reg_3_0_i_238)) - (portRef B (instanceRef result_reg_3_0_i_237)) - )) - (net result_i_239 (joined - (portRef (member result_i 64) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_239)) - )) - (net result_i_240 (joined - (portRef (member result_i 63) (instanceRef FC)) - (portRef A (instanceRef result_reg_3_0_i_240)) )) (net result_i_241 (joined (portRef (member result_i 62) (instanceRef FC)) @@ -877474,8 +877667,8 @@ (portRef (member result_i 0) (instanceRef FC)) (portRef A (instanceRef result_reg_3_0_i_303)) )) - (net channel_debug_01_i_9_0 (joined - (portRef (member channel_debug_01_i_9 0) (instanceRef Edge_To_Pulse_Hit)) + (net channel_debug_01_i_19_0 (joined + (portRef (member channel_debug_01_i_19 0) (instanceRef Edge_To_Pulse_Hit)) (portRef A0 (instanceRef lost_hit_cntr_s_0_23)) (portRef A1 (instanceRef lost_hit_cntr_cry_0_21)) (portRef A0 (instanceRef lost_hit_cntr_cry_0_21)) @@ -877502,9 +877695,9 @@ (portRef A1 (instanceRef lost_hit_cntr_cry_0_0)) (portRef A (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) - (net channel_debug_01_i_9_2 (joined - (portRef (member channel_debug_01_i_9 0) (instanceRef Encoder)) - (portRef (member channel_debug_01_i_9 0) (instanceRef FIFO)) + (net channel_debug_01_i_19_2 (joined + (portRef (member channel_debug_01_i_19 0) (instanceRef Encoder)) + (portRef (member channel_debug_01_i_19 0) (instanceRef FIFO)) (portRef B0 (instanceRef un1_fifo_wr_en_i_cry_0_0)) (portRef B (instanceRef lost_hit_cntr_cry_0_RNO_0)) )) @@ -877689,17 +877882,17 @@ ) (property NOMERGE (string "true")) ) - (net reset_tdc_72 (joined - (portRef reset_tdc_72) - (portRef reset_tdc_72 (instanceRef FC)) + (net reset_tdc_41 (joined + (portRef reset_tdc_41) + (portRef reset_tdc_41 (instanceRef FC)) )) - (net reset_tdc_71 (joined - (portRef reset_tdc_71) - (portRef reset_tdc_71 (instanceRef FC)) + (net reset_tdc_40 (joined + (portRef reset_tdc_40) + (portRef reset_tdc_40 (instanceRef FC)) )) - (net reset_tdc_70 (joined - (portRef reset_tdc_70) - (portRef reset_tdc_70 (instanceRef FC)) + (net reset_tdc_39 (joined + (portRef reset_tdc_39) + (portRef reset_tdc_39 (instanceRef FC)) )) (net fine_counter_i_0 (joined (portRef (member fine_counter_i 9) (instanceRef Encoder)) @@ -877741,47 +877934,35 @@ (portRef (member fine_counter_i 0) (instanceRef Encoder)) (portRef (member fine_counter_i 0) (instanceRef FIFO)) )) - (net reset_tdc_73 (joined - (portRef reset_tdc_73) - (portRef reset_tdc_73 (instanceRef Encoder)) - (portRef reset_tdc_73 (instanceRef FC)) - )) - (net reset_tdc_fast_36_r15 (joined - (portRef reset_tdc_fast_36_r15) - (portRef reset_tdc_fast_36_r15 (instanceRef Encoder)) - )) - (net reset_tdc_fast_37_r10 (joined - (portRef reset_tdc_fast_37_r10) - (portRef reset_tdc_fast_37_r10 (instanceRef Encoder)) + (net reset_tdc_42 (joined + (portRef reset_tdc_42) + (portRef reset_tdc_42 (instanceRef Encoder)) + (portRef reset_tdc_42 (instanceRef FC)) )) - (net reset_tdc_fast_37_r9 (joined - (portRef reset_tdc_fast_37_r9) - (portRef reset_tdc_fast_37_r9 (instanceRef Encoder)) + (net reset_tdc_fast_36_r28 (joined + (portRef reset_tdc_fast_36_r28) + (portRef reset_tdc_fast_36_r28 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r18 (joined - (portRef reset_tdc_fast_36_r18) - (portRef reset_tdc_fast_36_r18 (instanceRef Encoder)) + (net reset_tdc_rep1_36 (joined + (portRef reset_tdc_rep1_36) + (portRef reset_tdc_rep1_36 (instanceRef Encoder)) )) - (net reset_tdc_fast_36_r16 (joined - (portRef reset_tdc_fast_36_r16) - (portRef reset_tdc_fast_36_r16 (instanceRef Encoder)) + (net reset_tdc_rep1_35 (joined + (portRef reset_tdc_rep1_35) + (portRef reset_tdc_rep1_35 (instanceRef Encoder)) )) (net reset_tdc_fast_35 (joined (portRef reset_tdc_fast_35) (portRef reset_tdc_fast_35 (instanceRef Encoder)) - (portRef A (instanceRef result_2_reg_RNI3F6H)) + (portRef A (instanceRef result_2_reg_RNI5FUU)) )) - (net rd_en_i_9 (joined + (net rd_en_i_19 (joined (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef reset_i_14 (instanceRef FIFO)) - )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef reset_i_13 (instanceRef FIFO)) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef reset_i_9 (instanceRef FIFO)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) @@ -877819,7 +878000,7 @@ (port trg_spike_detected_i (direction INPUT)) (port trg_timing_valid_i (direction INPUT)) (port reset_i_rep2 (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) + (port reset_i_2 (direction INPUT)) (port reset_tdc_rep2_35 (direction INPUT)) (port reset_tdc_rep2_36 (direction INPUT)) (port reset_tdc_fast_37_r20 (direction INPUT)) @@ -884880,9 +885061,9 @@ (portRef (member rd_en_i 0)) (portRef (member rd_en_i 0) (instanceRef FIFO)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef reset_i_1_1 (instanceRef FIFO)) + (net reset_i_2 (joined + (portRef reset_i_2) + (portRef reset_i_2 (instanceRef FIFO)) )) (net reset_i_rep2 (joined (portRef reset_i_rep2) @@ -884913,7 +885094,7 @@ (port (array (rename spimem_addr "spimem_addr(5:1)") 5) (direction INPUT)) (port (array (rename spimem_data_in "spimem_data_in(31:0)") 32) (direction INPUT)) (port spi_bram_we (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port store_wr (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port spictrl_addr (direction INPUT)) @@ -885122,8 +885303,8 @@ (portRef CLKB (instanceRef spi_dpram_32_to_8_0_0_1)) (portRef CLKA (instanceRef spi_dpram_32_to_8_0_0_1)) )) - (net reset_i_18 (joined - (portRef reset_i_18) + (net reset_i_19 (joined + (portRef reset_i_19) (portRef RSTB (instanceRef spi_dpram_32_to_8_0_1_0)) (portRef RSTA (instanceRef spi_dpram_32_to_8_0_1_0)) (portRef RSTB (instanceRef spi_dpram_32_to_8_0_0_1)) @@ -885551,35 +885732,35 @@ (cell spi_slim (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename reg_bus_data_out_6_i_0_a2_1 "reg_bus_data_out_6_i_0_a2_1(0:0)") 1) (direction INPUT)) (port (array (rename spi_bram_wr_d "spi_bram_wr_d(7:0)") 8) (direction INPUT)) (port (array (rename spi_bram_addr "spi_bram_addr(7:0)") 8) (direction OUTPUT)) (port (array (rename reg_ctrl_data "reg_ctrl_data(31:0)") 32) (direction INPUT)) (port (array (rename reg_status_data "reg_status_data(31:24)") 8) (direction INPUT)) (port (array (rename spi_bram_rd_d "spi_bram_rd_d(7:0)") 8) (direction OUTPUT)) (port rx_sreg_0 (direction INPUT)) - (port (array (rename tx_sreg_rni6cro3 "tx_sreg_RNI6CRO3(6:6)") 1) (direction OUTPUT)) (port STATE_14 (direction OUTPUT)) - (port STATE_13 (direction OUTPUT)) (port STATE_12 (direction OUTPUT)) (port STATE_11 (direction OUTPUT)) (port STATE_10 (direction OUTPUT)) (port STATE_9 (direction OUTPUT)) (port STATE_6 (direction OUTPUT)) (port STATE_5 (direction OUTPUT)) + (port STATE_4 (direction OUTPUT)) (port STATE_3 (direction OUTPUT)) - (port STATE_2 (direction OUTPUT)) (port STATE_1 (direction OUTPUT)) + (port STATE_2 (direction OUTPUT)) (port STATE_8 (direction OUTPUT)) (port STATE_0 (direction OUTPUT)) - (port STATE_4 (direction OUTPUT)) (port STATE_7 (direction OUTPUT)) - (port N_446_i (direction OUTPUT)) + (port STATE_13 (direction OUTPUT)) + (port N_389_i (direction OUTPUT)) + (port N_557 (direction OUTPUT)) (port un1_clk_en_0_i (direction OUTPUT)) (port spi_start_Q (direction INPUT)) (port busy_Q (direction OUTPUT)) (port spi_bram_we (direction OUTPUT)) - (port N_727_i (direction OUTPUT)) + (port N_633_i (direction OUTPUT)) + (port N_393 (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port tx_ena_RNIH02J (direction OUTPUT)) @@ -885589,11 +885770,8 @@ ) (contents (instance div_counter_RNO_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance tx_sel_x_i_0_0_o2_RNIVVI71_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance STATE_ns_i_i_o2_5_RNI5BSC1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance tx_sel_x_i_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) (instance STATE_ns_i_i_o2_5_RNIRUB01_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) @@ -885604,30 +885782,21 @@ (instance rx_bit_cnt_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A+B A)")) ) + (instance STATE_ns_0_i_s_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) (instance tx_bit_cnt_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A+B A)))")) ) - (instance tx_ena_x_1_iv_0_a2_3_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance cmd_int_RNI0T272_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance tx_load_x_iv_i_a2_2_RNI8B921 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) + (instance tx_sel_x_i_0_a2_2_RNI1KCV_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+!A)+C B)+D B)")) ) (instance STATE_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (!B+A))")) ) - (instance STATE_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) (instance last_tx_bit_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B !A)))")) ) - (instance tx_load_x_iv_i_a2_5_RNIH6G61 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance tx_bit_cnt_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A+B !A)))")) ) @@ -885896,10 +886065,10 @@ (instance THE_STATE_TRANSITIONS_rx_bit_cnt_p4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance THE_ADDR_COUNTER_un8_reset_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance tx_bit_cnt_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_ADDR_COUNTER_un8_reset_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance rx_ena_RNINE87_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -885908,38 +886077,26 @@ (instance rx_bit_cnt_4_i_o2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance tx_sel_x_i_0_a2_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance STATE_ns_i_0_o2_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance rx_ena_x_1_iv_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance tx_load_x_iv_i_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance start_RNI11OL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance tx_load_x_iv_i_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance THE_START_PROC_un1_start_in_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance THE_START_PROC_un1_start_in (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance STATE_ns_i_i_a2_15_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_12_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance tx_sel_x_i_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance start_RNI11OL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance tx_bit_cnt_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) (instance tx_sel_RNI0U97_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance tx_load_x_iv_i_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance rx_ena_x_1_iv_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance tx_bit_cntc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) @@ -885947,16 +886104,16 @@ (instance inc_addr_txc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance tx_sregc_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) (instance busy_x_u_0_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A)+C (B+!A))")) ) - (instance tx_sregc_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_3_2_bm_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance tx_sregc_3_2_bm_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance tx_sregc_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance start_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -885971,23 +886128,23 @@ (instance ce_addr_x_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance or_all_tmp_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance or_all_tmp_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) - (instance tx_sel_x_i_0_a2_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance STATE_ns_i_i_a2_15_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) - (instance rx_ena_x_1_iv_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+!A))")) + (instance STATE_ns_i_i_a2_16_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance last_tx_bit_x_0_a2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rx_ena_x_1_iv_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance rx_ena_x_1_iv_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rx_ena_x_1_iv_i_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance STATE_ns_i_i_a2_14_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance tx_sel_x_i_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A))")) ) (instance tx_sel_RNIN72D_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) @@ -885995,17 +886152,29 @@ (instance tx_sel_RNIS26A_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance tx_sreg_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance last_tx_bit_x_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+!A))")) + ) + (instance rx_ena_x_1_iv_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+!A))")) + ) + (instance STATE_ns_0_i_a2_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance STATE_ns_i_i_a2_25_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance tx_sreg_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C B+C (B A)))")) ) - (instance tx_load_x_iv_i_a2_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance tx_sreg_RNO_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance STATE_ns_i_i_a2_6_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) + (instance tx_ena_x_1_iv_0_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance tx_sel_x_i_0_a2_2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance STATE_ns_i_i_a2_9_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -886013,50 +886182,47 @@ (instance rx_ena_x_1_iv_i_3_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (!B+!A)+C (B+!A)))")) ) + (instance tx_sel_x_i_0_a2_0_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) + ) (instance tx_sel_RNIVIEH_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) ) - (instance tx_sreg_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (C !B))")) - ) (instance adh_int_RNID0GR_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C A+C B))")) ) + (instance tx_sreg_RNO_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (C !B))")) + ) (instance adm_int_RNIB4D01_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (B A))")) ) (instance tx_ena_RNIVDST (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A+B A))+D (!B !A+B A))")) ) - (instance tx_sregc_2_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C A))")) + (instance tx_ena_RNIH02J (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) ) - (instance tx_sreg_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C (B+A)))")) + (instance STATE_ns_0_i_o2_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C (!B A)))")) ) - (instance tx_sel_x_i_0_a2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance STATE_ns_i_i_a2_13_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance tx_ena_RNIH02J (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) + (instance tx_sregc_4_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C A))")) ) - (instance STATE_ns_i_i_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance tx_sel_x_i_0_0_o2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (!C (!B A)))")) - ) - (instance tx_sregc_0_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_2_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B A)+D (!C A))")) ) - (instance tx_sregc_4_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C A))")) + (instance tx_sreg_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C (B+A)))")) ) - (instance rx_ena_x_1_iv_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C+(!B+!A)))")) + (instance tx_sregc_0_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C A))")) ) (instance div_counter_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) @@ -886064,17 +886230,26 @@ (instance div_counter_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance tx_sel_x_i_0_0_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance STATE_ns_0_i_a2_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance tx_load_x_iv_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance STATE_ns_i_i_a2_6_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance tx_load_x_iv_i_a2_7_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_8_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance tx_sel_x_i_0_a2_3_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance is_data_x_1_iv_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A)+D (B !A))")) + (instance STATE_ns_i_i_a2_9_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) + ) + (instance tx_sel_x_i_0_a2_0_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A)))")) ) (instance rx_ena_x_1_iv_i_3_tz_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C (B A)))")) @@ -886088,86 +886263,80 @@ (instance tx_sel_RNIFE2A1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance tx_sregc_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (C !A))")) ) - (instance tx_sregc_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (C !A))")) ) (instance tx_bit_cnt_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A))+D (!C A+C (!B A)))")) ) - (instance tx_sel_x_i_0_o2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_17_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance STATE_ns_0_i_o2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (C (B A)))")) ) (instance tx_ena_x_1_iv_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C (!B !A)))")) - ) - (instance STATE_ns_i_0_a2_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) + (property lut_function (string "(!D !C+D (!C+(!B !A)))")) ) - (instance tx_sel_x_i_0_0_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance is_data_x_1_iv_i_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) - (instance STATE_ns_i_i_a2_8_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_i_i_a2_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance STATE_ns_i_i_a2_6_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) + (instance tx_ena_x_1_iv_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance tx_load_x_iv_i_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) (instance rx_ena_x_1_iv_i_3_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C !A+C (!B !A)))")) ) + (instance rx_ena_x_1_iv_i_3_tz_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (B+A)+C B))")) + ) + (instance tx_sregc_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) + ) (instance tx_sregc_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance tx_sregc_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance tx_sregc_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) (instance tx_sregc_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C B)+D (!C A))")) - ) - (instance tx_sregc_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C B)+D (!C A))")) + (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B))")) ) - (instance tx_sregc_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) - (instance tx_sregc_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (B+A)))")) ) (instance tx_sel_RNIO7KK2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D A)")) ) - (instance tx_sel_x_i_0_a2_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance STATE_ns_0_i_a2_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (C (B+!A)))")) ) - (instance tx_sel_x_i_0_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sel_x_i_0_o2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) ) - (instance STATE_ns_i_i_o2_5_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) - ) - (instance is_data_x_1_iv_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance STATE_ns_0_i_a2_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance STATE_ns_i_i_a2_13_RNI5EBQ_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) (instance STATE_ns_0_i_a2_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance tx_load_x_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance STATE_ns_0_i_a2_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance STATE_ns_i_i_o2_5_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C (B+A)+C A))")) ) (instance STATE_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) @@ -886175,32 +886344,38 @@ (instance STATE_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance STATE_ns_i_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance STATE_ns_i_i_a2_9_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance tx_sel_x_i_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_load_x_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) + (instance STATE_ns_i_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (B !A))")) + ) (instance rx_ena_x_1_iv_i_3_tz_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B A)))")) ) - (instance tx_sregc_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_ena_x_1_iv_0_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance tx_sregc_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A))+D (B+A))")) ) - (instance tx_sregc_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A))+D (B+A))")) ) - (instance tx_sregc_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance tx_sregc_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A))+D (B+A))")) ) - (instance tx_ena_x_1_iv_0_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance STATE_ns_0_i_a2_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C A+C (B+A)))")) ) - (instance STATE_ns_i_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (B+A)))")) + (instance tx_sel_x_i_0_a2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance is_data_x_1_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance STATE_ns_0_i_a2_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance STATE_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) @@ -886211,29 +886386,26 @@ (instance STATE_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) + (instance tx_load_x_iv_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) (instance rx_bit_cnt_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C B+C (B+A)))")) + (property lut_function (string "(!D (C (!B !A))+D (!C A+C (B+A)))")) ) - (instance rx_ena_x_1_iv_i_3_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B A)))")) + (instance tx_sel_x_i_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) (instance rx_ena_x_1_iv_i_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance STATE_ns_0_i_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance tx_sel_x_i_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance STATE_ns_i_i_o2_4_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) + (instance tx_sel_x_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A)))")) ) - (instance STATE_ns_i_i_o2_1_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C B)+D (B+A))")) + (instance tx_load_x_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) ) (instance STATE_ns_i_i_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + (property lut_function (string "(!D C+D (C+(!B A)))")) ) (instance tx_sreg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -886241,38 +886413,47 @@ (instance tx_sreg_RNI6CRO3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance tx_sel_x_i_0_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (C+(B+A)))")) - ) (instance STATE_ns_i_i_o2_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C (B+A))+D (C B))")) ) + (instance rx_ena_x_1_iv_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (B A))")) + ) (instance STATE_ns_i_i_a2_4_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance STATE_ns_0_a3_0_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance STATE_ns_0_a3_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) + ) + (instance STATE_ns_0_i_s_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) (instance is_data_x_1_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance STATE_ns_0_i_s_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance STATE_ns_0_i_s_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) (instance tx_ena_x_1_iv_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) + (instance STATE_ns_i_i_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + ) (instance tx_sreg_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) (instance tx_sreg_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !A))")) + (property lut_function (string "(!D (!C (!B !A)+C !B))")) ) (instance tx_sreg_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) ) + (instance rx_ena_x_1_iv_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) (instance STATE_ns_i_i_6_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A)+D (!C (B+A)))")) + (property lut_function (string "(!D (!C B)+D (!C (B+A)))")) ) (instance tx_sreg_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A))+D (!C !B))")) @@ -886286,77 +886467,68 @@ (instance STATE_ns_0_a3_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A)))")) ) - (instance STATE_ns_i_i_a2_10_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance tx_sel_x_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) ) (instance STATE_ns_i_i_a2_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) (instance tx_sel_x_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B A))")) - ) - (instance tx_sel_x_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (B+A))")) - ) - (instance rx_ena_x_1_iv_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (B+A)))")) + (property lut_function (string "(D+(!C (B A)))")) ) - (instance STATE_ns_i_i_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B A)))")) + (instance STATE_ns_0_i_a2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)+C B))")) ) - (instance tx_load_x_iv_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+A)+C A))")) + (instance STATE_ns_i_i_o2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C !B)+D !B)")) ) - (instance tx_sel_x_i_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance tx_load_x_iv_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A))+D B)")) ) (instance STATE_ns_0_i_s_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance STATE_ns_i_i_a2_19_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance STATE_ns_0_i_s_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance rx_ena_x_1_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B+A)+C A))")) + (instance tx_sel_x_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(B+A)))")) ) - (instance STATE_ns_0_i_s_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) + (instance tx_ena_x_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) ) - (instance tx_sel_x_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (B+A))")) + (instance STATE_ns_i_i_a2_23_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance STATE_ns_0_i_s_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance rx_ena_x_1_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance tx_ena_x_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(B+A))")) + (instance STATE_ns_0_i_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance STATE_ns_0_i_s_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B+A)+C A))")) + (instance STATE_ns_0_i_s_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) ) - (instance STATE_ns_i_i_o2_1_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B A))+D C)")) + (instance tx_load_x_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance STATE_ns_i_i_6_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance STATE_ns_i_i_a2_17_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D !C)")) + (instance tx_sel_x_i_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D B)")) ) - (instance STATE_ns_i_i_7_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B A)))")) + (instance STATE_RNO_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) (instance STATE_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B+C (!B !A))+D (!C !B))")) - ) - (instance tx_load_x_iv_i_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+!A)))")) + (property lut_function (string "(!D (!C (!B+!A)))")) ) - (instance tx_load_x_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A))+D !B)")) + (instance STATE_ns_i_i_o2_1_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C !A)+D (!C (B+!A)+C !A))")) ) - (instance tx_load_x_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B A)))")) + (instance STATE_ns_i_i_o2_1_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+A))")) ) (instance addr_ctre_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) @@ -886367,20 +886539,23 @@ (instance rx_bit_cnt_4_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance STATE_ns_i_i_a2_9_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) (instance tx_sel_RNIS26A_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) + (instance is_data_x_1_iv_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C !B+C (!B A)))")) + ) + (instance tx_load_x_iv_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) (instance STATE_ns_0_i_a2_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (!B A)))")) ) (instance tx_sel_RNIN72D_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance tx_sel_x_i_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C+(B !A)))")) + (instance STATE_ns_i_i_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) ) (instance addr_ctr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) @@ -886434,76 +886609,78 @@ (portRef Q (instanceRef div_counter_0)) (portRef A (instanceRef div_counter_RNO_1)) (portRef A (instanceRef div_counter_RNO_2)) - (portRef A (instanceRef or_all_tmp_0_a2)) + (portRef A (instanceRef or_all_tmp_0_a2_0_a2)) (portRef A (instanceRef div_counter_RNO_0)) )) (net div_counter_i_0 (joined (portRef Z (instanceRef div_counter_RNO_0)) (portRef D (instanceRef div_counter_0)) )) - (net tx_sel_x_i_0_0_o2_0 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_o2_0)) - (portRef A (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef B (instanceRef tx_load_x_iv_i_o2_0)) - (portRef B (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) + (net un1_tx_bit_cnt (joined + (portRef Z (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) + (portRef C (instanceRef tx_load_x_iv_i_a2)) + (portRef C (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef C (instanceRef tx_sel_x_i_0_1)) + (portRef D (instanceRef tx_sel_x_i_0_0_0)) + (portRef C (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef D (instanceRef tx_load_x_iv_i_a2_0)) + (portRef C (instanceRef tx_ena_x_1_iv_0_o2)) + (portRef D (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_1)) + (portRef B (instanceRef tx_sel_x_i_0_a2_2_0)) + (portRef B (instanceRef tx_sel_x_i_0_RNO_0)) )) (net cmd_int_7 (joined (portRef Q (instanceRef cmd_int_7)) - (portRef C (instanceRef tx_load_x_iv_i_o2_0)) + (portRef D (instanceRef tx_load_x_iv_i_o2)) (portRef D (instanceRef STATE_ns_i_i_o2_0_15)) - (portRef C (instanceRef tx_load_x_iv_i_a2_7)) - (portRef D (instanceRef tx_sel_x_i_0_o2_0_1)) - (portRef D (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef D (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + (portRef C (instanceRef tx_sel_x_i_0_a2_3_0)) + (portRef D (instanceRef STATE_ns_0_i_o2_7)) + (portRef D (instanceRef tx_sel_x_i_0_a2_3_2)) (portRef C (instanceRef adm_int_RNIB4D01_7)) - (portRef D (instanceRef STATE_ns_i_i_a2_6_1_15)) + (portRef D (instanceRef STATE_ns_i_i_a2_25_15)) (portRef C (instanceRef rx_ena_x_1_iv_i_o2_2)) - (portRef B (instanceRef tx_load_x_iv_i_a2_5)) + (portRef B (instanceRef STATE_ns_i_i_a2_12_15)) (portRef B (instanceRef STATE_ns_i_i_o2_5_RNIRUB01_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - (portRef C (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) + (portRef C (instanceRef tx_sel_x_i_0_RNO_0)) )) (net cmd_int_6 (joined (portRef Q (instanceRef cmd_int_6)) - (portRef D (instanceRef STATE_ns_i_i_o2_1_1_15)) - (portRef D (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef C (instanceRef STATE_ns_i_i_a2_19_15)) + (portRef A (instanceRef tx_load_x_iv_i_a2)) + (portRef D (instanceRef tx_sel_x_i_0_0_1)) + (portRef D (instanceRef STATE_ns_0_i_a2_8)) + (portRef C (instanceRef STATE_ns_i_i_a2_23_15)) + (portRef C (instanceRef STATE_ns_i_i_o2_15)) (portRef C (instanceRef STATE_ns_i_i_a2_2_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_10_15)) (portRef D (instanceRef STATE_ns_0_a3_0_a2_13)) - (portRef C (instanceRef STATE_ns_0_i_a2_7)) + (portRef D (instanceRef STATE_ns_0_i_s_0_7)) (portRef D (instanceRef rx_ena_x_1_iv_i_a2_5)) + (portRef C (instanceRef tx_sel_x_i_0_a2_2)) (portRef D (instanceRef tx_sregc_5_2)) - (portRef D (instanceRef tx_load_x_iv_i_a2_7_2)) + (portRef D (instanceRef tx_sel_x_i_0_a2_3_2_0)) (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz_0)) (portRef D (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef C (instanceRef STATE_ns_i_i_a2_6_1_15)) - (portRef C (instanceRef tx_sel_x_i_0_a2_4_1)) - (portRef A (instanceRef tx_load_x_iv_i_a2_5)) + (portRef C (instanceRef STATE_ns_i_i_a2_25_15)) + (portRef A (instanceRef STATE_ns_i_i_a2_12_15)) (portRef C (instanceRef STATE_ns_i_i_o2_5_RNIRUB01_15)) - (portRef D (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - (portRef D (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) + (portRef D (instanceRef tx_sel_x_i_0_RNO_0)) )) - (net N_362 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) - (portRef A (instanceRef tx_ena_x_1_0_i)) - (portRef A (instanceRef STATE_ns_0_i_s_3)) + (net tx_sel_x_i_0_a2_0_0 (joined + (portRef Z (instanceRef tx_sel_x_i_0_RNO_0)) + (portRef D (instanceRef tx_sel_x_i_0_0)) )) - (net N_713 (joined + (net STATE_ns_i_i_o2_5_15 (joined (portRef Z (instanceRef STATE_ns_i_i_o2_5_15)) + (portRef B (instanceRef rx_ena_x_1_iv_i_a2_6)) (portRef A (instanceRef STATE_ns_i_i_o2_0_15)) (portRef A (instanceRef STATE_ns_i_i_o2_5_RNIRUB01_15)) - (portRef B (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - )) - (net STATE_ns_i_i_o2_5_RNI5BSC1_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - (portRef B (instanceRef rx_ena_x_1_iv_i)) - (portRef C (instanceRef STATE_ns_0_i_s_7)) )) (net STATE_ns_i_i_o2_5_RNIRUB01_15 (joined (portRef Z (instanceRef STATE_ns_i_i_o2_5_RNIRUB01_15)) - (portRef B (instanceRef tx_sel_x_i_0_1)) - (portRef A (instanceRef STATE_ns_0_a3_0_0_a2_11)) + (portRef B (instanceRef STATE_ns_0_i_s_7)) + (portRef A (instanceRef tx_sel_x_i_0_1)) + (portRef B (instanceRef STATE_ns_0_a3_0_a2_11)) (portRef A (instanceRef STATE_ns_i_i_a2_4_15)) )) (net rx_bit_cnt_Q_1 (joined @@ -886527,7 +886704,7 @@ )) (net rx_ena_RNINE87_0 (joined (portRef Z (instanceRef rx_ena_RNINE87_0)) - (portRef B (instanceRef rx_bit_cnt_RNO_2)) + (portRef A (instanceRef rx_bit_cnt_RNO_2)) (portRef B (instanceRef rx_bit_cnt_RNO_0)) (portRef C (instanceRef rx_bit_cnt_RNO_1)) )) @@ -886562,28 +886739,67 @@ (portRef Z (instanceRef rx_bit_cnt_RNO_0)) (portRef D (instanceRef rx_bit_cnt_0)) )) + (net cmd_int_0 (joined + (portRef Q (instanceRef cmd_int_0)) + (portRef B (instanceRef tx_load_x_iv_i_a2_1)) + (portRef D (instanceRef STATE_ns_i_i_o2_5_15)) + (portRef C (instanceRef STATE_ns_0_i_a2_4_6)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_2)) + (portRef D (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef C (instanceRef is_data_x_1_iv_i_a2_2)) + (portRef D (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_1)) + (portRef B (instanceRef tx_sel_x_i_0_a2_3_2_0)) + (portRef B (instanceRef STATE_ns_0_i_a2_3_3)) + (portRef A (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef C (instanceRef tx_sel_x_i_0_a2_0_2_2)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_0)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef A (instanceRef tx_sreg_RNO_2_0)) + (portRef A (instanceRef rx_ena_x_1_iv_i_o2_3)) + (portRef A (instanceRef STATE_ns_i_i_a2_16_15)) + (portRef B (instanceRef STATE_ns_0_i_s_RNO_6)) + )) + (net STATE_ns_0_i_a2_2_6 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_2_6)) + (portRef B (instanceRef STATE_ns_i_i_2_15)) + (portRef B (instanceRef is_data_x_1_iv_i_a2_2)) + (portRef C (instanceRef STATE_ns_0_i_s_RNO_6)) + )) + (net N_548 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_25_15)) + (portRef A (instanceRef STATE_ns_i_i_1_15)) + (portRef A (instanceRef STATE_ns_0_i_a2_4_6)) + (portRef A (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef A (instanceRef is_data_x_1_iv_i_a2_2)) + (portRef D (instanceRef STATE_ns_0_i_s_RNO_6)) + )) + (net N_684 (joined + (portRef Z (instanceRef STATE_ns_0_i_s_RNO_6)) + (portRef B (instanceRef STATE_ns_0_i_s_6)) + )) (net tx_bit_cnt_Q_3 (joined (portRef Q (instanceRef tx_bit_cnt_3)) - (portRef D (instanceRef STATE_ns_i_i_0_a2_9)) + (portRef D (instanceRef STATE_ns_i_i_a2_9)) (portRef D (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) (portRef A (instanceRef last_tx_bit_RNO)) (portRef A (instanceRef tx_bit_cnt_RNO_3)) )) - (net N_723 (joined - (portRef Z (instanceRef last_tx_bit_x_0_a2_0_o2)) + (net N_629 (joined + (portRef Z (instanceRef last_tx_bit_x_0_o2)) (portRef B (instanceRef tx_bit_cnt_RNO_3)) )) (net tx_load_Q (joined (portRef Q (instanceRef tx_load)) (portRef C (instanceRef tx_sel_RNIN72D_2)) (portRef B (instanceRef tx_sel_RNIS26A_0_2)) - (portRef D (instanceRef tx_sregc_2_2)) (portRef D (instanceRef tx_sregc_4_2)) (portRef D (instanceRef tx_sregc_0_2)) - (portRef D (instanceRef tx_sregc_5_1)) + (portRef D (instanceRef tx_sregc_2_2)) (portRef D (instanceRef tx_sregc_1_1)) - (portRef D (instanceRef tx_sregc_5_0)) + (portRef D (instanceRef tx_sregc_5_1)) (portRef D (instanceRef tx_sregc_1_0)) + (portRef D (instanceRef tx_sregc_5_0)) (portRef D (instanceRef tx_sel_RNIFE2A1_0)) (portRef D (instanceRef tx_sregc_0_4)) (portRef D (instanceRef tx_sreg_RNI3EHG_6)) @@ -886602,132 +886818,59 @@ (portRef C (instanceRef tx_bit_cnt_RNO_1)) (portRef C (instanceRef tx_bit_cnt_RNO_3)) )) - (net N_400_i (joined + (net N_614_i (joined (portRef Z (instanceRef tx_bit_cnt_RNO_3)) (portRef D (instanceRef tx_bit_cnt_3)) )) - (net STATE_7 (joined - (portRef Q (instanceRef STATE_7)) - (portRef D (instanceRef STATE_ns_i_i_o2_1_0_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_4_0_15)) - (portRef B (instanceRef STATE_ns_0_i_a2_7)) - (portRef C (instanceRef rx_ena_x_1_iv_i_a2_5)) - (portRef B (instanceRef STATE_ns_0_i_a2_1_3)) - (portRef B (instanceRef STATE_ns_0_i_a2_0_6)) - (portRef B (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef B (instanceRef STATE_ns_i_i_a2_15_15)) - (portRef A (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - (portRef A (instanceRef tx_sel_x_i_0_0_o2_RNIVVI71_0)) - (portRef STATE_7) - )) - (net tx_load_x_iv_i_a2_5_RNIH6G61 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - (portRef B (instanceRef cmd_int_RNI0T272_3)) - (portRef B (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - )) - (net cmd_int_4 (joined - (portRef Q (instanceRef cmd_int_4)) - (portRef C (instanceRef tx_sreg_RNO_4)) - (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_2)) - (portRef B (instanceRef tx_sel_x_i_0_0_a2_3_0)) - (portRef C (instanceRef tx_sel_x_i_0_o2_0_1)) - (portRef C (instanceRef tx_load_x_iv_i_a2_7_2)) - (portRef B (instanceRef tx_load_x_iv_i_a2_2)) - (portRef C (instanceRef tx_sel_x_i_0_a2_1_2)) - (portRef B (instanceRef rx_ena_x_1_iv_i_o2_2)) - (portRef C (instanceRef rx_ena_x_1_iv_i_o2_1)) - (portRef B (instanceRef rx_ena_x_1_iv_i_o2)) - (portRef C (instanceRef cmd_int_RNI0T272_3)) - (portRef C (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - )) - (net cmd_int_3 (joined - (portRef Q (instanceRef cmd_int_3)) - (portRef D (instanceRef tx_sregc_2_1)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_2)) - (portRef B (instanceRef tx_load_x_iv_i_a2_7)) - (portRef B (instanceRef tx_sel_x_i_0_o2_0_1)) - (portRef A (instanceRef tx_load_x_iv_i_a2_2)) - (portRef D (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef B (instanceRef tx_sel_x_i_0_a2_1_2)) - (portRef A (instanceRef rx_ena_x_1_iv_i_o2_2)) - (portRef B (instanceRef rx_ena_x_1_iv_i_o2_1)) - (portRef A (instanceRef rx_ena_x_1_iv_i_o2)) - (portRef D (instanceRef cmd_int_RNI0T272_3)) - (portRef D (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - )) - (net tx_ena_x_1_iv_0_a2_3_RNO (joined - (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_3_RNO)) - (portRef A (instanceRef tx_ena_x_1_iv_0_a2_3)) - )) - (net cmd_int_1 (joined - (portRef Q (instanceRef cmd_int_1)) - (portRef D (instanceRef STATE_ns_i_i_1_15)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_4)) - (portRef C (instanceRef tx_sel_x_i_0_o2_2)) - (portRef D (instanceRef tx_sel_x_i_0_a2_2_1)) - (portRef D (instanceRef tx_sregc_0_1)) - (portRef A (instanceRef tx_load_x_iv_i_a2_7)) - (portRef D (instanceRef STATE_ns_i_i_a2_6_15)) - (portRef A (instanceRef tx_sel_x_i_0_o2_0_1)) - (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_1)) - (portRef C (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef B (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef B (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef D (instanceRef STATE_ns_i_i_a2_13_15)) - (portRef A (instanceRef tx_sel_x_i_0_a2_1_2)) - (portRef B (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef A (instanceRef rx_ena_x_1_iv_i_o2_1)) - (portRef A (instanceRef cmd_int_RNI0T272_3)) - )) - (net N_321_2 (joined - (portRef Z (instanceRef cmd_int_RNI0T272_3)) - (portRef A (instanceRef is_data_x_1_iv_i)) - (portRef A (instanceRef STATE_ns_0_i_a2_0_6)) - )) - (net tx_load_x_iv_i_a2_2 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_2)) - (portRef B (instanceRef STATE_ns_i_i_o2_5_15)) - (portRef A (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) - )) - (net N_206 (joined + (net rx_ena_x_1_iv_i_o2_1 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_o2_1)) - (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz)) + (portRef B (instanceRef STATE_ns_i_i_1_15)) + (portRef C (instanceRef STATE_ns_i_i_o2_5_15)) + (portRef B (instanceRef STATE_ns_0_i_a2_4_6)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + (portRef C (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) + )) + (net N_530 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_2_2)) (portRef A (instanceRef STATE_ns_i_i_o2_5_15)) - (portRef A (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef B (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) + (portRef B (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) )) (net cmd_int_5 (joined (portRef Q (instanceRef cmd_int_5)) - (portRef D (instanceRef tx_sel_x_i_0_0_o2_0)) + (portRef C (instanceRef tx_load_x_iv_i_a2_1)) + (portRef D (instanceRef STATE_ns_0_i_a2_2_3)) (portRef D (instanceRef tx_sregc_4_1)) + (portRef D (instanceRef tx_sel_x_i_0_a2_0_2_2)) (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_0)) - (portRef D (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef C (instanceRef STATE_ns_i_i_a2_14_15)) - (portRef B (instanceRef tx_load_x_iv_i_a2_3_0)) - (portRef B (instanceRef tx_load_x_iv_i_a2_11)) - (portRef C (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - (portRef C (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) + (portRef D (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef B (instanceRef STATE_ns_i_i_a2_25_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_16_15)) + (portRef B (instanceRef tx_sel_x_i_0_a2_6_2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) )) (net cmd_int_2 (joined (portRef Q (instanceRef cmd_int_2)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz)) (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_4)) - (portRef D (instanceRef tx_sel_x_i_0_o2_2)) + (portRef D (instanceRef tx_sel_x_i_0_o2_0_2)) (portRef D (instanceRef tx_sregc_1_2)) - (portRef D (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef C (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef C (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef C (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef B (instanceRef STATE_ns_i_i_a2_14_15)) - (portRef A (instanceRef tx_load_x_iv_i_a2_11)) - (portRef D (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - (portRef D (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) - )) - (net tx_load_x_iv_i_a2_2_RNI8B921 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_2_RNI8B921)) - (portRef B (instanceRef tx_load_x_iv_i_2_1)) - (portRef B (instanceRef tx_sel_x_i_0_0_o2_0)) - (portRef B (instanceRef tx_sel_x_i_0_a2_0_2)) + (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + (portRef D (instanceRef STATE_ns_0_i_a2_3_3)) + (portRef C (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef C (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef A (instanceRef STATE_ns_i_i_a2_25_15)) + (portRef C (instanceRef rx_ena_x_1_iv_i_o2_3)) + (portRef B (instanceRef STATE_ns_i_i_a2_16_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_6_2)) + (portRef D (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) + )) + (net tx_sel_x_i_0_a2_2_RNI1KCV_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_2_RNI1KCV_2)) + (portRef B (instanceRef tx_sel_x_i_0_0)) + (portRef C (instanceRef tx_load_x_iv_i_o2)) + (portRef C (instanceRef STATE_ns_0_i_a2_0_3)) + (portRef B (instanceRef tx_sel_x_i_0_2)) + (portRef B (instanceRef tx_load_x_iv_i_a2_0)) )) (net start_Q (joined (portRef Q (instanceRef start)) @@ -886747,37 +886890,19 @@ (portRef Z (instanceRef STATE_RNO_15)) (portRef D (instanceRef STATE_15)) )) - (net N_209 (joined - (portRef Z (instanceRef STATE_ns_i_0_o2_0_12)) - (portRef A (instanceRef tx_sel_x_i_0_a2_2)) - (portRef A (instanceRef STATE_ns_i_0_a2_12)) - (portRef A (instanceRef tx_ena_x_1_iv_0_o2)) - (portRef A (instanceRef STATE_RNO_0_0)) - )) - (net STATE_4 (joined - (portRef Q (instanceRef STATE_4)) - (portRef C (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef C (instanceRef STATE_RNO_0_0)) - (portRef A (instanceRef STATE_ns_i_i_o2_5_RNI5BSC1_15)) - (portRef STATE_4) - )) - (net STATE_ns_i_i_a2_0_15 (joined - (portRef Z (instanceRef STATE_RNO_0_0)) - (portRef C (instanceRef STATE_RNO_0)) - )) (net tx_bit_cnt_Q_2 (joined (portRef Q (instanceRef tx_bit_cnt_2)) (portRef D (instanceRef tx_bit_cnt_RNO_2)) - (portRef C (instanceRef STATE_ns_i_i_0_a2_9)) - (portRef C (instanceRef last_tx_bit_x_0_a2_0_o2)) + (portRef C (instanceRef STATE_ns_i_i_a2_9)) + (portRef C (instanceRef last_tx_bit_x_0_o2)) (portRef C (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) (portRef B (instanceRef last_tx_bit_RNO)) )) (net tx_bit_cnt_Q_1 (joined (portRef Q (instanceRef tx_bit_cnt_1)) (portRef C (instanceRef tx_bit_cnt_RNO_2)) - (portRef B (instanceRef STATE_ns_i_i_0_a2_9)) - (portRef B (instanceRef last_tx_bit_x_0_a2_0_o2)) + (portRef B (instanceRef STATE_ns_i_i_a2_9)) + (portRef B (instanceRef last_tx_bit_x_0_o2)) (portRef B (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) (portRef A (instanceRef tx_bit_cnt_RNO_1)) (portRef C (instanceRef last_tx_bit_RNO)) @@ -886785,8 +886910,8 @@ (net tx_bit_cnt_Q_0 (joined (portRef Q (instanceRef tx_bit_cnt_0)) (portRef B (instanceRef tx_bit_cnt_RNO_2)) - (portRef A (instanceRef STATE_ns_i_i_0_a2_9)) - (portRef A (instanceRef last_tx_bit_x_0_a2_0_o2)) + (portRef A (instanceRef STATE_ns_i_i_a2_9)) + (portRef A (instanceRef last_tx_bit_x_0_o2)) (portRef B (instanceRef tx_bit_cntc)) (portRef A (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) (portRef B (instanceRef tx_bit_cnt_RNO_1)) @@ -886796,35 +886921,6 @@ (portRef Z (instanceRef last_tx_bit_RNO)) (portRef D (instanceRef last_tx_bit)) )) - (net cmd_int_0 (joined - (portRef Q (instanceRef cmd_int_0)) - (portRef C (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef C (instanceRef STATE_ns_i_i_a2_13_RNI5EBQ_15)) - (portRef B (instanceRef is_data_x_1_iv_i_a2_3)) - (portRef D (instanceRef STATE_ns_i_i_o2_5_15)) - (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_2)) - (portRef D (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_1)) - (portRef B (instanceRef tx_load_x_iv_i_a2_7_2)) - (portRef B (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef A (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef A (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_0)) - (portRef A (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef A (instanceRef tx_sreg_RNO_2_0)) - (portRef A (instanceRef STATE_ns_i_i_a2_14_15)) - (portRef A (instanceRef tx_load_x_iv_i_a2_3_0)) - (portRef A (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - )) - (net tx_load_x_iv_i_a2_5 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_5)) - (portRef C (instanceRef tx_load_x_iv_i_2_1)) - (portRef B (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef C (instanceRef tx_sel_x_i_0_a2_0_2)) - (portRef B (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef B (instanceRef STATE_ns_i_i_a2_13_15)) - (portRef B (instanceRef tx_load_x_iv_i_a2_5_RNIH6G61)) - )) (net reset_i_1 (joined (portRef reset_i_1) (portRef C (instanceRef addr_ctre_0_i)) @@ -886937,7 +887033,7 @@ (portRef D (instanceRef tx_bit_cnt_RNO_1)) (portRef D (instanceRef tx_bit_cnt_RNO_3)) )) - (net N_398_i (joined + (net N_612_i (joined (portRef Z (instanceRef tx_bit_cnt_RNO_1)) (portRef D (instanceRef tx_bit_cnt_1)) )) @@ -886946,13 +887042,13 @@ (portRef D (instanceRef tx_sel_RNIN72D_2)) (portRef A (instanceRef tx_sel_RNIS26A_0_2)) (portRef D (instanceRef tx_sel_RNIO7KK2_2)) - (portRef C (instanceRef tx_sregc_5_1)) (portRef C (instanceRef tx_sregc_1_1)) + (portRef C (instanceRef tx_sregc_5_1)) (portRef C (instanceRef tx_sreg_RNO_0_0)) (portRef D (instanceRef adh_int_RNID0GR_7)) (portRef C (instanceRef tx_sel_RNIVIEH_2)) - (portRef B (instanceRef tx_sreg_RNO_0_4)) (portRef D (instanceRef tx_sreg_RNO_2_0)) + (portRef B (instanceRef tx_sreg_RNO_0_4)) (portRef B (instanceRef tx_sel_RNIS26A_2)) (portRef C (instanceRef tx_sel_RNIN72D_0_2)) (portRef C (instanceRef tx_sregc_3_2_bm)) @@ -886961,18 +887057,18 @@ (net spi_debug_1 (joined (portRef Q (instanceRef tx_sel_1)) (portRef A (instanceRef tx_sel_RNIN72D_2)) - (portRef B (instanceRef tx_sregc_5_1)) (portRef B (instanceRef tx_sregc_1_1)) - (portRef C (instanceRef tx_sregc_5_0)) + (portRef B (instanceRef tx_sregc_5_1)) (portRef C (instanceRef tx_sregc_1_0)) + (portRef C (instanceRef tx_sregc_5_0)) (portRef C (instanceRef tx_sregc_0_4)) - (portRef D (instanceRef tx_sregc_4_2_RNO)) (portRef D (instanceRef tx_sregc_0_2_RNO)) (portRef B (instanceRef tx_sreg_RNO_0_0)) (portRef D (instanceRef tx_sregc_2_2_RNO)) + (portRef D (instanceRef tx_sregc_4_2_RNO)) (portRef D (instanceRef adm_int_RNIB4D01_7)) - (portRef C (instanceRef adh_int_RNID0GR_7)) (portRef D (instanceRef tx_sreg_RNO_1_0)) + (portRef C (instanceRef adh_int_RNID0GR_7)) (portRef B (instanceRef tx_sel_RNIVIEH_2)) (portRef C (instanceRef tx_sreg_RNO_2_0)) (portRef B (instanceRef tx_sel_RNIN72D_0_2)) @@ -886988,42 +887084,32 @@ (portRef B (instanceRef tx_sregc_0_4)) (portRef A (instanceRef tx_sreg_RNO_0_0)) (portRef C (instanceRef tx_sreg_RNO_1_0)) - (portRef A (instanceRef tx_sreg_RNO_0_4)) (portRef B (instanceRef tx_sreg_RNO_2_0)) + (portRef A (instanceRef tx_sreg_RNO_0_4)) (portRef A (instanceRef tx_sel_RNIS26A_2)) (portRef A (instanceRef tx_sel_RNIN72D_0_2)) - (portRef C (instanceRef tx_sregc_3_2_bm_RNO)) (portRef C (instanceRef tx_sregc_5_0_RNO)) (portRef C (instanceRef tx_sregc_1_0_RNO)) + (portRef C (instanceRef tx_sregc_3_2_bm_RNO)) (portRef A (instanceRef tx_sel_RNI0U97_0)) (portRef C (instanceRef tx_sregc_3_2_am)) (portRef C (instanceRef tx_sel_RNIR26A_0)) (portRef D (instanceRef tx_sel_RNIN72D_1_2)) )) - (net tx_sel_RNIN72D_1_2 (joined + (net N_555 (joined (portRef Z (instanceRef tx_sel_RNIN72D_1_2)) - (portRef B (instanceRef tx_sreg_RNO_4)) - (portRef B (instanceRef tx_sregc_5_2)) - (portRef B (instanceRef tx_sregc_1_2)) - (portRef A (instanceRef tx_sregc_2_1)) + (portRef A (instanceRef tx_sreg_RNO_4)) + (portRef A (instanceRef tx_sregc_1_2)) (portRef A (instanceRef tx_sregc_4_1)) + (portRef A (instanceRef tx_sregc_5_2)) (portRef A (instanceRef tx_sregc_0_1)) + (portRef A (instanceRef tx_sregc_2_1)) )) - (net tx_sel_RNIR26A_0 (joined + (net N_579 (joined (portRef Z (instanceRef tx_sel_RNIR26A_0)) - (portRef B (instanceRef tx_sregc_2_1)) (portRef B (instanceRef tx_sregc_4_1)) (portRef B (instanceRef tx_sregc_0_1)) - )) - (net STATE_8 (joined - (portRef Q (instanceRef STATE_8)) - (portRef A (instanceRef STATE_ns_0_i_a2_1_7)) - (portRef B (instanceRef rx_ena_x_1_iv_i_0)) - (portRef C (instanceRef STATE_ns_0_a3_0_a2_13)) - (portRef B (instanceRef STATE_ns_i_i_a2_6_1_15)) - (portRef A (instanceRef rx_store_RNO)) - (portRef B (instanceRef STATE_RNO_0_0)) - (portRef STATE_8) + (portRef B (instanceRef tx_sregc_2_1)) )) (net rx_bit_cnt_Q_2 (joined (portRef Q (instanceRef rx_bit_cnt_2)) @@ -887065,7 +887151,7 @@ (portRef B (instanceRef tx_sregc_3_2_am)) (portRef B (instanceRef tx_sregc_3_2_bm)) )) - (net N_981 (joined + (net N_326 (joined (portRef Z (instanceRef tx_sregc_3_2_bm_RNO)) (portRef D (instanceRef tx_sregc_3_2_bm)) )) @@ -887285,7 +887371,7 @@ (portRef A (instanceRef tx_sreg_RNI3EHG_6)) )) (net N_786_0 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_0)) + (portRef Z (instanceRef tx_sel_x_i_0_0)) (portRef D (instanceRef tx_sel_0)) )) (net div_done_Q (joined @@ -887331,7 +887417,7 @@ (portRef Z (instanceRef tx_sel_x_i_0_2)) (portRef D (instanceRef tx_sel_2)) )) - (net tx_load_x_iv_i (joined + (net N_156 (joined (portRef Z (instanceRef tx_load_x_iv_i)) (portRef D (instanceRef tx_load)) )) @@ -887348,12 +887434,12 @@ (portRef Z (instanceRef tx_bit_cntc)) (portRef D (instanceRef tx_bit_cnt_0)) )) - (net N_399_i (joined + (net N_613_i (joined (portRef Z (instanceRef tx_bit_cnt_RNO_2)) (portRef D (instanceRef tx_bit_cnt_2)) )) (net un1_start_in (joined - (portRef Z (instanceRef THE_START_PROC_un1_start_in)) + (portRef Z (instanceRef THE_START_PROC_un1_start_in_0_a2)) (portRef SP (instanceRef adh_int_7)) (portRef SP (instanceRef adh_int_6)) (portRef SP (instanceRef adh_int_5)) @@ -887409,7 +887495,7 @@ (portRef D (instanceRef rx_data_1)) (portRef D (instanceRef rx_sreg_2)) )) - (net N_727_i (joined + (net N_633_i (joined (portRef Z (instanceRef rx_ena_RNINE87)) (portRef SP (instanceRef rx_sreg_7)) (portRef SP (instanceRef rx_sreg_6)) @@ -887418,7 +887504,7 @@ (portRef SP (instanceRef rx_sreg_3)) (portRef SP (instanceRef rx_sreg_2)) (portRef SP (instanceRef rx_sreg_1)) - (portRef N_727_i) + (portRef N_633_i) )) (net rx_sreg_2 (joined (portRef Q (instanceRef rx_sreg_2)) @@ -887449,7 +887535,7 @@ (portRef Q (instanceRef rx_sreg_7)) (portRef D (instanceRef rx_data_7)) )) - (net rx_ena_x_1_iv_i (joined + (net N_158 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i)) (portRef D (instanceRef rx_ena)) )) @@ -887464,7 +887550,7 @@ (portRef D (instanceRef rx_data_0)) (portRef D (instanceRef rx_sreg_1)) )) - (net N_445_i (joined + (net N_388_i (joined (portRef Z (instanceRef rx_store_RNIC4G6)) (portRef D (instanceRef rx_complete)) (portRef SP (instanceRef rx_data_7)) @@ -887514,7 +887600,7 @@ )) (net N_650_0 (joined (portRef Z (instanceRef start_RNI11OL)) - (portRef D (instanceRef tx_load_x_iv_i_2_1)) + (portRef D (instanceRef tx_load_x_iv_i_1)) (portRef D (instanceRef STATE_14)) (portRef D (instanceRef rst_addr)) )) @@ -887617,7 +887703,7 @@ (portRef A (instanceRef ce_addr_x_0_i)) )) (net div_done_x (joined - (portRef Z (instanceRef or_all_tmp_0_a2)) + (portRef Z (instanceRef or_all_tmp_0_a2_0_a2)) (portRef D (instanceRef div_done)) )) (net div_counterc_i (joined @@ -887629,9 +887715,9 @@ (portRef B (instanceRef div_counter_RNO_1)) (portRef B (instanceRef div_counter_RNO_2)) (portRef A (instanceRef tx_ena_RNIVDST)) - (portRef B (instanceRef or_all_tmp_0_a2)) + (portRef B (instanceRef or_all_tmp_0_a2_0_a2)) )) - (net N_52_i_i (joined + (net N_302_i_i (joined (portRef Z (instanceRef div_counter_RNO_2)) (portRef D (instanceRef div_counter_2)) )) @@ -887639,7 +887725,7 @@ (portRef Q (instanceRef div_counter_2)) (portRef C (instanceRef div_counter_RNO_2)) (portRef B (instanceRef tx_ena_RNIVDST)) - (portRef C (instanceRef or_all_tmp_0_a2)) + (portRef C (instanceRef or_all_tmp_0_a2_0_a2)) )) (net data_done_x (joined (portRef S1 (instanceRef data_done_x_0_I_21_0)) @@ -887667,10 +887753,10 @@ )) (net data_done_5 (joined (portRef Q (instanceRef data_done_0_5)) + (portRef D (instanceRef STATE_ns_i_i_o2_15)) (portRef D (instanceRef STATE_ns_i_i_a2_2_15)) - (portRef C (instanceRef STATE_ns_i_i_a2_10_15)) - (portRef D (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef C (instanceRef is_data_x_1_iv_i_a2_3)) + (portRef C (instanceRef STATE_ns_0_i_a2_1_6)) + (portRef D (instanceRef STATE_ns_0_i_a2_4_6)) )) (net reg_ctrl_data_24 (joined (portRef (member reg_ctrl_data 7)) @@ -887680,6 +887766,24 @@ (portRef (member reg_ctrl_data 6)) (portRef D (instanceRef cmd_int_1)) )) + (net cmd_int_1 (joined + (portRef Q (instanceRef cmd_int_1)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_4)) + (portRef D (instanceRef STATE_ns_i_i_a2_9_15)) + (portRef C (instanceRef tx_sel_x_i_0_o2_0_2)) + (portRef D (instanceRef STATE_ns_0_i_a2_2_7)) + (portRef D (instanceRef tx_sregc_0_1)) + (portRef A (instanceRef tx_sel_x_i_0_a2_3_0)) + (portRef A (instanceRef STATE_ns_0_i_o2_7)) + (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_1)) + (portRef C (instanceRef STATE_ns_0_i_a2_3_3)) + (portRef A (instanceRef tx_sel_x_i_0_a2_3_2)) + (portRef B (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef B (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef A (instanceRef STATE_ns_0_i_a2_2_6)) + (portRef A (instanceRef rx_ena_x_1_iv_i_o2_1)) + (portRef B (instanceRef rx_ena_x_1_iv_i_o2_3)) + )) (net reg_ctrl_data_26 (joined (portRef (member reg_ctrl_data 5)) (portRef D (instanceRef cmd_int_2)) @@ -887688,10 +887792,38 @@ (portRef (member reg_ctrl_data 4)) (portRef D (instanceRef cmd_int_3)) )) + (net cmd_int_3 (joined + (portRef Q (instanceRef cmd_int_3)) + (portRef D (instanceRef tx_sregc_2_1)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_2)) + (portRef B (instanceRef tx_sel_x_i_0_a2_3_0)) + (portRef B (instanceRef STATE_ns_0_i_o2_7)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2_2)) + (portRef B (instanceRef tx_sel_x_i_0_a2_3_2)) + (portRef D (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef B (instanceRef STATE_ns_0_i_a2_2_6)) + (portRef B (instanceRef rx_ena_x_1_iv_i_o2_1)) + (portRef A (instanceRef rx_ena_x_1_iv_i_o2_2)) + (portRef A (instanceRef rx_ena_x_1_iv_i_o2)) + )) (net reg_ctrl_data_28 (joined (portRef (member reg_ctrl_data 3)) (portRef D (instanceRef cmd_int_4)) )) + (net cmd_int_4 (joined + (portRef Q (instanceRef cmd_int_4)) + (portRef C (instanceRef tx_sreg_RNO_4)) + (portRef C (instanceRef STATE_ns_0_i_a2_2_3)) + (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz_2)) + (portRef C (instanceRef STATE_ns_0_i_o2_7)) + (portRef C (instanceRef tx_sel_x_i_0_a2_3_2_0)) + (portRef B (instanceRef tx_sel_x_i_0_a2_2_2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_3_2)) + (portRef C (instanceRef STATE_ns_0_i_a2_2_6)) + (portRef C (instanceRef rx_ena_x_1_iv_i_o2_1)) + (portRef B (instanceRef rx_ena_x_1_iv_i_o2_2)) + (portRef B (instanceRef rx_ena_x_1_iv_i_o2)) + )) (net reg_ctrl_data_29 (joined (portRef (member reg_ctrl_data 2)) (portRef D (instanceRef cmd_int_5)) @@ -887947,25 +888079,10 @@ (portRef S0 (instanceRef addr_ctr_s_0_7)) (portRef D (instanceRef addr_ctr_7)) )) - (net N_697_i (joined + (net N_600_i (joined (portRef Z (instanceRef STATE_RNO_0)) (portRef D (instanceRef STATE_0)) )) - (net STATE_1 (joined - (portRef Q (instanceRef STATE_1)) - (portRef C (instanceRef STATE_ns_i_i_7_15)) - (portRef C (instanceRef rx_ena_x_1_iv_i)) - (portRef B (instanceRef STATE_ns_i_i_3_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_2_15)) - (portRef C (instanceRef STATE_ns_i_i_6_RNO_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_4_15)) - (portRef B (instanceRef rx_ena_x_1_iv_i_a2_5)) - (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_0)) - (portRef A (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_6_1_15)) - (portRef D (instanceRef STATE_RNO_0_0)) - (portRef STATE_1) - )) (net STATE_ns_13 (joined (portRef Z (instanceRef STATE_ns_0_a3_0_a2_13)) (portRef D (instanceRef STATE_2)) @@ -887980,63 +888097,94 @@ (portRef D (instanceRef STATE_3)) )) (net STATE_ns_11 (joined - (portRef Z (instanceRef STATE_ns_0_a3_0_0_a2_11)) + (portRef Z (instanceRef STATE_ns_0_a3_0_a2_11)) (portRef D (instanceRef STATE_4)) )) - (net N_393_i (joined + (net N_609_i (joined (portRef Z (instanceRef STATE_RNO_5)) (portRef D (instanceRef STATE_5)) )) - (net N_392_i (joined + (net N_608_i (joined (portRef Z (instanceRef STATE_RNO_6)) (portRef D (instanceRef STATE_6)) )) - (net STATE_ns_0_i_s_0_8 (joined - (portRef Z (instanceRef STATE_ns_0_i_s_0_8)) + (net N_160 (joined + (portRef Z (instanceRef STATE_ns_0_i_s_8)) (portRef D (instanceRef STATE_7)) )) + (net STATE_7 (joined + (portRef Q (instanceRef STATE_7)) + (portRef C (instanceRef STATE_ns_i_i_3_15)) + (portRef A (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef C (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef D (instanceRef STATE_ns_0_i_a2_0_3)) + (portRef C (instanceRef STATE_ns_0_i_s_0_7)) + (portRef C (instanceRef rx_ena_x_1_iv_i_a2_5)) + (portRef B (instanceRef STATE_ns_0_i_a2_1_3)) + (portRef C (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef D (instanceRef STATE_ns_i_i_a2_1_15)) + (portRef A (instanceRef STATE_ns_0_i_s_RNO_6)) + (portRef STATE_7) + )) (net STATE_ns_0_i_s_7 (joined (portRef Z (instanceRef STATE_ns_0_i_s_7)) (portRef D (instanceRef STATE_8)) )) - (net N_696 (joined + (net STATE_8 (joined + (portRef Q (instanceRef STATE_8)) + (portRef A (instanceRef STATE_ns_0_i_a2_1_7)) + (portRef C (instanceRef STATE_ns_0_a3_0_a2_13)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3)) + (portRef D (instanceRef STATE_ns_i_i_a2_6_2_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_15_15)) + (portRef A (instanceRef rx_store_RNO)) + (portRef STATE_8) + )) + (net N_599 (joined (portRef Z (instanceRef STATE_ns_0_i_s_6)) (portRef D (instanceRef STATE_9)) )) - (net N_776_i (joined + (net N_726_i (joined (portRef Z (instanceRef STATE_RNO_10)) (portRef D (instanceRef STATE_10)) )) - (net N_774_i (joined + (net N_725_i (joined (portRef Z (instanceRef STATE_RNO_11)) (portRef D (instanceRef STATE_11)) )) - (net N_699 (joined + (net STATE_ns_0_i_s_3 (joined (portRef Z (instanceRef STATE_ns_0_i_s_3)) (portRef D (instanceRef STATE_12)) )) - (net N_772_i (joined + (net N_721_i (joined (portRef Z (instanceRef STATE_RNO_13)) (portRef D (instanceRef STATE_13)) )) - (net un1_tx_bit_cnt (joined - (portRef Z (instanceRef THE_STATE_TRANSITIONS_un1_tx_bit_cnt_p4)) - (portRef A (instanceRef tx_sel_x_i_0_0_1)) - (portRef D (instanceRef tx_load_x_iv_i_1)) - (portRef D (instanceRef tx_sel_x_i_0_0_0)) - (portRef D (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef D (instanceRef tx_sel_x_i_0_2)) - (portRef C (instanceRef tx_load_x_iv_i_a2_0)) - (portRef D (instanceRef tx_ena_x_1_iv_0_o2)) - (portRef D (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef B (instanceRef tx_sel_x_i_0_a2_4_1)) - (portRef B (instanceRef tx_sel_x_i_0_a2_5_1)) + (net STATE_13 (joined + (portRef Q (instanceRef STATE_13)) + (portRef D (instanceRef tx_load_x_iv_i_a2)) + (portRef D (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef D (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef D (instanceRef STATE_ns_0_i_s_8)) + (portRef C (instanceRef STATE_ns_0_i_a2_8)) + (portRef C (instanceRef tx_sel_x_i_0_0_0)) + (portRef B (instanceRef STATE_RNO_13)) + (portRef C (instanceRef tx_ena_x_1_iv_0_a2_0_0)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2_0)) + (portRef A (instanceRef tx_sel_x_i_0_RNO_0)) + (portRef STATE_13) )) (net rx_bit_cnt (joined (portRef Z (instanceRef THE_STATE_TRANSITIONS_rx_bit_cnt_p4)) - (portRef C (instanceRef rx_ena_x_1_iv_i_0)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3)) (portRef C (instanceRef rx_bit_cnt_RNO_2)) )) + (net N_545 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_6_2)) + (portRef B (instanceRef STATE_ns_i_i_o2_5_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_3_2_0)) + )) (net addr_ctr (joined (portRef Z (instanceRef THE_ADDR_COUNTER_un8_reset_0_a2)) (portRef A0 (instanceRef addr_ctr_s_0_7)) @@ -888049,220 +888197,259 @@ (portRef A1 (instanceRef addr_ctr_cry_0_0)) (portRef B0 (instanceRef addr_ctr_cry_0_0)) )) - (net tx_bit_cnt_RNO_0_2 (joined - (portRef Z (instanceRef tx_bit_cnt_RNO_0_2)) - (portRef A (instanceRef tx_bit_cnt_RNO_2)) - )) - (net N_207 (joined + (net rx_bit_cnt_4_i_o2_1_1 (joined (portRef Z (instanceRef rx_bit_cnt_4_i_o2_1_1)) - (portRef A (instanceRef rx_bit_cnt_RNO_2)) - )) - (net STATE_10 (joined - (portRef Q (instanceRef STATE_10)) - (portRef C (instanceRef STATE_ns_i_i_a2_9_2_15)) - (portRef C (instanceRef STATE_ns_0_a3_0_0_a2_11)) - (portRef C (instanceRef STATE_RNO_10)) - (portRef B (instanceRef STATE_ns_i_0_o2_0_12)) - (portRef A (instanceRef tx_sel_x_i_0_a2_5_1)) - (portRef STATE_10) - )) - (net N_585 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_5_1)) - (portRef A (instanceRef tx_load_x_iv_i_2_1)) - (portRef A (instanceRef tx_sel_x_i_0_1)) - (portRef A (instanceRef tx_sel_x_i_0_a2_0_2)) - )) - (net STATE_9 (joined - (portRef Q (instanceRef STATE_9)) - (portRef D (instanceRef STATE_ns_i_i_a2_9_2_15)) - (portRef D (instanceRef STATE_ns_0_i_s_6)) - (portRef C (instanceRef is_data_x_1_iv_i)) - (portRef D (instanceRef STATE_ns_i_i_a2_4_15)) - (portRef D (instanceRef tx_sel_x_i_0_a2_0_2)) - (portRef B (instanceRef STATE_ns_i_i_a2_13_RNI5EBQ_15)) - (portRef D (instanceRef STATE_ns_i_i_a2_8_15)) - (portRef A (instanceRef STATE_ns_i_0_o2_0_12)) - (portRef STATE_9) - )) - (net rx_ena_x_1_iv_i_o2 (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_o2)) - (portRef A (instanceRef STATE_ns_i_i_a2_6_15)) - (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_1)) - (portRef A (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef A (instanceRef STATE_ns_i_i_a2_13_15)) - )) - (net tx_load_x_iv_i_a2_11 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_11)) - (portRef C (instanceRef STATE_ns_i_i_o2_5_15)) - (portRef C (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef A (instanceRef tx_load_x_iv_i_a2_7_2)) - (portRef C (instanceRef STATE_ns_i_i_a2_13_15)) + (portRef B (instanceRef rx_bit_cnt_RNO_2)) )) (net busy_Q (joined (portRef Q (instanceRef busy)) (portRef B (instanceRef start_RNO)) - (portRef B (instanceRef THE_START_PROC_un1_start_in)) + (portRef B (instanceRef THE_START_PROC_un1_start_in_0_a2)) (portRef busy_Q) )) - (net STATE_3 (joined - (portRef Q (instanceRef STATE_3)) - (portRef B (instanceRef STATE_ns_i_i_o2_1_1_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_19_15)) - (portRef D (instanceRef STATE_ns_i_i_6_RNO_15)) - (portRef C (instanceRef STATE_ns_i_i_a2_4_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_1_0_15)) - (portRef B (instanceRef STATE_ns_i_i_o2_4_0_15)) - (portRef B (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef C (instanceRef STATE_ns_i_i_a2_8_15)) - (portRef A (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef B (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_15_15)) - (portRef STATE_3) - )) - (net STATE_ns_i_i_a2_15_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_15_15)) - (portRef D (instanceRef STATE_ns_i_i_0_15)) - )) - (net STATE_11 (joined - (portRef Q (instanceRef STATE_11)) - (portRef C (instanceRef tx_sel_x_i_0_0_0)) - (portRef C (instanceRef STATE_RNO_11)) - (portRef B (instanceRef STATE_RNO_5)) - (portRef A (instanceRef tx_sel_x_i_0_o2_1)) - (portRef STATE_11) + (net N_556 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_2_0)) + (portRef A (instanceRef tx_sel_x_i_0_0_1)) + (portRef A (instanceRef tx_load_x_iv_i_1)) + (portRef A (instanceRef tx_sel_x_i_0_a2_2)) )) - (net STATE_12 (joined - (portRef Q (instanceRef STATE_12)) - (portRef D (instanceRef STATE_ns_0_i_s_3)) - (portRef B (instanceRef STATE_RNO_6)) - (portRef B (instanceRef tx_sel_x_i_0_o2_1)) - (portRef STATE_12) + (net N_544 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_12_15)) + (portRef B (instanceRef STATE_ns_0_i_a2_0_3)) + (portRef A (instanceRef rx_ena_x_1_iv_i_a2_6)) + (portRef A (instanceRef tx_load_x_iv_i_a2_0)) + (portRef A (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef A (instanceRef STATE_ns_i_i_a2_6_2_15)) )) - (net N_220 (joined - (portRef Z (instanceRef tx_sel_x_i_0_o2_1)) - (portRef B (instanceRef tx_sel_x_i_0_0_1)) - (portRef A (instanceRef tx_load_x_iv_i_1)) - (portRef B (instanceRef tx_ena_x_1_iv_0_o2)) + (net tx_bit_cnt_RNO_0_2 (joined + (portRef Z (instanceRef tx_bit_cnt_RNO_0_2)) + (portRef A (instanceRef tx_bit_cnt_RNO_2)) )) - (net tx_sel_RNI0U97_0 (joined + (net N_546 (joined (portRef Z (instanceRef tx_sel_RNI0U97_0)) (portRef A (instanceRef adm_int_RNIB4D01_7)) )) - (net tx_sel_x_i_0_a2_0_2 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_3_0)) - (portRef D (instanceRef tx_load_x_iv_i_o2_0)) - (portRef D (instanceRef tx_sel_x_i_0_a2_2)) + (net rx_ena_x_1_iv_i_o2 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_o2)) + (portRef B (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_1)) + (portRef A (instanceRef STATE_ns_0_i_a2_3_3)) )) - (net tx_sregc_1_0_RNO (joined + (net N_912 (joined (portRef Z (instanceRef tx_sregc_1_0_RNO)) (portRef A (instanceRef tx_sregc_1_0)) )) - (net N_983 (joined + (net N_328 (joined (portRef Z (instanceRef tx_sregc_5_0_RNO)) (portRef A (instanceRef tx_sregc_5_0)) )) (net spi_start_Q (joined (portRef spi_start_Q) (portRef A (instanceRef start_RNO)) - (portRef A (instanceRef THE_START_PROC_un1_start_in)) + (portRef A (instanceRef THE_START_PROC_un1_start_in_0_a2)) )) (net un1_clk_en_0_i (joined (portRef Z (instanceRef div_done_RNI7SR9)) (portRef un1_clk_en_0_i) )) - (net STATE_13 (joined - (portRef Q (instanceRef STATE_13)) - (portRef C (instanceRef STATE_ns_i_i_a2_17_15)) - (portRef D (instanceRef STATE_ns_0_i_s_0_8)) - (portRef C (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef C (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef B (instanceRef STATE_RNO_13)) - (portRef B (instanceRef tx_load_x_iv_i_a2_0)) - (portRef C (instanceRef tx_ena_x_1_iv_0_o2)) - (portRef C (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef A (instanceRef tx_sel_x_i_0_a2_4_1)) - (portRef STATE_13) + (net STATE_1 (joined + (portRef Q (instanceRef STATE_1)) + (portRef B (instanceRef STATE_ns_i_i_3_15)) + (portRef B (instanceRef STATE_ns_i_i_o2_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_2_15)) + (portRef C (instanceRef STATE_ns_i_i_6_RNO_15)) + (portRef C (instanceRef rx_ena_x_1_iv_i_a2_6)) + (portRef B (instanceRef STATE_ns_i_i_a2_4_15)) + (portRef B (instanceRef rx_ena_x_1_iv_i_a2_5)) + (portRef C (instanceRef STATE_ns_i_i_a2_6_2_15)) + (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_0)) + (portRef A (instanceRef STATE_ns_i_i_a2_9_0_15)) + (portRef A (instanceRef STATE_ns_i_i_a2_15_15)) + (portRef STATE_1) )) - (net tx_sel_x_i_0_a2_4_1 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_4_1)) - (portRef C (instanceRef tx_sel_x_i_0_0_1)) - (portRef B (instanceRef tx_load_x_iv_i)) - (portRef C (instanceRef tx_sel_x_i_0_a2_2)) + (net STATE_4 (joined + (portRef Q (instanceRef STATE_4)) + (portRef C (instanceRef STATE_ns_0_i_s_7)) + (portRef D (instanceRef rx_ena_x_1_iv_i_a2_6)) + (portRef C (instanceRef STATE_ns_i_i_a2_9_0_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_15_15)) + (portRef STATE_4) )) - (net N_218 (joined + (net STATE_ns_i_i_a2_16_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_16_15)) + (portRef C (instanceRef STATE_ns_i_i_o2_0_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_9_15)) + (portRef C (instanceRef STATE_ns_0_i_a2_2_7)) + (portRef B (instanceRef STATE_ns_i_i_a2_6_2_15)) + )) + (net N_277 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_o2_2)) - (portRef A (instanceRef STATE_ns_i_i_1_15)) (portRef A (instanceRef rx_ena_x_1_iv_i_3_tz_4)) - (portRef A (instanceRef tx_sel_x_i_0_o2_2)) - (portRef A (instanceRef tx_sel_x_i_0_a2_2_1)) + (portRef A (instanceRef STATE_ns_i_i_a2_9_15)) + (portRef A (instanceRef tx_sel_x_i_0_o2_0_2)) + (portRef A (instanceRef STATE_ns_0_i_a2_2_7)) )) - (net STATE_ns_i_i_a2_14_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_14_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_9_2_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_0_15)) - (portRef C (instanceRef tx_sel_x_i_0_a2_2_1)) - (portRef B (instanceRef STATE_ns_i_i_a2_6_15)) + (net rx_ena_x_1_iv_i_o2_3 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_o2_3)) + (portRef B (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + )) + (net STATE_11 (joined + (portRef Q (instanceRef STATE_11)) + (portRef B (instanceRef tx_sel_x_i_0_0_0)) + (portRef C (instanceRef STATE_RNO_11)) + (portRef B (instanceRef STATE_RNO_5)) + (portRef A (instanceRef tx_ena_x_1_iv_0_a2_0_0)) + (portRef A (instanceRef tx_sel_x_i_0_a2_1)) + (portRef STATE_11) + )) + (net STATE_12 (joined + (portRef Q (instanceRef STATE_12)) + (portRef D (instanceRef STATE_ns_0_i_s_3)) + (portRef B (instanceRef STATE_RNO_6)) + (portRef B (instanceRef tx_ena_x_1_iv_0_a2_0_0)) + (portRef B (instanceRef tx_sel_x_i_0_a2_1)) + (portRef STATE_12) + )) + (net tx_sel_x_i_0_a2_1 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_1)) + (portRef B (instanceRef tx_sel_x_i_0_0_1)) + (portRef B (instanceRef tx_load_x_iv_i_1)) )) - (net N_816_1 (joined + (net N_780_1 (joined (portRef Z (instanceRef tx_sel_RNIN72D_0_2)) - (portRef A (instanceRef tx_sregc_5_2)) - (portRef A (instanceRef tx_sregc_1_2)) + (portRef B (instanceRef tx_sregc_1_2)) + (portRef B (instanceRef tx_sregc_5_2)) )) (net tx_sel_RNIS26A_2 (joined (portRef Z (instanceRef tx_sel_RNIS26A_2)) - (portRef A (instanceRef tx_sregc_4_2_RNO)) (portRef A (instanceRef tx_sregc_0_2_RNO)) (portRef A (instanceRef tx_sregc_2_2_RNO)) - )) - (net tx_sreg_RNO_2_0 (joined - (portRef Z (instanceRef tx_sreg_RNO_2_0)) - (portRef C (instanceRef tx_sreg_RNO_0)) + (portRef A (instanceRef tx_sregc_4_2_RNO)) )) (net spi_bram_wr_d_4 (joined (portRef (member spi_bram_wr_d 3)) (portRef C (instanceRef tx_sreg_RNO_0_4)) )) - (net N_824 (joined + (net N_749 (joined (portRef Z (instanceRef tx_sreg_RNO_0_4)) - (portRef A (instanceRef tx_sreg_RNO_4)) + (portRef B (instanceRef tx_sreg_RNO_4)) )) - (net tx_load_x_iv_i_a2_2_1 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_2_1)) - (portRef C (instanceRef tx_load_x_iv_i_a2_2)) + (net tx_sreg_RNO_2_0 (joined + (portRef Z (instanceRef tx_sreg_RNO_2_0)) + (portRef C (instanceRef tx_sreg_RNO_0)) )) - (net STATE_ns_i_i_a2_6_1_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_6_1_15)) - (portRef C (instanceRef STATE_ns_i_i_a2_6_15)) + (net tx_ena_x_1_iv_0_a2_0_0 (joined + (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_0_0)) + (portRef D (instanceRef tx_ena_x_1_iv_0_o2)) + )) + (net tx_sel_x_i_0_a2_2_1_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_2_1_2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_2_2)) + )) + (net STATE_3 (joined + (portRef Q (instanceRef STATE_3)) + (portRef B (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef B (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_23_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_4_15)) + (portRef B (instanceRef STATE_ns_0_i_a2_1_6)) + (portRef C (instanceRef STATE_ns_i_i_a2_1_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_8_0_15)) + (portRef B (instanceRef STATE_ns_i_i_a2_9_0_15)) + (portRef STATE_3) )) (net STATE_ns_i_i_a2_9_0_0_15 (joined (portRef Z (instanceRef STATE_ns_i_i_a2_9_0_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_9_2_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_9_1_15)) )) (net rx_ena_x_1_iv_i_3_tz_0 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_0)) (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz_1)) )) - (net tx_sreg_4_548_i_0_a2_3_2 (joined + (net STATE_9 (joined + (portRef Q (instanceRef STATE_9)) + (portRef C (instanceRef STATE_RNO_0_0)) + (portRef D (instanceRef STATE_ns_i_i_6_RNO_15)) + (portRef C (instanceRef is_data_x_1_iv_i)) + (portRef D (instanceRef STATE_ns_0_i_s_6)) + (portRef D (instanceRef STATE_ns_i_i_a2_4_15)) + (portRef B (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef C (instanceRef STATE_ns_i_0_a2_12)) + (portRef A (instanceRef tx_ena_x_1_iv_0_o2)) + (portRef B (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef A (instanceRef STATE_ns_i_i_a2_9_1_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_8_0_15)) + (portRef A (instanceRef tx_sel_x_i_0_a2_0_2_2)) + (portRef STATE_9) + )) + (net STATE_10 (joined + (portRef Q (instanceRef STATE_10)) + (portRef D (instanceRef STATE_RNO_0_0)) + (portRef B (instanceRef tx_sel_x_i_0_1)) + (portRef C (instanceRef STATE_ns_0_a3_0_a2_11)) + (portRef C (instanceRef STATE_RNO_10)) + (portRef D (instanceRef STATE_ns_i_0_a2_12)) + (portRef C (instanceRef tx_load_x_iv_i_a2_0)) + (portRef B (instanceRef tx_ena_x_1_iv_0_o2)) + (portRef C (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef B (instanceRef STATE_ns_i_i_a2_9_1_15)) + (portRef B (instanceRef tx_sel_x_i_0_a2_0_2_2)) + (portRef STATE_10) + )) + (net tx_sel_x_i_0_a2_0_2_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_0_2_2)) + (portRef D (instanceRef tx_sel_x_i_0_a2_2)) + )) + (net tx_sreg_4_548_i_0_a2_3_1 (joined (portRef Z (instanceRef tx_sel_RNIVIEH_2)) (portRef C (instanceRef tx_sreg_RNI6CRO3_6)) )) - (net tx_sreg_RNO_1_0 (joined - (portRef Z (instanceRef tx_sreg_RNO_1_0)) - (portRef B (instanceRef tx_sreg_RNO_0)) - )) - (net N_246 (joined + (net N_649 (joined (portRef Z (instanceRef adh_int_RNID0GR_7)) (portRef A (instanceRef tx_sel_RNIFE2A1_0)) )) + (net N_762 (joined + (portRef Z (instanceRef tx_sreg_RNO_1_0)) + (portRef B (instanceRef tx_sreg_RNO_0)) + )) (net tx_sreg_4_548_i_0_a2_1 (joined (portRef Z (instanceRef adm_int_RNIB4D01_7)) (portRef B (instanceRef tx_sel_RNIO7KK2_2)) )) - (net N_446_i (joined + (net N_389_i (joined (portRef Z (instanceRef tx_ena_RNIVDST)) - (portRef N_446_i) + (portRef N_389_i) )) - (net tx_sregc_2_2_RNO (joined + (net STATE_ns_0_i_o2_1_3 (joined + (portRef Z (instanceRef STATE_ns_0_i_o2_1_3)) + (portRef B (instanceRef STATE_ns_0_i_a2_2_3)) + )) + (net N_534 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_3_2)) + (portRef B (instanceRef tx_sel_x_i_0_o2_0_2)) + (portRef B (instanceRef STATE_ns_0_i_a2_2_7)) + )) + (net N_775 (joined + (portRef Z (instanceRef tx_sregc_4_2_RNO)) + (portRef B (instanceRef tx_sregc_4_2)) + )) + (net N_575 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_9)) + (portRef A (instanceRef STATE_ns_0_i_s_8)) + (portRef A (instanceRef STATE_ns_0_i_a2_8)) + (portRef A (instanceRef STATE_ns_0_i_s_3)) + (portRef A (instanceRef STATE_ns_0_i_s_6)) + (portRef A (instanceRef STATE_ns_0_a3_0_a2_11)) + (portRef A (instanceRef STATE_RNO_11)) + (portRef A (instanceRef STATE_RNO_13)) + (portRef A (instanceRef STATE_RNO_10)) + (portRef A (instanceRef STATE_ns_i_0_a2_12)) + (portRef A (instanceRef STATE_RNO_6)) + (portRef A (instanceRef STATE_RNO_5)) + (portRef B (instanceRef STATE_ns_i_i_a2_1_15)) + )) + (net N_507 (joined (portRef Z (instanceRef tx_sregc_2_2_RNO)) (portRef A (instanceRef tx_sregc_2_2)) )) @@ -888270,64 +888457,44 @@ (portRef (member spi_bram_wr_d 7)) (portRef D (instanceRef tx_sreg_RNO_0_0)) )) - (net tx_sreg_RNO_0_0 (joined + (net N_497 (joined (portRef Z (instanceRef tx_sreg_RNO_0_0)) (portRef A (instanceRef tx_sreg_RNO_0)) )) - (net N_505 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_1_2)) - (portRef B (instanceRef tx_sel_x_i_0_o2_2)) - (portRef B (instanceRef tx_sel_x_i_0_a2_2_1)) - )) - (net STATE_ns_i_i_a2_13_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_13_15)) - (portRef A (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef A (instanceRef STATE_ns_i_i_a2_13_RNI5EBQ_15)) - (portRef A (instanceRef is_data_x_1_iv_i_a2_3)) - (portRef A (instanceRef STATE_ns_i_i_a2_8_15)) - )) - (net STATE_ns_i_i_0_a2_9 (joined - (portRef Z (instanceRef STATE_ns_i_i_0_a2_9)) - (portRef C (instanceRef STATE_ns_0_i_s_0_8)) - (portRef B (instanceRef STATE_ns_0_i_s_3)) - (portRef B (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef B (instanceRef STATE_ns_0_i_s_6)) - (portRef B (instanceRef STATE_ns_0_a3_0_0_a2_11)) - (portRef A (instanceRef STATE_RNO_11)) - (portRef A (instanceRef STATE_RNO_13)) - (portRef A (instanceRef STATE_RNO_10)) - (portRef C (instanceRef STATE_ns_i_i_0_15)) - (portRef C (instanceRef STATE_ns_i_0_a2_12)) - (portRef A (instanceRef STATE_RNO_6)) - (portRef A (instanceRef STATE_RNO_5)) + (net N_757 (joined + (portRef Z (instanceRef tx_sregc_0_2_RNO)) + (portRef B (instanceRef tx_sregc_0_2)) )) - (net N_717 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_o2_1_0)) - (portRef A (instanceRef tx_sel_x_i_0_0_a2_3_0)) + (net N_537 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_3_3)) + (portRef A (instanceRef STATE_ns_0_i_a2_2_3)) )) - (net N_832 (joined - (portRef Z (instanceRef tx_sregc_0_2_RNO)) - (portRef A (instanceRef tx_sregc_0_2)) + (net STATE_ns_i_i_a2_6_2_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_6_2_15)) + (portRef D (instanceRef STATE_ns_i_i_2_15)) )) - (net N_309 (joined - (portRef Z (instanceRef tx_sregc_4_2_RNO)) - (portRef A (instanceRef tx_sregc_4_2)) + (net N_557 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_15_15)) + (portRef A (instanceRef STATE_RNO_0_0)) + (portRef A (instanceRef STATE_ns_i_i_a2_1_15)) + (portRef A (instanceRef STATE_ns_i_i_a2_8_0_15)) + (portRef N_557) )) - (net rx_ena_x_1_iv_i_a2_0_0 (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_a2_0_0)) - (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz)) + (net STATE_ns_i_i_a2_8_0_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_8_0_15)) + (portRef D (instanceRef STATE_ns_i_i_1_15)) )) - (net N_853 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_a2_2_0)) - (portRef C (instanceRef tx_sel_x_i_0_0_o2_0)) + (net tx_sel_x_i_0_a2_3_2_0 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_3_2_0)) + (portRef D (instanceRef tx_sel_x_i_0_a2_3_0)) )) - (net tx_load_x_iv_i_a2_7_2 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_7_2)) - (portRef D (instanceRef tx_load_x_iv_i_a2_7)) + (net STATE_ns_i_i_a2_9_1_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_9_1_15)) + (portRef C (instanceRef STATE_ns_i_i_a2_9_15)) )) - (net is_data_x_1_iv_i_a2_0 (joined - (portRef Z (instanceRef is_data_x_1_iv_i_a2_0_0)) - (portRef D (instanceRef is_data_x_1_iv_i)) + (net tx_sel_x_i_0_a2_0_1_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_0_1_2)) + (portRef D (instanceRef tx_sel_x_i_0_2)) )) (net rx_ena_x_1_iv_i_3_tz_1 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_1)) @@ -888341,149 +888508,125 @@ (portRef Z (instanceRef tx_sregc_0_4)) (portRef D (instanceRef tx_sreg_RNO_0)) )) - (net tx_sel_RNIFE2A1_0 (joined + (net N_783 (joined (portRef Z (instanceRef tx_sel_RNIFE2A1_0)) (portRef A (instanceRef tx_sel_RNIO7KK2_2)) )) + (net tx_sregc_5_0 (joined + (portRef Z (instanceRef tx_sregc_5_0)) + (portRef A (instanceRef tx_sregc_5_1)) + )) (net tx_sregc_1_0 (joined (portRef Z (instanceRef tx_sregc_1_0)) (portRef A (instanceRef tx_sregc_1_1)) )) - (net tx_sregc_5_0 (joined - (portRef Z (instanceRef tx_sregc_5_0)) - (portRef A (instanceRef tx_sregc_5_1)) + (net STATE_ns_i_i_a2_17_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_17_15)) + (portRef A (instanceRef STATE_ns_i_i_6_RNO_15)) + (portRef A (instanceRef STATE_ns_0_i_a2_1_6)) + (portRef A (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef B (instanceRef STATE_ns_i_0_a2_12)) )) - (net tx_sel_x_i_0_o2_0_1 (joined - (portRef Z (instanceRef tx_sel_x_i_0_o2_0_1)) + (net STATE_ns_0_i_o2_7 (joined + (portRef Z (instanceRef STATE_ns_0_i_o2_7)) (portRef B (instanceRef STATE_ns_i_i_o2_0_15)) )) - (net N_243 (joined + (net N_647 (joined (portRef Z (instanceRef tx_ena_x_1_iv_0_o2)) (portRef A (instanceRef tx_ena_x_1_iv_0_a2_1_1)) )) - (net STATE_ns_i_0_a2_RNO_12 (joined - (portRef Z (instanceRef STATE_ns_i_0_a2_RNO_12)) - (portRef B (instanceRef STATE_ns_i_0_a2_12)) - )) - (net N_509 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_a2_3_0)) - (portRef A (instanceRef tx_sel_x_i_0_0_o2_0)) + (net N_699_2 (joined + (portRef Z (instanceRef is_data_x_1_iv_i_a2_2)) + (portRef A (instanceRef is_data_x_1_iv_i)) )) - (net STATE_ns_i_i_a2_8_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_8_15)) - (portRef B (instanceRef STATE_ns_i_i_1_15)) + (net N_386 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_1_15)) + (portRef A (instanceRef STATE_ns_i_i_2_15)) )) - (net N_800 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_6_15)) - (portRef A (instanceRef STATE_ns_i_i_0_15)) + (net N_787 (joined + (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_1)) + (portRef A (instanceRef tx_ena_x_1_iv_0_a2_3)) )) - (net tx_load_x_iv_i_a2_7 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_7)) - (portRef B (instanceRef STATE_ns_0_i_s_0_8)) - (portRef A (instanceRef STATE_ns_i_i_o2_1_0_15)) - (portRef A (instanceRef STATE_ns_i_i_o2_4_0_15)) - (portRef A (instanceRef tx_load_x_iv_i_a2_0)) + (net tx_sel_x_i_0_a2_3_0 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_3_0)) + (portRef D (instanceRef STATE_ns_i_i_3_15)) + (portRef A (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef C (instanceRef STATE_ns_0_i_s_8)) + (portRef C (instanceRef tx_load_x_iv_i_1)) + (portRef A (instanceRef tx_sel_x_i_0_0_0)) (portRef A (instanceRef STATE_ns_0_i_a2_1_3)) )) (net rx_ena_x_1_iv_i_3_tz_2 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_2)) (portRef D (instanceRef rx_ena_x_1_iv_i_3_tz_4)) )) + (net rx_ena_x_1_iv_i_3_tz_3 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_3)) + (portRef C (instanceRef rx_ena_x_1_iv_i_3)) + )) + (net tx_sregc_2_1 (joined + (portRef Z (instanceRef tx_sregc_2_1)) + (portRef B (instanceRef tx_sreg_RNO_3)) + )) (net tx_sregc_0_1 (joined (portRef Z (instanceRef tx_sregc_0_1)) (portRef B (instanceRef tx_sreg_RNO_1)) )) + (net tx_sregc_5_2 (joined + (portRef Z (instanceRef tx_sregc_5_2)) + (portRef C (instanceRef tx_sreg_RNO_6)) + )) (net tx_sregc_4_1 (joined (portRef Z (instanceRef tx_sregc_4_1)) (portRef B (instanceRef tx_sreg_RNO_5)) )) - (net tx_sregc_2_1 (joined - (portRef Z (instanceRef tx_sregc_2_1)) - (portRef B (instanceRef tx_sreg_RNO_3)) - )) (net tx_sregc_1_2 (joined (portRef Z (instanceRef tx_sregc_1_2)) (portRef C (instanceRef tx_sreg_RNO_2)) )) - (net tx_sregc_5_2 (joined - (portRef Z (instanceRef tx_sregc_5_2)) - (portRef C (instanceRef tx_sreg_RNO_6)) + (net tx_sregc_5_1 (joined + (portRef Z (instanceRef tx_sregc_5_1)) + (portRef B (instanceRef tx_sreg_RNO_6)) )) (net tx_sregc_1_1 (joined (portRef Z (instanceRef tx_sregc_1_1)) (portRef B (instanceRef tx_sreg_RNO_2)) )) - (net tx_sregc_5_1 (joined - (portRef Z (instanceRef tx_sregc_5_1)) - (portRef B (instanceRef tx_sreg_RNO_6)) - )) (net tx_sreg_4_548_i_0_1 (joined (portRef Z (instanceRef tx_sel_RNIO7KK2_2)) (portRef B (instanceRef tx_sreg_RNI6CRO3_6)) )) - (net tx_sel_x_i_0_a2_2_1 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_2_1)) - (portRef D (instanceRef tx_sel_x_i_0_0_1)) - (portRef A (instanceRef STATE_ns_0_i_a2_7)) + (net STATE_ns_0_i_a2_2_7 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_2_7)) + (portRef C (instanceRef tx_sel_x_i_0_0_1)) + (portRef A (instanceRef STATE_ns_0_i_s_0_7)) (portRef A (instanceRef rx_ena_x_1_iv_i_a2_5)) )) - (net tx_sel_x_i_0_o2_2 (joined - (portRef Z (instanceRef tx_sel_x_i_0_o2_2)) - (portRef A (instanceRef tx_load_x_iv_i_o2_0)) + (net tx_sel_x_i_0_o2_0_2 (joined + (portRef Z (instanceRef tx_sel_x_i_0_o2_0_2)) (portRef B (instanceRef tx_sel_x_i_0_a2_2)) + (portRef A (instanceRef tx_load_x_iv_i_a2_1)) )) - (net is_data_x_1_iv_i_a2_3 (joined - (portRef Z (instanceRef is_data_x_1_iv_i_a2_3)) - (portRef B (instanceRef STATE_ns_i_i_a2_17_15)) - (portRef B (instanceRef STATE_ns_i_i_6_RNO_15)) - (portRef B (instanceRef STATE_ns_i_i_o2_1_0_15)) - )) - (net N_791 (joined - (portRef Z (instanceRef STATE_ns_0_i_a2_0_6)) - (portRef A (instanceRef STATE_ns_0_i_s_6)) - )) - (net N_799_1 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_13_RNI5EBQ_15)) - (portRef C (instanceRef tx_load_x_iv_i_1)) - (portRef C (instanceRef tx_sel_x_i_0_2)) - (portRef A (instanceRef STATE_ns_i_i_6_RNO_15)) - )) - (net N_899 (joined + (net STATE_ns_0_i_a2_1_3 (joined (portRef Z (instanceRef STATE_ns_0_i_a2_1_3)) (portRef C (instanceRef STATE_ns_0_i_s_3)) - (portRef A (instanceRef STATE_ns_i_i_3_15)) (portRef B (instanceRef tx_ena_x_1_iv_0_a2_3)) )) - (net N_319 (joined - (portRef Z (instanceRef tx_load_x_iv_i_a2_0)) - (portRef B (instanceRef tx_load_x_iv_i_1)) - (portRef A (instanceRef tx_sel_x_i_0_0_0)) + (net STATE_ns_0_i_a2_4_6 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_4_6)) + (portRef B (instanceRef STATE_ns_i_i_o2_1_15)) )) - (net N_323 (joined - (portRef Z (instanceRef tx_sel_x_i_0_a2_0_2)) - (portRef B (instanceRef tx_sel_x_i_0_2)) + (net STATE_ns_i_i_a2_9_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_9_15)) + (portRef C (instanceRef STATE_ns_i_i_1_15)) + )) + (net tx_load_x_iv_i_a2_0 (joined + (portRef Z (instanceRef tx_load_x_iv_i_a2_0)) + (portRef B (instanceRef tx_load_x_iv_i)) )) (net rx_ena_x_1_iv_i_3_tz_4 (joined (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz_4)) - (portRef C (instanceRef rx_ena_x_1_iv_i_3_tz)) - )) - (net N_867 (joined - (portRef Z (instanceRef tx_sel_RNIN72D_2)) - (portRef B (instanceRef tx_sregc_2_2)) - (portRef B (instanceRef tx_sregc_4_2)) - (portRef B (instanceRef tx_sregc_0_2)) - )) - (net tx_sregc_0_2 (joined - (portRef Z (instanceRef tx_sregc_0_2)) - (portRef C (instanceRef tx_sreg_RNO_1)) - )) - (net tx_sregc_4_2 (joined - (portRef Z (instanceRef tx_sregc_4_2)) - (portRef C (instanceRef tx_sreg_RNO_5)) - )) - (net tx_sregc_2_2 (joined - (portRef Z (instanceRef tx_sregc_2_2)) - (portRef C (instanceRef tx_sreg_RNO_3)) + (portRef D (instanceRef rx_ena_x_1_iv_i_3)) )) (net STATE_5 (joined (portRef Q (instanceRef STATE_5)) @@ -888507,76 +888650,110 @@ (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_1_1)) (portRef C (instanceRef tx_ena_x_1_iv_0_a2_3)) )) - (net reg_bus_data_out_6_i_0_a2_1_0 (joined - (portRef (member reg_bus_data_out_6_i_0_a2_1 0)) - (portRef B (instanceRef STATE_ns_i_i_0_15)) - (portRef B (instanceRef STATE_ns_i_i_a2_8_15)) + (net N_552 (joined + (portRef Z (instanceRef tx_sel_RNIN72D_2)) + (portRef A (instanceRef tx_sregc_4_2)) + (portRef A (instanceRef tx_sregc_0_2)) + (portRef B (instanceRef tx_sregc_2_2)) + )) + (net tx_sregc_2_2 (joined + (portRef Z (instanceRef tx_sregc_2_2)) + (portRef C (instanceRef tx_sreg_RNO_3)) )) - (net STATE_ns_i_i_0_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_0_15)) - (portRef C (instanceRef STATE_ns_i_i_3_15)) + (net tx_sregc_0_2 (joined + (portRef Z (instanceRef tx_sregc_0_2)) + (portRef C (instanceRef tx_sreg_RNO_1)) )) - (net is_data_x_1_iv_i_a2_0_0 (joined - (portRef Z (instanceRef is_data_x_1_iv_i_a2_0)) - (portRef B (instanceRef tx_ena_x_1_0_i)) - (portRef C (instanceRef STATE_ns_0_i_s_6)) - (portRef B (instanceRef is_data_x_1_iv_i)) + (net tx_sregc_4_2 (joined + (portRef Z (instanceRef tx_sregc_4_2)) + (portRef C (instanceRef tx_sreg_RNO_5)) )) - (net rx_ena_x_1_iv_i_3_tz (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_3_tz)) - (portRef D (instanceRef rx_ena_x_1_iv_i_0)) + (net N_540 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_2_3)) + (portRef A (instanceRef tx_sel_x_i_0_0)) + (portRef A (instanceRef tx_load_x_iv_i_o2)) + (portRef A (instanceRef STATE_ns_0_i_a2_0_3)) )) - (net N_359 (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_a2_5)) - (portRef A (instanceRef rx_ena_x_1_iv_i_0)) + (net tx_sel_x_0_sqmuxa_3 (joined + (portRef Z (instanceRef tx_sel_x_i_0_a2_1_2)) + (portRef D (instanceRef tx_load_x_iv_i)) + (portRef C (instanceRef tx_sel_x_i_0_2)) )) - (net N_363 (joined - (portRef Z (instanceRef STATE_ns_0_i_a2_7)) - (portRef A (instanceRef STATE_ns_0_i_s_7)) + (net STATE_ns_0_i_a2_1_6 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_1_6)) + (portRef B (instanceRef tx_ena_x_1_0_i)) + (portRef B (instanceRef STATE_ns_i_i_6_RNO_15)) + (portRef B (instanceRef is_data_x_1_iv_i)) + (portRef C (instanceRef STATE_ns_0_i_s_6)) )) - (net N_322 (joined + (net N_542 (joined + (portRef Z (instanceRef tx_load_x_iv_i_a2_1)) + (portRef B (instanceRef tx_load_x_iv_i_o2)) + )) + (net N_432 (joined (portRef Z (instanceRef tx_sel_x_i_0_a2_2)) (portRef A (instanceRef tx_sel_x_i_0_2)) )) - (net STATE_ns_i_i_o2_4_0_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_o2_4_0_15)) - (portRef D (instanceRef STATE_ns_i_i_a2_17_15)) + (net N_734 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_a2_5)) + (portRef B (instanceRef rx_ena_x_1_iv_i)) )) - (net STATE_ns_i_i_o2_1_0_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_o2_1_0_15)) - (portRef C (instanceRef STATE_ns_i_i_o2_1_1_15)) + (net tx_sel_x_i_0_0_0 (joined + (portRef Z (instanceRef tx_sel_x_i_0_0_0)) + (portRef C (instanceRef tx_sel_x_i_0_0)) )) - (net STATE_ns_i_i_a2_9_2_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_9_2_15)) - (portRef C (instanceRef STATE_ns_i_i_1_15)) + (net tx_load_x_iv_i_1 (joined + (portRef Z (instanceRef tx_load_x_iv_i_1)) + (portRef C (instanceRef tx_load_x_iv_i)) )) (net STATE_ns_i_i_1_15 (joined (portRef Z (instanceRef STATE_ns_i_i_1_15)) - (portRef D (instanceRef STATE_ns_i_i_3_15)) + (portRef C (instanceRef STATE_ns_i_i_2_15)) )) (net spi_bram_wr_d_7 (joined (portRef (member spi_bram_wr_d 0)) (portRef D (instanceRef tx_sreg_RNI6CRO3_6)) )) - (net tx_sreg_RNI6CRO3_6 (joined + (net N_393 (joined (portRef Z (instanceRef tx_sreg_RNI6CRO3_6)) (portRef D (instanceRef tx_sreg_7)) - (portRef (member tx_sreg_rni6cro3 0)) + (portRef N_393) )) (net STATE_ns_i_i_o2_0_15 (joined (portRef Z (instanceRef STATE_ns_i_i_o2_0_15)) + (portRef A (instanceRef STATE_ns_i_i_o2_15)) (portRef A (instanceRef STATE_ns_i_i_a2_2_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_10_15)) (portRef B (instanceRef STATE_ns_0_a3_0_a2_13)) )) + (net rx_ena_x_1_iv_i_3 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_3)) + (portRef D (instanceRef rx_ena_x_1_iv_i)) + )) (net STATE_ns_i_i_a2_4_15 (joined (portRef Z (instanceRef STATE_ns_i_i_a2_4_15)) (portRef B (instanceRef STATE_ns_i_i_6_15)) )) + (net is_data_x_1_iv_i_a2_0 (joined + (portRef Z (instanceRef is_data_x_1_iv_i_a2_0)) + (portRef D (instanceRef is_data_x_1_iv_i)) + )) + (net STATE_ns_0_i_a2_1_7 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_1_7)) + (portRef B (instanceRef STATE_RNO_0)) + (portRef B (instanceRef STATE_ns_0_i_s_0_7)) + )) + (net STATE_ns_0_i_s_0_7 (joined + (portRef Z (instanceRef STATE_ns_0_i_s_0_7)) + (portRef D (instanceRef STATE_ns_0_i_s_7)) + )) (net tx_ena_x_1_iv_0_a2_3 (joined (portRef Z (instanceRef tx_ena_x_1_iv_0_a2_3)) (portRef C (instanceRef tx_ena_x_1_0_i)) )) + (net STATE_ns_i_i_2_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_2_15)) + (portRef A (instanceRef STATE_ns_i_i_3_15)) + )) (net tx_sel_RNIS26A_0_2 (joined (portRef Z (instanceRef tx_sel_RNIS26A_0_2)) (portRef A (instanceRef tx_sreg_RNO_1)) @@ -888593,6 +888770,10 @@ (portRef (member spi_bram_wr_d 5)) (portRef D (instanceRef tx_sreg_RNO_2)) )) + (net N_735 (joined + (portRef Z (instanceRef rx_ena_x_1_iv_i_a2_6)) + (portRef C (instanceRef rx_ena_x_1_iv_i)) + )) (net STATE_ns_i_i_6_RNO_15 (joined (portRef Z (instanceRef STATE_ns_i_i_6_RNO_15)) (portRef C (instanceRef STATE_ns_i_i_6_15)) @@ -888609,79 +888790,66 @@ (portRef (member spi_bram_wr_d 6)) (portRef D (instanceRef tx_sreg_RNO_1)) )) - (net N_214 (joined + (net N_272 (joined (portRef Z (instanceRef rx_bit_cnt_4_i_o2_2)) (portRef A (instanceRef STATE_ns_0_a3_0_a2_13)) )) - (net STATE_ns_i_i_a2_10_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_10_15)) - (portRef A (instanceRef STATE_ns_i_i_7_15)) - )) (net STATE_ns_i_i_a2_2_15 (joined (portRef Z (instanceRef STATE_ns_i_i_a2_2_15)) (portRef A (instanceRef STATE_ns_i_i_6_15)) (portRef A (instanceRef rx_ena_x_1_iv_i)) - (portRef B (instanceRef STATE_ns_0_i_s_7)) + (portRef A (instanceRef STATE_ns_0_i_s_7)) )) (net tx_sel_x_i_0_0_1 (joined (portRef Z (instanceRef tx_sel_x_i_0_0_1)) - (portRef C (instanceRef tx_sel_x_i_0_1)) + (portRef D (instanceRef tx_sel_x_i_0_1)) )) - (net rx_ena_x_1_iv_i_0 (joined - (portRef Z (instanceRef rx_ena_x_1_iv_i_0)) - (portRef D (instanceRef rx_ena_x_1_iv_i)) + (net N_701 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_0_3)) + (portRef A (instanceRef tx_ena_x_1_0_i)) + (portRef B (instanceRef STATE_ns_0_i_s_3)) )) - (net STATE_ns_i_i_3_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_3_15)) - (portRef D (instanceRef STATE_ns_i_i_6_15)) + (net N_243 (joined + (portRef Z (instanceRef STATE_ns_i_i_o2_15)) + (portRef A (instanceRef STATE_RNO_0)) )) - (net tx_load_x_iv_i_o2_0 (joined - (portRef Z (instanceRef tx_load_x_iv_i_o2_0)) - (portRef A (instanceRef tx_load_x_iv_i)) - (portRef A (instanceRef STATE_ns_i_i_o2_1_1_15)) - (portRef A (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef A (instanceRef STATE_ns_i_i_a2_19_15)) + (net tx_load_x_iv_i_o2 (joined + (portRef Z (instanceRef tx_load_x_iv_i_o2)) + (portRef B (instanceRef tx_load_x_iv_i_a2)) + (portRef B (instanceRef STATE_ns_0_i_a2_8)) + (portRef A (instanceRef STATE_ns_i_i_a2_23_15)) )) - (net N_780 (joined - (portRef Z (instanceRef tx_sel_x_i_0_0_a2_0)) - (portRef B (instanceRef tx_sel_x_i_0_0_0)) + (net N_528 (joined + (portRef Z (instanceRef STATE_ns_i_i_a2_23_15)) + (portRef A (instanceRef STATE_ns_i_i_o2_1_15)) )) - (net STATE_ns_0_i_a2_1_7 (joined - (portRef Z (instanceRef STATE_ns_0_i_a2_1_7)) - (portRef B (instanceRef STATE_ns_i_i_7_15)) - (portRef D (instanceRef STATE_ns_0_i_s_7)) + (net N_736 (joined + (portRef Z (instanceRef STATE_ns_0_i_a2_8)) + (portRef B (instanceRef STATE_ns_0_i_s_8)) )) - (net STATE_ns_i_i_a2_19_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_19_15)) - (portRef A (instanceRef STATE_ns_i_i_a2_17_15)) - )) - (net N_495 (joined - (portRef Z (instanceRef STATE_ns_0_i_s_0_a2_8)) - (portRef A (instanceRef STATE_ns_0_i_s_0_8)) + (net tx_load_x_iv_i_a2 (joined + (portRef Z (instanceRef tx_load_x_iv_i_a2)) + (portRef A (instanceRef tx_load_x_iv_i)) )) - (net STATE_ns_i_i_o2_1_1_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_o2_1_1_15)) - (portRef D (instanceRef STATE_RNO_0)) + (net STATE_ns_i_i_3_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_3_15)) + (portRef D (instanceRef STATE_ns_i_i_6_15)) )) (net STATE_ns_i_i_6_15 (joined (portRef Z (instanceRef STATE_ns_i_i_6_15)) - (portRef D (instanceRef STATE_ns_i_i_7_15)) - )) - (net STATE_ns_i_i_a2_17_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_a2_17_15)) - (portRef A (instanceRef STATE_RNO_0)) + (portRef D (instanceRef STATE_RNO_0)) )) - (net STATE_ns_i_i_7_15 (joined - (portRef Z (instanceRef STATE_ns_i_i_7_15)) - (portRef B (instanceRef STATE_RNO_0)) + (net STATE_ns_i_i_o2_1_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_o2_1_15)) + (portRef B (instanceRef STATE_RNO_0_0)) )) - (net tx_load_x_iv_i_2_1 (joined - (portRef Z (instanceRef tx_load_x_iv_i_2_1)) - (portRef D (instanceRef tx_load_x_iv_i)) + (net N_600_i_1 (joined + (portRef Z (instanceRef STATE_RNO_0_0)) + (portRef C (instanceRef STATE_RNO_0)) )) - (net tx_load_x_iv_i_1 (joined - (portRef Z (instanceRef tx_load_x_iv_i_1)) - (portRef C (instanceRef tx_load_x_iv_i)) + (net STATE_ns_i_i_o2_1_1_15 (joined + (portRef Z (instanceRef STATE_ns_i_i_o2_1_1_15)) + (portRef C (instanceRef STATE_ns_i_i_o2_1_15)) )) (net spi_bram_addr_0 (joined (portRef Q (instanceRef addr_ctr_0)) @@ -888795,7 +888963,7 @@ (port tx_allow_q (direction INPUT)) (port med_dataready_out (direction INPUT)) (port GND (direction INPUT)) - (port N_538_i (direction OUTPUT)) + (port N_465_i (direction OUTPUT)) (port tx_allow_qtx (direction INPUT)) (port fifo_tx_empty (direction OUTPUT)) (port fifo_tx_reset_i (direction INPUT)) @@ -888966,9 +889134,9 @@ (portRef tx_allow_qtx) (portRef tx_allow_qtx (instanceRef FIFO_DP_BRAM)) )) - (net N_538_i (joined - (portRef N_538_i (instanceRef FIFO_DP_BRAM)) - (portRef N_538_i) + (net N_465_i (joined + (portRef N_465_i (instanceRef FIFO_DP_BRAM)) + (portRef N_465_i) )) (net GND (joined (portRef GND) @@ -890308,17 +890476,16 @@ (cell trb_net16_lsm_sfp (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename link_error "link_error(7:4)") 4) (direction INPUT)) - (port CURRENT_STATE_2 (direction OUTPUT)) - (port CURRENT_STATE_1 (direction OUTPUT)) - (port CURRENT_STATE_0 (direction OUTPUT)) (port (array (rename rx_k_q "rx_k_q(1:0)") 2) (direction INPUT)) (port med_stat_op_13 (direction OUTPUT)) + (port CURRENT_STATE_1 (direction OUTPUT)) + (port CURRENT_STATE_0 (direction OUTPUT)) + (port CURRENT_STATE_2 (direction OUTPUT)) + (port (array (rename link_error "link_error(7:4)") 4) (direction INPUT)) (port (array (rename med_stat_op_i "med_stat_op_i(1:1)") 1) (direction OUTPUT)) - (port N_527_i (direction OUTPUT)) + (port N_454_i (direction OUTPUT)) (port N_300 (direction OUTPUT)) (port N_299 (direction OUTPUT)) - (port N_560 (direction OUTPUT)) (port sfp_prsnt_n (direction INPUT)) (port sfp_los (direction INPUT)) (port reset_i (direction INPUT)) @@ -890329,14 +890496,21 @@ (port pll_lock_i (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port quad_rst (direction OUTPUT)) + (port N_484 (direction OUTPUT)) ) (contents (instance med_error_RNIOJ94_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance timing_ctr_RNIRQEI_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(!B+A)))")) + ) + (instance ce_cctr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B+A))+D (B+A))")) + ) (instance CURRENT_STATE_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B !A))")) ) - (instance align_me_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (!C (B A)+C B))")) + (instance CURRENT_STATE_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!B A))")) ) (instance timing_ctr_0 (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT))) ) @@ -890438,14 +890612,20 @@ ) (instance CURRENT_STATE_9 (viewRef PRIM (cellRef FD1S3BX (libraryRef LUCENT))) ) + (instance ce_tctr_RNI3UR9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) (instance next_lane_rst_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance CURRENT_STATE_ns_i_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_ns_i_i_o2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance ce_tctr_RNI3UR9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance link_status_led_iv_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance CURRENT_STATE_ns_i_o2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) (instance cv_ctr_3_i_a2_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) @@ -890456,26 +890636,20 @@ (instance CURRENT_STATE_ns_i_i_o3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance next_med_error_i_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance next_med_error_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B+A)))")) - ) - (instance CURRENT_STATE_ns_i_i_o2_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+A))+D (C+(B+A)))")) + (instance next_reset_me_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+!A))")) ) (instance state_bits_i_o3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(B+A))")) ) - (instance link_status_led_iv_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance next_med_error_i_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) ) - (instance link_status_led_iv_i_a3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance CURRENT_STATE_ns_i_a3_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (B A))")) ) - (instance CURRENT_STATE_ns_i_i_a3_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (C (!B !A)))")) + (instance link_status_led_iv_i_2_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (B+A))")) ) (instance state_bits_i_a2_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) @@ -890483,7 +890657,7 @@ (instance state_bits_i_a2_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance link_status_led_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance link_status_led_iv_i_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) (instance cv_ctr_3_i_a2_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -890501,59 +890675,53 @@ (instance rst_cctr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance CURRENT_STATE_ns_i_0_a3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D (B !A))")) + (instance next_rst_tctr_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C !A)")) + ) + (instance CURRENT_STATE_ns_i_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A))+D (!C (B !A)))")) + ) + (instance CURRENT_STATE_ns_i_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+!A))+D (C+(!B+!A)))")) + ) + (instance link_status_led_iv_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B+A)))")) ) (instance CURRENT_STATE_ns_i_i_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (!B+A))+D (!B+A))")) + (property lut_function (string "(!D !B+D (!C (!B A)))")) ) (instance CURRENT_STATE_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))")) ) - (instance CURRENT_STATE_ns_i_i_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B A))")) - ) - (instance CURRENT_STATE_ns_i_i_o3_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!B)+D (!C A))")) - ) (instance CURRENT_STATE_ns_a2_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A))+D !A)")) ) (instance CURRENT_STATE_ns_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) + (property lut_function (string "(!D (C (!B !A))+D !A)")) ) (instance next_rx_allow_1_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) ) - (instance link_status_led_iv_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) - ) - (instance next_rst_tctr_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (C+B))")) + (instance link_status_led_iv_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) (instance med_error_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C (B !A))")) ) - (instance ce_cctr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B+A))+D (B+A))")) - ) (instance reset_me_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + (property lut_function (string "(!C (B !A))")) + ) + (instance align_me_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A)+C !B)")) ) (instance cv_ctr_3_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B A)))")) ) (instance next_rst_tctr_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B !A)+C !A))")) - ) - (instance link_status_led_iv_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) - ) - (instance next_ce_tctr_i_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B !A)))")) + (property lut_function (string "(!D (C+(B+A))+D (C+A))")) ) (instance CURRENT_STATE_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (property lut_function (string "(!D (!C (!B !A))+D (!C !A))")) ) (instance CURRENT_STATE_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B !A))+D (!C (!B !A)+C !A))")) @@ -890562,7 +890730,7 @@ (property lut_function (string "(!D (!C (B !A)+C !A))")) ) (instance next_ce_tctr_i_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C (B !A)+C !A))")) + (property lut_function (string "(D+(!C !B+C (!B A)))")) ) (instance cv_ctr_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B !A))")) @@ -890571,16 +890739,19 @@ (property lut_function (string "(!D (C (B !A))+D (!C !A+C (!B !A)))")) ) (instance CURRENT_STATE_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) + ) + (instance link_status_led_iv_i_a3_RNI9VFO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance ce_tctr_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(B+A)))")) ) - (instance link_status_led_iv_i_0_RNIBQD41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) + (instance next_rst_tctr_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D A)")) ) - (instance CURRENT_STATE_ns_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance CURRENT_STATE_ns_i_i_a3_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance timing_ctr_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a03")) @@ -890680,30 +890851,6 @@ (portRef Z (instanceRef med_error_RNIOJ94_1)) (portRef (member med_stat_op_i 0)) )) - (net N_543 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o3_4)) - (portRef A (instanceRef ce_tctr_RNO)) - (portRef B (instanceRef CURRENT_STATE_RNO_4)) - (portRef A (instanceRef next_ce_tctr_i_i_1)) - (portRef A (instanceRef CURRENT_STATE_RNO_2)) - (portRef A (instanceRef CURRENT_STATE_RNO_3)) - (portRef A (instanceRef CURRENT_STATE_RNO_5)) - (portRef A (instanceRef next_ce_tctr_i_i_0)) - (portRef A (instanceRef next_rst_tctr_0_i_s_0)) - (portRef A (instanceRef CURRENT_STATE_ns_i_3)) - (portRef A (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) - (portRef A (instanceRef CURRENT_STATE_RNO_0)) - (portRef A (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef B (instanceRef CURRENT_STATE_RNO_8)) - )) - (net timing_ctr_4 (joined - (portRef Q (instanceRef timing_ctr_4)) - (portRef C1 (instanceRef timing_ctr_cry_0_3)) - (portRef B (instanceRef CURRENT_STATE_RNO_9)) - (portRef B (instanceRef CURRENT_STATE_ns_i_a3_0_1)) - (portRef A (instanceRef cv_ctr_3_i_a2_4_0)) - (portRef C (instanceRef CURRENT_STATE_RNO_8)) - )) (net rst_tctr (joined (portRef Q (instanceRef rst_tctr)) (portRef A1 (instanceRef timing_ctr_cry_0_27)) @@ -890736,55 +890883,88 @@ (portRef A0 (instanceRef timing_ctr_cry_0_1)) (portRef A1 (instanceRef timing_ctr_cry_0_0)) (portRef B0 (instanceRef timing_ctr_cry_0_0)) + (portRef B (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) (portRef A (instanceRef CURRENT_STATE_RNO_9)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef A (instanceRef next_med_error_i_i_o2)) + (portRef B (instanceRef CURRENT_STATE_ns_i_a3_0_0_3)) + (portRef B (instanceRef next_reset_me_i_i_o2)) (portRef A (instanceRef CURRENT_STATE_ns_i_a3_0_1)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_o2_7)) (portRef B (instanceRef ce_tctr_RNI3UR9)) - (portRef A (instanceRef CURRENT_STATE_ns_i_o2_3)) (portRef D (instanceRef CURRENT_STATE_RNO_8)) + (portRef A (instanceRef timing_ctr_RNIRQEI_28)) )) - (net CURRENT_STATE_RNO_8 (joined - (portRef Z (instanceRef CURRENT_STATE_RNO_8)) - (portRef D (instanceRef CURRENT_STATE_8)) + (net timing_ctr_28 (joined + (portRef Q (instanceRef timing_ctr_28)) + (portRef C1 (instanceRef timing_ctr_cry_0_27)) + (portRef C (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) + (portRef B (instanceRef CURRENT_STATE_ns_i_i_o2_7)) + (portRef B (instanceRef timing_ctr_RNIRQEI_28)) )) - (net CURRENT_STATE_6 (joined - (portRef Q (instanceRef CURRENT_STATE_6)) - (portRef D (instanceRef reset_me_RNO)) - (portRef D (instanceRef CURRENT_STATE_ns_i_3)) - (portRef D (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef B (instanceRef link_status_led_iv_i_1)) - (portRef C (instanceRef state_bits_i_a2_0_a3_1)) - (portRef C (instanceRef state_bits_i_a2_0_a3_0)) - (portRef A (instanceRef align_me_RNO)) + (net N_477 (joined + (portRef Z (instanceRef timing_ctr_RNIRQEI_28)) + (portRef B (instanceRef ce_tctr_RNO)) + (portRef B (instanceRef CURRENT_STATE_RNO_3)) + (portRef B (instanceRef med_error_RNO_1)) + (portRef B (instanceRef next_rx_allow_1_0_i_s)) + (portRef A (instanceRef next_rst_tctr_0_i_a3)) )) - (net CURRENT_STATE_5 (joined - (portRef Q (instanceRef CURRENT_STATE_5)) - (portRef A (instanceRef link_status_led_iv_i_0_RNIBQD41)) - (portRef D (instanceRef CURRENT_STATE_RNO_4)) - (portRef D (instanceRef next_ce_tctr_i_i_0)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_a3_5)) - (portRef C (instanceRef state_bits_i_o3_2)) - (portRef B (instanceRef align_me_RNO)) + (net link_error_7 (joined + (portRef (member link_error 0)) + (portRef B (instanceRef CURRENT_STATE_ns_i_o2_7)) + (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (portRef C (instanceRef ce_cctr_RNO)) + (portRef C (instanceRef timing_ctr_RNIRQEI_28)) )) - (net N_555 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) - (portRef B (instanceRef next_ce_tctr_i_i_0)) - (portRef B (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_a3_5)) - (portRef C (instanceRef align_me_RNO)) + (net link_error_6 (joined + (portRef (member link_error 1)) + (portRef A (instanceRef CURRENT_STATE_ns_i_o2_7)) + (portRef D (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef ce_cctr_RNO)) + (portRef D (instanceRef timing_ctr_RNIRQEI_28)) )) - (net N_545 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_o2_3)) - (portRef A (instanceRef reset_me_RNO)) - (portRef B (instanceRef CURRENT_STATE_ns_i_3)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef D (instanceRef align_me_RNO)) + (net N_448_i (joined + (portRef Z (instanceRef ce_cctr_RNO)) + (portRef D (instanceRef ce_cctr)) )) - (net N_552_i (joined - (portRef Z (instanceRef align_me_RNO)) - (portRef D (instanceRef align_me)) + (net N_470 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o3_4)) + (portRef A (instanceRef ce_tctr_RNO)) + (portRef B (instanceRef CURRENT_STATE_RNO_4)) + (portRef B (instanceRef next_ce_tctr_i_i_1)) + (portRef A (instanceRef CURRENT_STATE_RNO_2)) + (portRef A (instanceRef CURRENT_STATE_RNO_3)) + (portRef A (instanceRef CURRENT_STATE_RNO_5)) + (portRef B (instanceRef next_rst_tctr_0_i_s)) + (portRef A (instanceRef CURRENT_STATE_ns_i_3)) + (portRef A (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) + (portRef A (instanceRef CURRENT_STATE_RNO_0)) + (portRef B (instanceRef CURRENT_STATE_RNO_1)) + (portRef B (instanceRef CURRENT_STATE_RNO_8)) + )) + (net timing_ctr_4 (joined + (portRef Q (instanceRef timing_ctr_4)) + (portRef C1 (instanceRef timing_ctr_cry_0_3)) + (portRef B (instanceRef CURRENT_STATE_RNO_9)) + (portRef B (instanceRef CURRENT_STATE_ns_i_a3_0_1)) + (portRef A (instanceRef cv_ctr_3_i_a2_4_0)) + (portRef C (instanceRef CURRENT_STATE_RNO_8)) + )) + (net CURRENT_STATE_RNO_8 (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_8)) + (portRef D (instanceRef CURRENT_STATE_8)) + )) + (net CURRENT_STATE_2 (joined + (portRef Q (instanceRef CURRENT_STATE_2)) + (portRef B (instanceRef link_status_led_iv_i_a3_RNI9VFO)) + (portRef C (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (portRef B (instanceRef next_med_error_i_i_o3)) + (portRef A (instanceRef CURRENT_STATE_RNO_1)) + (portRef A (instanceRef ce_cctr_RNO)) + (portRef CURRENT_STATE_2) + )) + (net N_251_0 (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef CURRENT_STATE_1)) )) (net timing_ctr_s_0 (joined (portRef S1 (instanceRef timing_ctr_cry_0_0)) @@ -891154,9 +891334,9 @@ (net timing_ctr_23 (joined (portRef Q (instanceRef timing_ctr_23)) (portRef C0 (instanceRef timing_ctr_cry_0_23)) - (portRef D (instanceRef link_status_led_iv_i_0_RNIBQD41)) - (portRef C (instanceRef link_status_led_iv_i_0)) - (portRef B (instanceRef link_status_led_iv_i_a3_4)) + (portRef C (instanceRef link_status_led_iv_i_a3)) + (portRef C (instanceRef link_status_led_iv_i_2_0)) + (portRef C (instanceRef link_status_led_iv_i_2_tz)) )) (net timing_ctr_s_24 (joined (portRef S1 (instanceRef timing_ctr_cry_0_23)) @@ -891166,8 +891346,7 @@ (portRef Q (instanceRef timing_ctr_24)) (portRef C1 (instanceRef timing_ctr_cry_0_23)) (portRef D (instanceRef link_status_led_iv_i_1)) - (portRef C (instanceRef link_status_led_iv_i_a3_4)) - (portRef C (instanceRef link_status_led_iv_i_o2)) + (portRef B (instanceRef link_status_led_iv_i_a2)) )) (net timing_ctr_s_25 (joined (portRef S0 (instanceRef timing_ctr_cry_0_25)) @@ -891176,8 +891355,8 @@ (net timing_ctr_25 (joined (portRef Q (instanceRef timing_ctr_25)) (portRef C0 (instanceRef timing_ctr_cry_0_25)) - (portRef D (instanceRef link_status_led_iv_i_0)) - (portRef D (instanceRef link_status_led_iv_i_4)) + (portRef D (instanceRef link_status_led_iv_i_a3)) + (portRef D (instanceRef link_status_led_iv_i_2_0)) )) (net timing_ctr_s_26 (joined (portRef S1 (instanceRef timing_ctr_cry_0_25)) @@ -891194,18 +891373,13 @@ (net timing_ctr_27 (joined (portRef Q (instanceRef timing_ctr_27)) (portRef C0 (instanceRef timing_ctr_cry_0_27)) - (portRef B (instanceRef CURRENT_STATE_ns_i_o2_3)) + (portRef C (instanceRef CURRENT_STATE_ns_i_a3_0_0_3)) + (portRef C (instanceRef next_reset_me_i_i_o2)) )) (net timing_ctr_s_28 (joined (portRef S1 (instanceRef timing_ctr_cry_0_27)) (portRef D (instanceRef timing_ctr_28)) )) - (net timing_ctr_28 (joined - (portRef Q (instanceRef timing_ctr_28)) - (portRef C1 (instanceRef timing_ctr_cry_0_27)) - (portRef D (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef B (instanceRef next_med_error_i_i_o2)) - )) (net next_rx_allow_1_0_i_s (joined (portRef Z (instanceRef next_rx_allow_1_0_i_s)) (portRef D (instanceRef rx_allow)) @@ -891218,7 +891392,7 @@ (portRef Z (instanceRef next_rst_tctr_0_i_s)) (portRef D (instanceRef rst_tctr)) )) - (net N_513_i (joined + (net N_440_i (joined (portRef Z (instanceRef rst_cctr_RNO)) (portRef D (instanceRef rst_cctr)) )) @@ -891226,7 +891400,7 @@ (portRef Q (instanceRef rst_cctr)) (portRef D (instanceRef cv_ctr_3_i_o3_0)) )) - (net N_534_i (joined + (net N_461_i (joined (portRef Z (instanceRef reset_me_RNO)) (portRef D (instanceRef reset_me)) )) @@ -891234,11 +891408,11 @@ (portRef Q (instanceRef reset_me)) (portRef med_stat_op_13) )) - (net N_520_i (joined + (net N_447_i (joined (portRef Z (instanceRef med_error_RNO_1)) (portRef D (instanceRef med_error_1)) )) - (net N_525_i (joined + (net N_452_i (joined (portRef Z (instanceRef cv_ctr_RNO_0)) (portRef D (instanceRef cv_ctr_0)) )) @@ -891247,7 +891421,7 @@ (portRef C (instanceRef cv_ctr_RNO_1)) (portRef C (instanceRef cv_ctr_RNO_0)) )) - (net N_526_i (joined + (net N_453_i (joined (portRef Z (instanceRef cv_ctr_RNO_1)) (portRef D (instanceRef cv_ctr_1)) )) @@ -891257,7 +891431,7 @@ (portRef D (instanceRef CURRENT_STATE_RNO_0)) (portRef C (instanceRef next_med_error_i_i_o3)) )) - (net N_529_i (joined + (net N_456_i (joined (portRef Z (instanceRef ce_tctr_RNO)) (portRef D (instanceRef ce_tctr)) )) @@ -891265,10 +891439,6 @@ (portRef Q (instanceRef ce_tctr)) (portRef A (instanceRef ce_tctr_RNI3UR9)) )) - (net N_521_i (joined - (portRef Z (instanceRef ce_cctr_RNO)) - (portRef D (instanceRef ce_cctr)) - )) (net ce_cctr (joined (portRef Q (instanceRef ce_cctr)) (portRef B (instanceRef cv_ctr_RNO_1)) @@ -891282,23 +891452,23 @@ (portRef Q (instanceRef buf_swap_bytes)) (portRef swap_bytes) )) + (net N_479_i (joined + (portRef Z (instanceRef align_me_RNO)) + (portRef D (instanceRef align_me)) + )) (net buf_stat_debug_4 (joined (portRef Q (instanceRef align_me)) (portRef A (instanceRef buf_swap_bytes_RNO)) )) - (net N_532_i (joined + (net N_459_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_0)) (portRef D (instanceRef CURRENT_STATE_0)) )) - (net N_251_0 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef D (instanceRef CURRENT_STATE_1)) - )) - (net N_531_i (joined + (net N_458_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_2)) (portRef D (instanceRef CURRENT_STATE_2)) )) - (net N_519_i (joined + (net N_446_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_3)) (portRef D (instanceRef CURRENT_STATE_3)) )) @@ -891306,62 +891476,80 @@ (portRef Q (instanceRef CURRENT_STATE_3)) (portRef C (instanceRef CURRENT_STATE_RNO_2)) (portRef C (instanceRef CURRENT_STATE_RNO_3)) - (portRef B (instanceRef next_rst_tctr_0_i_s)) (portRef C (instanceRef med_error_RNO_1)) - (portRef B (instanceRef link_status_led_iv_i_4)) (portRef C (instanceRef next_rx_allow_1_0_i_s)) + (portRef B (instanceRef next_rst_tctr_0_i_a3)) + (portRef A (instanceRef link_status_led_iv_i_2_0)) (portRef B (instanceRef state_bits_i_a2_0_a3_1)) (portRef A (instanceRef state_bits_i_o3_2)) )) - (net N_530_i (joined + (net N_457_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_4)) (portRef D (instanceRef CURRENT_STATE_4)) )) (net CURRENT_STATE_4 (joined (portRef Q (instanceRef CURRENT_STATE_4)) (portRef C (instanceRef ce_tctr_RNO)) + (portRef D (instanceRef CURRENT_STATE_RNO_4)) (portRef D (instanceRef CURRENT_STATE_RNO_3)) - (portRef C (instanceRef next_rst_tctr_0_i_s)) (portRef D (instanceRef next_rx_allow_1_0_i_s)) - (portRef B (instanceRef CURRENT_STATE_ns_i_i_a3_5)) - (portRef A (instanceRef link_status_led_iv_i_1)) + (portRef C (instanceRef next_rst_tctr_0_i_a3)) (portRef B (instanceRef state_bits_i_a2_0_a3_0)) + (portRef A (instanceRef link_status_led_iv_i_2_tz)) (portRef B (instanceRef state_bits_i_o3_2)) )) - (net N_518_i (joined + (net N_445_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_5)) (portRef D (instanceRef CURRENT_STATE_5)) )) + (net CURRENT_STATE_5 (joined + (portRef Q (instanceRef CURRENT_STATE_5)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) + (portRef D (instanceRef CURRENT_STATE_RNO_5)) + (portRef C (instanceRef align_me_RNO)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef B (instanceRef link_status_led_iv_i_2_0)) + (portRef C (instanceRef state_bits_i_o3_2)) + )) (net CURRENT_STATE_ns_i_3 (joined (portRef Z (instanceRef CURRENT_STATE_ns_i_3)) (portRef D (instanceRef CURRENT_STATE_6)) )) + (net CURRENT_STATE_6 (joined + (portRef Q (instanceRef CURRENT_STATE_6)) + (portRef A (instanceRef link_status_led_iv_i_1)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + (portRef C (instanceRef state_bits_i_a2_0_a3_1)) + (portRef C (instanceRef state_bits_i_a2_0_a3_0)) + (portRef A (instanceRef CURRENT_STATE_ns_i_a3_0_0_3)) + (portRef A (instanceRef next_reset_me_i_i_o2)) + )) (net CURRENT_STATE_ns_2 (joined (portRef Z (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) (portRef D (instanceRef CURRENT_STATE_7)) )) (net CURRENT_STATE_7 (joined (portRef Q (instanceRef CURRENT_STATE_7)) - (portRef A (instanceRef CURRENT_STATE_ns_i_a2_3)) + (portRef B (instanceRef next_rst_tctr_0_i_o3)) + (portRef C (instanceRef CURRENT_STATE_ns_i_3)) (portRef C (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) (portRef D (instanceRef state_bits_i_a2_0_a3_1)) - (portRef A (instanceRef link_status_led_iv_i_a3_4)) + (portRef B (instanceRef link_status_led_iv_i_2_tz)) )) (net CURRENT_STATE_8 (joined (portRef Q (instanceRef CURRENT_STATE_8)) - (portRef C (instanceRef next_ce_tctr_i_i_1)) - (portRef C (instanceRef next_rst_tctr_0_i_s_0)) + (portRef A (instanceRef next_rst_tctr_0_i_o3)) (portRef D (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) (portRef A (instanceRef rst_cctr_RNO)) (portRef D (instanceRef state_bits_i_a2_0_a3_0)) )) - (net N_523_i (joined + (net N_450_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_9)) (portRef D (instanceRef CURRENT_STATE_9)) )) (net quad_rst (joined (portRef Q (instanceRef CURRENT_STATE_9)) - (portRef D (instanceRef next_rst_tctr_0_i_s_0)) + (portRef D (instanceRef next_rst_tctr_0_i_s)) (portRef C (instanceRef CURRENT_STATE_RNO_9)) (portRef C (instanceRef CURRENT_STATE_ns_i_a3_0_1)) (portRef A (instanceRef CURRENT_STATE_RNO_8)) @@ -891402,97 +891590,80 @@ (portRef C0 (instanceRef timing_ctr_cry_0_0)) (portRef A0 (instanceRef timing_ctr_cry_0_0)) )) - (net N_547 (joined + (net N_474 (joined (portRef Z (instanceRef next_lane_rst_0_i_o2)) + (portRef B (instanceRef CURRENT_STATE_ns_i_3)) (portRef B (instanceRef CURRENT_STATE_ns_a2_0_a3_2)) )) + (net N_471 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o2_7)) + (portRef A (instanceRef CURRENT_STATE_ns_i_i_0_7)) + )) + (net CURRENT_STATE_0 (joined + (portRef Q (instanceRef CURRENT_STATE_0)) + (portRef B (instanceRef CURRENT_STATE_RNO_0)) + (portRef A (instanceRef link_status_led_iv_i_a2)) + (portRef CURRENT_STATE_0) + )) + (net N_524 (joined + (portRef Z (instanceRef link_status_led_iv_i_a2)) + (portRef A (instanceRef link_status_led_iv_i_a3)) + )) + (net N_278_0 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_o2_7)) + (portRef D (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) + (portRef D (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (portRef B (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef B (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + )) (net cv_ctr_3_i_a2_4_0 (joined (portRef Z (instanceRef cv_ctr_3_i_a2_4_0)) (portRef A (instanceRef cv_ctr_3_i_o3_0)) )) - (net N_568 (joined + (net N_491 (joined (portRef Z (instanceRef CURRENT_STATE_ns_i_a3_0_1)) - (portRef C (instanceRef next_ce_tctr_i_i_0)) + (portRef D (instanceRef next_ce_tctr_i_i_1)) )) (net reset_i (joined (portRef reset_i) (portRef A (instanceRef CURRENT_STATE_ns_i_i_o3_4)) )) + (net N_476 (joined + (portRef Z (instanceRef next_reset_me_i_i_o2)) + (portRef B (instanceRef CURRENT_STATE_RNO_5)) + (portRef A (instanceRef align_me_RNO)) + (portRef B (instanceRef reset_me_RNO)) + )) + (net N_484 (joined + (portRef Z (instanceRef state_bits_i_o3_2)) + (portRef C (instanceRef reset_me_RNO)) + (portRef B (instanceRef ce_cctr_RNO)) + (portRef N_484) + )) (net CURRENT_STATE_1 (joined (portRef Q (instanceRef CURRENT_STATE_1)) (portRef C (instanceRef CURRENT_STATE_RNO_0)) (portRef B (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (portRef B (instanceRef link_status_led_iv_i_a3)) (portRef A (instanceRef state_bits_i_a2_0_a3_1)) (portRef A (instanceRef state_bits_i_a2_0_a3_0)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef B (instanceRef link_status_led_iv_i_o2)) (portRef A (instanceRef next_med_error_i_i_o3)) (portRef CURRENT_STATE_1) )) - (net CURRENT_STATE_2 (joined - (portRef Q (instanceRef CURRENT_STATE_2)) - (portRef B (instanceRef link_status_led_iv_i_0)) - (portRef B (instanceRef ce_cctr_RNO)) - (portRef B (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef B (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef B (instanceRef next_med_error_i_i_o3)) - (portRef CURRENT_STATE_2) - )) - (net N_546 (joined + (net N_473 (joined (portRef Z (instanceRef next_med_error_i_i_o3)) (portRef B (instanceRef CURRENT_STATE_RNO_2)) - (portRef B (instanceRef reset_me_RNO)) + (portRef A (instanceRef reset_me_RNO)) (portRef A (instanceRef med_error_RNO_1)) (portRef A (instanceRef next_rx_allow_1_0_i_s)) )) - (net N_550 (joined - (portRef Z (instanceRef next_med_error_i_i_o2)) - (portRef B (instanceRef ce_tctr_RNO)) - (portRef C (instanceRef CURRENT_STATE_RNO_4)) - (portRef B (instanceRef CURRENT_STATE_RNO_3)) - (portRef A (instanceRef next_rst_tctr_0_i_s)) - (portRef B (instanceRef med_error_RNO_1)) - (portRef B (instanceRef next_rx_allow_1_0_i_s)) - )) - (net link_error_6 (joined - (portRef (member link_error 1)) - (portRef C (instanceRef ce_cctr_RNO)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_0_7)) - (portRef C (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) - (portRef C (instanceRef next_med_error_i_i_o2)) - )) - (net link_error_7 (joined - (portRef (member link_error 0)) - (portRef D (instanceRef ce_cctr_RNO)) - (portRef D (instanceRef CURRENT_STATE_ns_i_i_0_7)) - (portRef D (instanceRef CURRENT_STATE_ns_i_0_a3_8)) - (portRef B (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) - (portRef D (instanceRef next_med_error_i_i_o2)) - )) - (net rx_k_q_1 (joined - (portRef (member rx_k_q 0)) - (portRef C (instanceRef buf_swap_bytes_RNO)) - (portRef D (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) - (portRef D (instanceRef buf_swap_bytes)) - )) - (net CURRENT_STATE_0 (joined - (portRef Q (instanceRef CURRENT_STATE_0)) - (portRef B (instanceRef CURRENT_STATE_RNO_0)) - (portRef A (instanceRef link_status_led_iv_i_o2)) - (portRef CURRENT_STATE_0) - )) - (net N_563 (joined - (portRef Z (instanceRef link_status_led_iv_i_o2)) - (portRef A (instanceRef link_status_led_iv_i_0)) - )) - (net N_582 (joined - (portRef Z (instanceRef link_status_led_iv_i_a3_4)) - (portRef A (instanceRef link_status_led_iv_i_4)) + (net CURRENT_STATE_ns_i_a3_0_3 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_a3_0_0_3)) + (portRef D (instanceRef CURRENT_STATE_ns_i_3)) )) - (net N_114 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_a3_0_7)) - (portRef A (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (net link_status_led_iv_i_2_tz (joined + (portRef Z (instanceRef link_status_led_iv_i_2_tz)) + (portRef B (instanceRef link_status_led_iv_i_1)) )) (net N_299 (joined (portRef Z (instanceRef state_bits_i_a2_0_a3_0)) @@ -891502,9 +891673,9 @@ (portRef Z (instanceRef state_bits_i_a2_0_a3_1)) (portRef N_300) )) - (net link_status_led_iv_i_1 (joined - (portRef Z (instanceRef link_status_led_iv_i_1)) - (portRef C (instanceRef link_status_led_iv_i_4)) + (net link_status_led_iv_i_2_0 (joined + (portRef Z (instanceRef link_status_led_iv_i_2_0)) + (portRef D (instanceRef link_status_led_iv_i_a3_RNI9VFO)) )) (net cv_ctr_3_i_a2_5_0 (joined (portRef Z (instanceRef cv_ctr_3_i_a2_5_0)) @@ -891514,10 +891685,12 @@ (portRef Z (instanceRef cv_ctr_3_i_a2_6_0)) (portRef C (instanceRef cv_ctr_3_i_o3_0)) )) - (net rx_k_q_0 (joined - (portRef (member rx_k_q 1)) - (portRef B (instanceRef buf_swap_bytes_RNO)) - (portRef C (instanceRef CURRENT_STATE_ns_i_i_o2_0_5)) + (net rx_k_q_1 (joined + (portRef (member rx_k_q 0)) + (portRef D (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef D (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + (portRef C (instanceRef buf_swap_bytes_RNO)) + (portRef D (instanceRef buf_swap_bytes)) )) (net sfp_los (joined (portRef sfp_los) @@ -891529,67 +891702,68 @@ (portRef C (instanceRef rst_cctr_RNO)) (portRef C (instanceRef CURRENT_STATE_ns_i_i_o3_4)) )) - (net CURRENT_STATE_ns_i_i_0_7 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_0_7)) - (portRef D (instanceRef CURRENT_STATE_RNO_2)) + (net N_512 (joined + (portRef Z (instanceRef next_rst_tctr_0_i_a3)) + (portRef C (instanceRef next_rst_tctr_0_i_s)) )) - (net N_111 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_a3_5)) - (portRef A (instanceRef CURRENT_STATE_RNO_4)) + (net rx_k_q_0 (joined + (portRef (member rx_k_q 1)) + (portRef C (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef C (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + (portRef B (instanceRef buf_swap_bytes_RNO)) )) - (net CURRENT_STATE_ns_i_i_o3_0_4 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o3_0_4)) - (portRef B (instanceRef CURRENT_STATE_RNO_5)) + (net N_519 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_a2_4)) + (portRef C (instanceRef CURRENT_STATE_RNO_5)) + (portRef B (instanceRef align_me_RNO)) )) - (net N_602 (joined - (portRef Z (instanceRef CURRENT_STATE_ns_i_a2_3)) - (portRef B (instanceRef next_ce_tctr_i_i_1)) - (portRef B (instanceRef next_rst_tctr_0_i_s_0)) - (portRef C (instanceRef CURRENT_STATE_ns_i_3)) + (net N_485 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_o2_5)) + (portRef C (instanceRef CURRENT_STATE_RNO_4)) + (portRef C (instanceRef next_ce_tctr_i_i_1)) )) - (net link_status_led_iv_i_4 (joined - (portRef Z (instanceRef link_status_led_iv_i_4)) - (portRef C (instanceRef link_status_led_iv_i_0_RNIBQD41)) + (net N_499 (joined + (portRef Z (instanceRef link_status_led_iv_i_a3)) + (portRef A (instanceRef link_status_led_iv_i_a3_RNI9VFO)) )) - (net next_rst_tctr_0_i_s_0 (joined - (portRef Z (instanceRef next_rst_tctr_0_i_s_0)) - (portRef D (instanceRef next_rst_tctr_0_i_s)) + (net CURRENT_STATE_ns_i_i_0_7 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_0_7)) + (portRef D (instanceRef CURRENT_STATE_RNO_2)) )) - (net N_560 (joined - (portRef Z (instanceRef state_bits_i_o3_2)) - (portRef C (instanceRef reset_me_RNO)) - (portRef A (instanceRef ce_cctr_RNO)) - (portRef N_560) + (net link_status_led_iv_i_1 (joined + (portRef Z (instanceRef link_status_led_iv_i_1)) + (portRef C (instanceRef link_status_led_iv_i_a3_RNI9VFO)) )) - (net N_551 (joined + (net N_478 (joined (portRef Z (instanceRef cv_ctr_3_i_o3_0)) (portRef A (instanceRef cv_ctr_RNO_1)) (portRef A (instanceRef cv_ctr_RNO_0)) )) - (net link_status_led_iv_i_0 (joined - (portRef Z (instanceRef link_status_led_iv_i_0)) - (portRef B (instanceRef link_status_led_iv_i_0_RNIBQD41)) - )) - (net next_ce_tctr_i_i_0 (joined - (portRef Z (instanceRef next_ce_tctr_i_i_0)) - (portRef D (instanceRef next_ce_tctr_i_i_1)) + (net N_84 (joined + (portRef Z (instanceRef next_rst_tctr_0_i_o3)) + (portRef A (instanceRef next_ce_tctr_i_i_1)) + (portRef A (instanceRef next_rst_tctr_0_i_s)) )) (net next_ce_tctr_i_i_1 (joined (portRef Z (instanceRef next_ce_tctr_i_i_1)) (portRef D (instanceRef ce_tctr_RNO)) )) - (net N_527_i (joined - (portRef Z (instanceRef link_status_led_iv_i_0_RNIBQD41)) - (portRef N_527_i) + (net N_112 (joined + (portRef Z (instanceRef CURRENT_STATE_ns_i_i_a3_0_5)) + (portRef A (instanceRef CURRENT_STATE_RNO_4)) + )) + (net N_454_i (joined + (portRef Z (instanceRef link_status_led_iv_i_a3_RNI9VFO)) + (portRef N_454_i) )) (net link_error_5 (joined (portRef (member link_error 2)) - (portRef B (instanceRef CURRENT_STATE_ns_i_a2_3)) + (portRef C (instanceRef next_rst_tctr_0_i_o3)) (portRef B (instanceRef next_lane_rst_0_i_o2)) )) (net link_error_4 (joined (portRef (member link_error 3)) - (portRef C (instanceRef CURRENT_STATE_ns_i_a2_3)) + (portRef D (instanceRef next_rst_tctr_0_i_o3)) (portRef A (instanceRef next_lane_rst_0_i_o2)) )) (net timing_ctr_cry_0 (joined @@ -892454,31 +892628,13 @@ (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port (array (rename trg_type_i "trg_type_i(3:0)") 4) (direction OUTPUT)) (port ctrl_reg_0 (direction OUTPUT)) - (port ctrl_reg_1 (direction OUTPUT)) - (port ctrl_reg_2 (direction OUTPUT)) - (port ctrl_reg_3 (direction OUTPUT)) - (port ctrl_reg_4 (direction OUTPUT)) - (port ctrl_reg_5 (direction OUTPUT)) - (port ctrl_reg_6 (direction OUTPUT)) - (port ctrl_reg_12 (direction OUTPUT)) - (port ctrl_reg_13 (direction OUTPUT)) - (port ctrl_reg_14 (direction OUTPUT)) - (port ctrl_reg_15 (direction OUTPUT)) - (port ctrl_reg_16 (direction OUTPUT)) - (port ctrl_reg_17 (direction OUTPUT)) - (port ctrl_reg_18 (direction OUTPUT)) - (port ctrl_reg_19 (direction OUTPUT)) - (port ctrl_reg_20 (direction OUTPUT)) - (port ctrl_reg_21 (direction OUTPUT)) - (port ctrl_reg_22 (direction OUTPUT)) + (port ctrl_reg_24 (direction OUTPUT)) + (port ctrl_reg_25 (direction OUTPUT)) + (port ctrl_reg_26 (direction OUTPUT)) (port ctrl_reg_27 (direction OUTPUT)) + (port ctrl_reg_28 (direction OUTPUT)) (port ctrl_reg_29 (direction OUTPUT)) (port ctrl_reg_30 (direction OUTPUT)) - (port ctrl_reg_31 (direction OUTPUT)) - (port ctrl_reg_32 (direction OUTPUT)) - (port ctrl_reg_33 (direction OUTPUT)) - (port ctrl_reg_34 (direction OUTPUT)) - (port ctrl_reg_35 (direction OUTPUT)) (port ctrl_reg_36 (direction OUTPUT)) (port ctrl_reg_37 (direction OUTPUT)) (port ctrl_reg_38 (direction OUTPUT)) @@ -892490,12 +892646,7 @@ (port ctrl_reg_44 (direction OUTPUT)) (port ctrl_reg_45 (direction OUTPUT)) (port ctrl_reg_46 (direction OUTPUT)) - (port ctrl_reg_47 (direction OUTPUT)) - (port ctrl_reg_48 (direction OUTPUT)) - (port ctrl_reg_49 (direction OUTPUT)) - (port ctrl_reg_50 (direction OUTPUT)) (port ctrl_reg_51 (direction OUTPUT)) - (port ctrl_reg_52 (direction OUTPUT)) (port ctrl_reg_53 (direction OUTPUT)) (port ctrl_reg_54 (direction OUTPUT)) (port ctrl_reg_55 (direction OUTPUT)) @@ -892503,58 +892654,78 @@ (port ctrl_reg_57 (direction OUTPUT)) (port ctrl_reg_58 (direction OUTPUT)) (port ctrl_reg_59 (direction OUTPUT)) - (port stat_reg_40 (direction INPUT)) - (port stat_reg_61 (direction INPUT)) - (port stat_reg_63 (direction INPUT)) + (port ctrl_reg_60 (direction OUTPUT)) + (port ctrl_reg_61 (direction OUTPUT)) + (port ctrl_reg_62 (direction OUTPUT)) + (port ctrl_reg_63 (direction OUTPUT)) + (port ctrl_reg_64 (direction OUTPUT)) + (port ctrl_reg_65 (direction OUTPUT)) + (port ctrl_reg_66 (direction OUTPUT)) + (port ctrl_reg_67 (direction OUTPUT)) + (port ctrl_reg_68 (direction OUTPUT)) + (port ctrl_reg_69 (direction OUTPUT)) + (port ctrl_reg_70 (direction OUTPUT)) + (port ctrl_reg_71 (direction OUTPUT)) + (port ctrl_reg_72 (direction OUTPUT)) + (port ctrl_reg_73 (direction OUTPUT)) + (port ctrl_reg_74 (direction OUTPUT)) + (port ctrl_reg_75 (direction OUTPUT)) + (port ctrl_reg_76 (direction OUTPUT)) + (port ctrl_reg_77 (direction OUTPUT)) + (port ctrl_reg_78 (direction OUTPUT)) + (port ctrl_reg_79 (direction OUTPUT)) + (port ctrl_reg_80 (direction OUTPUT)) + (port ctrl_reg_81 (direction OUTPUT)) + (port ctrl_reg_82 (direction OUTPUT)) + (port ctrl_reg_83 (direction OUTPUT)) (port stat_reg_59 (direction INPUT)) + (port stat_reg_60 (direction INPUT)) + (port stat_reg_653 (direction INPUT)) + (port stat_reg_651 (direction INPUT)) + (port stat_reg_643 (direction INPUT)) + (port stat_reg_46 (direction INPUT)) (port stat_reg_640 (direction INPUT)) - (port stat_reg_44 (direction INPUT)) (port stat_reg_642 (direction INPUT)) - (port stat_reg_661 (direction INPUT)) - (port stat_reg_656 (direction INPUT)) - (port stat_reg_46 (direction INPUT)) - (port stat_reg_650 (direction INPUT)) (port stat_reg_662 (direction INPUT)) - (port stat_reg_647 (direction INPUT)) (port stat_reg_649 (direction INPUT)) + (port stat_reg_660 (direction INPUT)) + (port stat_reg_655 (direction INPUT)) (port stat_reg_654 (direction INPUT)) - (port stat_reg_641 (direction INPUT)) - (port stat_reg_646 (direction INPUT)) - (port stat_reg_652 (direction INPUT)) (port stat_reg_648 (direction INPUT)) - (port stat_reg_645 (direction INPUT)) + (port stat_reg_44 (direction INPUT)) (port stat_reg_47 (direction INPUT)) - (port stat_reg_643 (direction INPUT)) + (port stat_reg_641 (direction INPUT)) + (port stat_reg_650 (direction INPUT)) + (port stat_reg_645 (direction INPUT)) + (port stat_reg_652 (direction INPUT)) + (port stat_reg_45 (direction INPUT)) + (port stat_reg_661 (direction INPUT)) (port stat_reg_644 (direction INPUT)) - (port stat_reg_655 (direction INPUT)) - (port stat_reg_52 (direction INPUT)) - (port stat_reg_54 (direction INPUT)) - (port stat_reg_41 (direction INPUT)) - (port stat_reg_48 (direction INPUT)) - (port stat_reg_37 (direction INPUT)) - (port stat_reg_51 (direction INPUT)) - (port stat_reg_53 (direction INPUT)) + (port stat_reg_646 (direction INPUT)) + (port stat_reg_647 (direction INPUT)) + (port stat_reg_49 (direction INPUT)) (port stat_reg_50 (direction INPUT)) - (port stat_reg_42 (direction INPUT)) - (port stat_reg_39 (direction INPUT)) + (port stat_reg_37 (direction INPUT)) (port stat_reg_55 (direction INPUT)) (port stat_reg_38 (direction INPUT)) - (port stat_reg_49 (direction INPUT)) - (port stat_reg_651 (direction INPUT)) - (port stat_reg_653 (direction INPUT)) + (port stat_reg_42 (direction INPUT)) + (port stat_reg_48 (direction INPUT)) + (port stat_reg_40 (direction INPUT)) + (port stat_reg_51 (direction INPUT)) + (port stat_reg_54 (direction INPUT)) + (port stat_reg_39 (direction INPUT)) + (port stat_reg_41 (direction INPUT)) + (port stat_reg_53 (direction INPUT)) + (port stat_reg_52 (direction INPUT)) (port stat_reg_658 (direction INPUT)) (port stat_reg_657 (direction INPUT)) - (port stat_reg_660 (direction INPUT)) + (port stat_reg_656 (direction INPUT)) (port stat_reg_659 (direction INPUT)) (port stat_reg_663 (direction INPUT)) (port stat_reg_302 (direction INPUT)) (port stat_reg_270 (direction INPUT)) (port stat_reg_366 (direction INPUT)) (port stat_reg_334 (direction INPUT)) - (port stat_reg_430 (direction INPUT)) - (port stat_reg_398 (direction INPUT)) - (port stat_reg_494 (direction INPUT)) - (port stat_reg_462 (direction INPUT)) (port stat_reg_168 (direction INPUT)) (port stat_reg_136 (direction INPUT)) (port stat_reg_232 (direction INPUT)) @@ -892563,10 +892734,12 @@ (port stat_reg_264 (direction INPUT)) (port stat_reg_360 (direction INPUT)) (port stat_reg_328 (direction INPUT)) + (port stat_reg_424 (direction INPUT)) + (port stat_reg_392 (direction INPUT)) + (port stat_reg_488 (direction INPUT)) + (port stat_reg_456 (direction INPUT)) (port stat_reg_552 (direction INPUT)) (port stat_reg_520 (direction INPUT)) - (port stat_reg_616 (direction INPUT)) - (port stat_reg_584 (direction INPUT)) (port stat_reg_172 (direction INPUT)) (port stat_reg_140 (direction INPUT)) (port stat_reg_300 (direction INPUT)) @@ -892581,8 +892754,6 @@ (port stat_reg_524 (direction INPUT)) (port stat_reg_171 (direction INPUT)) (port stat_reg_139 (direction INPUT)) - (port stat_reg_235 (direction INPUT)) - (port stat_reg_203 (direction INPUT)) (port stat_reg_299 (direction INPUT)) (port stat_reg_267 (direction INPUT)) (port stat_reg_363 (direction INPUT)) @@ -892591,8 +892762,6 @@ (port stat_reg_459 (direction INPUT)) (port stat_reg_555 (direction INPUT)) (port stat_reg_523 (direction INPUT)) - (port stat_reg_619 (direction INPUT)) - (port stat_reg_587 (direction INPUT)) (port stat_reg_427 (direction INPUT)) (port stat_reg_395 (direction INPUT)) (port stat_reg_170 (direction INPUT)) @@ -892603,6 +892772,10 @@ (port stat_reg_266 (direction INPUT)) (port stat_reg_362 (direction INPUT)) (port stat_reg_330 (direction INPUT)) + (port stat_reg_426 (direction INPUT)) + (port stat_reg_394 (direction INPUT)) + (port stat_reg_490 (direction INPUT)) + (port stat_reg_458 (direction INPUT)) (port stat_reg_554 (direction INPUT)) (port stat_reg_522 (direction INPUT)) (port stat_reg_175 (direction INPUT)) @@ -892621,10 +892794,10 @@ (port stat_reg_142 (direction INPUT)) (port stat_reg_558 (direction INPUT)) (port stat_reg_526 (direction INPUT)) + (port stat_reg_622 (direction INPUT)) + (port stat_reg_590 (direction INPUT)) (port stat_reg_173 (direction INPUT)) (port stat_reg_141 (direction INPUT)) - (port stat_reg_237 (direction INPUT)) - (port stat_reg_205 (direction INPUT)) (port stat_reg_301 (direction INPUT)) (port stat_reg_269 (direction INPUT)) (port stat_reg_365 (direction INPUT)) @@ -892633,8 +892806,6 @@ (port stat_reg_461 (direction INPUT)) (port stat_reg_557 (direction INPUT)) (port stat_reg_525 (direction INPUT)) - (port stat_reg_621 (direction INPUT)) - (port stat_reg_589 (direction INPUT)) (port stat_reg_429 (direction INPUT)) (port stat_reg_397 (direction INPUT)) (port stat_reg_34 (direction INPUT)) @@ -892689,14 +892860,16 @@ (port stat_reg_133 (direction INPUT)) (port stat_reg_229 (direction INPUT)) (port stat_reg_197 (direction INPUT)) + (port stat_reg_293 (direction INPUT)) + (port stat_reg_261 (direction INPUT)) (port stat_reg_357 (direction INPUT)) (port stat_reg_325 (direction INPUT)) (port stat_reg_421 (direction INPUT)) (port stat_reg_389 (direction INPUT)) (port stat_reg_485 (direction INPUT)) (port stat_reg_453 (direction INPUT)) - (port stat_reg_36 (direction INPUT)) - (port stat_reg_4 (direction INPUT)) + (port stat_reg_549 (direction INPUT)) + (port stat_reg_517 (direction INPUT)) (port stat_reg_164 (direction INPUT)) (port stat_reg_132 (direction INPUT)) (port stat_reg_228 (direction INPUT)) @@ -892705,10 +892878,8 @@ (port stat_reg_260 (direction INPUT)) (port stat_reg_356 (direction INPUT)) (port stat_reg_324 (direction INPUT)) - (port stat_reg_484 (direction INPUT)) - (port stat_reg_452 (direction INPUT)) - (port stat_reg_420 (direction INPUT)) - (port stat_reg_388 (direction INPUT)) + (port stat_reg_548 (direction INPUT)) + (port stat_reg_516 (direction INPUT)) (port stat_reg_612 (direction INPUT)) (port stat_reg_580 (direction INPUT)) (port stat_reg_35 (direction INPUT)) @@ -892717,6 +892888,10 @@ (port stat_reg_131 (direction INPUT)) (port stat_reg_227 (direction INPUT)) (port stat_reg_195 (direction INPUT)) + (port stat_reg_291 (direction INPUT)) + (port stat_reg_259 (direction INPUT)) + (port stat_reg_355 (direction INPUT)) + (port stat_reg_323 (direction INPUT)) (port stat_reg_419 (direction INPUT)) (port stat_reg_387 (direction INPUT)) (port stat_reg_483 (direction INPUT)) @@ -892747,12 +892922,22 @@ (port stat_reg_327 (direction INPUT)) (port stat_reg_551 (direction INPUT)) (port stat_reg_519 (direction INPUT)) + (port stat_reg_615 (direction INPUT)) + (port stat_reg_583 (direction INPUT)) (port stat_reg_425 (direction INPUT)) (port stat_reg_393 (direction INPUT)) (port stat_reg_489 (direction INPUT)) (port stat_reg_457 (direction INPUT)) - (port stat_reg_56 (direction INPUT)) - (port stat_reg_58 (direction INPUT)) + (port stat_reg_169 (direction INPUT)) + (port stat_reg_137 (direction INPUT)) + (port stat_reg_233 (direction INPUT)) + (port stat_reg_201 (direction INPUT)) + (port stat_reg_297 (direction INPUT)) + (port stat_reg_265 (direction INPUT)) + (port stat_reg_361 (direction INPUT)) + (port stat_reg_329 (direction INPUT)) + (port stat_reg_553 (direction INPUT)) + (port stat_reg_521 (direction INPUT)) (port stat_reg_178 (direction INPUT)) (port stat_reg_146 (direction INPUT)) (port stat_reg_242 (direction INPUT)) @@ -892781,12 +892966,20 @@ (port stat_reg_465 (direction INPUT)) (port stat_reg_561 (direction INPUT)) (port stat_reg_529 (direction INPUT)) + (port stat_reg_176 (direction INPUT)) + (port stat_reg_144 (direction INPUT)) + (port stat_reg_240 (direction INPUT)) + (port stat_reg_208 (direction INPUT)) + (port stat_reg_432 (direction INPUT)) + (port stat_reg_400 (direction INPUT)) + (port stat_reg_496 (direction INPUT)) + (port stat_reg_464 (direction INPUT)) (port stat_reg_560 (direction INPUT)) (port stat_reg_528 (direction INPUT)) - (port stat_reg_624 (direction INPUT)) - (port stat_reg_592 (direction INPUT)) (port stat_reg_304 (direction INPUT)) (port stat_reg_272 (direction INPUT)) + (port stat_reg_368 (direction INPUT)) + (port stat_reg_336 (direction INPUT)) (port stat_reg_181 (direction INPUT)) (port stat_reg_149 (direction INPUT)) (port stat_reg_245 (direction INPUT)) @@ -892809,10 +893002,8 @@ (port stat_reg_276 (direction INPUT)) (port stat_reg_372 (direction INPUT)) (port stat_reg_340 (direction INPUT)) - (port stat_reg_500 (direction INPUT)) - (port stat_reg_468 (direction INPUT)) - (port stat_reg_436 (direction INPUT)) - (port stat_reg_404 (direction INPUT)) + (port stat_reg_564 (direction INPUT)) + (port stat_reg_532 (direction INPUT)) (port stat_reg_628 (direction INPUT)) (port stat_reg_596 (direction INPUT)) (port stat_reg_179 (direction INPUT)) @@ -892857,63 +893048,42 @@ (port stat_reg_471 (direction INPUT)) (port stat_reg_567 (direction INPUT)) (port stat_reg_535 (direction INPUT)) - (port stat_reg_57 (direction INPUT)) - (port stat_reg_617 (direction INPUT)) - (port stat_reg_585 (direction INPUT)) - (port stat_reg_553 (direction INPUT)) - (port stat_reg_521 (direction INPUT)) - (port stat_reg_355 (direction INPUT)) - (port stat_reg_323 (direction INPUT)) - (port stat_reg_291 (direction INPUT)) - (port stat_reg_259 (direction INPUT)) - (port stat_reg_368 (direction INPUT)) - (port stat_reg_336 (direction INPUT)) - (port stat_reg_240 (direction INPUT)) - (port stat_reg_208 (direction INPUT)) - (port stat_reg_176 (direction INPUT)) - (port stat_reg_144 (direction INPUT)) - (port stat_reg_361 (direction INPUT)) - (port stat_reg_329 (direction INPUT)) - (port stat_reg_297 (direction INPUT)) - (port stat_reg_265 (direction INPUT)) - (port stat_reg_233 (direction INPUT)) - (port stat_reg_201 (direction INPUT)) - (port stat_reg_169 (direction INPUT)) - (port stat_reg_137 (direction INPUT)) - (port stat_reg_549 (direction INPUT)) - (port stat_reg_517 (direction INPUT)) - (port stat_reg_293 (direction INPUT)) - (port stat_reg_261 (direction INPUT)) - (port stat_reg_43 (direction INPUT)) - (port stat_reg_45 (direction INPUT)) + (port stat_reg_619 (direction INPUT)) + (port stat_reg_587 (direction INPUT)) (port stat_reg_239 (direction INPUT)) (port stat_reg_207 (direction INPUT)) + (port stat_reg_621 (direction INPUT)) + (port stat_reg_589 (direction INPUT)) (port stat_reg_610 (direction INPUT)) (port stat_reg_578 (direction INPUT)) + (port stat_reg_237 (direction INPUT)) + (port stat_reg_205 (direction INPUT)) (port stat_reg_609 (direction INPUT)) (port stat_reg_577 (direction INPUT)) (port stat_reg_613 (direction INPUT)) (port stat_reg_581 (direction INPUT)) + (port stat_reg_36 (direction INPUT)) + (port stat_reg_4 (direction INPUT)) (port stat_reg_623 (direction INPUT)) (port stat_reg_591 (direction INPUT)) (port stat_reg_618 (direction INPUT)) (port stat_reg_586 (direction INPUT)) (port stat_reg_611 (direction INPUT)) (port stat_reg_579 (direction INPUT)) - (port stat_reg_548 (direction INPUT)) - (port stat_reg_516 (direction INPUT)) + (port stat_reg_235 (direction INPUT)) + (port stat_reg_203 (direction INPUT)) (port stat_reg_608 (direction INPUT)) (port stat_reg_576 (direction INPUT)) - (port stat_reg_615 (direction INPUT)) - (port stat_reg_583 (direction INPUT)) (port stat_reg_238 (direction INPUT)) (port stat_reg_206 (direction INPUT)) - (port stat_reg_622 (direction INPUT)) - (port stat_reg_590 (direction INPUT)) + (port stat_reg_617 (direction INPUT)) + (port stat_reg_585 (direction INPUT)) (port stat_reg_236 (direction INPUT)) (port stat_reg_204 (direction INPUT)) (port stat_reg_614 (direction INPUT)) (port stat_reg_582 (direction INPUT)) + (port stat_reg_616 (direction INPUT)) + (port stat_reg_584 (direction INPUT)) (port stat_reg_620 (direction INPUT)) (port stat_reg_588 (direction INPUT)) (port stat_reg_626 (direction INPUT)) @@ -892924,8 +893094,8 @@ (port stat_reg_597 (direction INPUT)) (port stat_reg_627 (direction INPUT)) (port stat_reg_595 (direction INPUT)) - (port stat_reg_564 (direction INPUT)) - (port stat_reg_532 (direction INPUT)) + (port stat_reg_624 (direction INPUT)) + (port stat_reg_592 (direction INPUT)) (port stat_reg_631 (direction INPUT)) (port stat_reg_599 (direction INPUT)) (port stat_reg_630 (direction INPUT)) @@ -892938,20 +893108,25 @@ (port stat_reg_423 (direction INPUT)) (port stat_reg_455 (direction INPUT)) (port stat_reg_487 (direction INPUT)) - (port stat_reg_400 (direction INPUT)) - (port stat_reg_432 (direction INPUT)) - (port stat_reg_464 (direction INPUT)) - (port stat_reg_496 (direction INPUT)) - (port stat_reg_394 (direction INPUT)) - (port stat_reg_426 (direction INPUT)) - (port stat_reg_458 (direction INPUT)) - (port stat_reg_490 (direction INPUT)) - (port stat_reg_392 (direction INPUT)) - (port stat_reg_424 (direction INPUT)) - (port stat_reg_456 (direction INPUT)) - (port stat_reg_488 (direction INPUT)) + (port stat_reg_388 (direction INPUT)) + (port stat_reg_420 (direction INPUT)) + (port stat_reg_452 (direction INPUT)) + (port stat_reg_484 (direction INPUT)) + (port stat_reg_398 (direction INPUT)) + (port stat_reg_430 (direction INPUT)) + (port stat_reg_462 (direction INPUT)) + (port stat_reg_494 (direction INPUT)) + (port stat_reg_404 (direction INPUT)) + (port stat_reg_436 (direction INPUT)) + (port stat_reg_468 (direction INPUT)) + (port stat_reg_500 (direction INPUT)) + (port stat_reg_57 (direction INPUT)) + (port stat_reg_58 (direction INPUT)) + (port stat_reg_56 (direction INPUT)) + (port stat_reg_43 (direction INPUT)) (port stat_reg_62 (direction INPUT)) - (port stat_reg_60 (direction INPUT)) + (port stat_reg_61 (direction INPUT)) + (port stat_reg_63 (direction INPUT)) (port (array (rename un1_the_endpoint_1_0 "un1_THE_ENDPOINT_1_0(2:2)") 1) (direction OUTPUT)) (port med_packet_num_out_2 (direction OUTPUT)) (port med_packet_num_out_0 (direction OUTPUT)) @@ -892959,11 +893134,10 @@ (port (array (rename med_data_in "med_data_in(15:0)") 16) (direction INPUT)) (port (array (rename med_packet_num_in "med_packet_num_in(2:0)") 3) (direction INPUT)) (port (array (rename regio_addr_out "regio_addr_out(15:0)") 16) (direction OUTPUT)) - (port reset_i_1_1 (direction INPUT)) + (port reset_i_fast_r10 (direction INPUT)) (port fee_trg_release_i (direction INPUT)) - (port reset_i_1 (direction INPUT)) - (port fee_data_write_i (direction INPUT)) (port fee_data_finished_i (direction INPUT)) + (port fee_data_write_i (direction INPUT)) (port regio_write_ack_in (direction INPUT)) (port regio_no_more_data_in (direction INPUT)) (port regio_write_enable_out (direction OUTPUT)) @@ -892972,47 +893146,46 @@ (port trg_spurious_trg_i (direction OUTPUT)) (port trg_spike_detected_i (direction OUTPUT)) (port trb_reset_buffer (direction OUTPUT)) + (port reset_i_fast_r7 (direction INPUT)) (port reset_i_fast_r5 (direction INPUT)) - (port reset_i_fast_r6 (direction INPUT)) (port reset_i_rep2 (direction INPUT)) (port N_299 (direction INPUT)) - (port N_560 (direction INPUT)) + (port N_484 (direction INPUT)) (port N_300 (direction INPUT)) - (port reset_i_19 (direction INPUT)) - (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_20 (direction INPUT)) (port reset_i_rep1 (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) + (port reset_i_rep1_1 (direction INPUT)) + (port reset_i_19 (direction INPUT)) + (port reset_i_fast_r8 (direction INPUT)) + (port reset_i_fast_r9 (direction INPUT)) (port reset_i_fast_1 (direction INPUT)) - (port reset_i_fast_r7 (direction INPUT)) + (port reset_i_fast_r6 (direction INPUT)) (port signal_sync_1 (direction OUTPUT)) (port signal_sync_0 (direction OUTPUT)) (port signal_sync (direction OUTPUT)) - (port reset_i_fast_r10 (direction INPUT)) - (port reset_i_fast_r3 (direction INPUT)) - (port reset_i_fast_r9 (direction INPUT)) - (port reset_i_fast_r4 (direction INPUT)) - (port reset_i_fast_r8 (direction INPUT)) - (port reset_i_fast_1_i (direction INPUT)) (port reset_i_fast_r2 (direction INPUT)) - (port TEMPSENS_in (direction INPUT)) + (port reset_i_fast_1_i (direction INPUT)) + (port reset_i_fast_r3 (direction INPUT)) + (port trg_timing_valid_i (direction OUTPUT)) (port reset_i_rep2_1 (direction INPUT)) - (port N_538_i (direction INPUT)) + (port TEMPSENS_in (direction INPUT)) + (port N_465_i (direction INPUT)) (port med_dataready_out (direction OUTPUT)) (port med_dataready_in (direction INPUT)) (port TRIGGER_LEFT_c (direction INPUT)) + (port trg_notiming_valid_i (direction OUTPUT)) (port trg_timeout_detected_i (direction OUTPUT)) (port trg_multiple_trg_i (direction OUTPUT)) - (port trg_data_valid_i (direction OUTPUT)) - (port trg_timing_valid_i (direction OUTPUT)) - (port trg_notiming_valid_i (direction OUTPUT)) (port trg_invalid_i (direction OUTPUT)) + (port trg_data_valid_i (direction OUTPUT)) (port GND (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) ) (contents - (instance common_stat_reg_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C A)+D A)")) + (instance common_stat_reg_i_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)+C !B)+D !B)")) ) (instance tbuf_dataready (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) @@ -893127,29 +893300,26 @@ (instance proc_buf_status_un3_dbuf_read_enable_p4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance un14_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) (instance proc_buf_status_un3_dbuf_read_enable_p4_RNIJI8F (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance un14_i_a3_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance common_stat_reg_i_srsts_i_a3_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance lvl1_valid_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) (instance common_stat_reg_i_srsts_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B !A))")) ) + (instance common_stat_reg_i_srsts_i_a3_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance common_stat_reg_i_srsts_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !B)+D (!C (!B A)+C !B))")) ) (instance common_stat_reg_i_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) ) - (instance common_stat_reg_i_RNO_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B+!A))+D (B+!A))")) + (instance common_stat_reg_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+A)))")) ) (instance THE_ENDPOINT (viewRef netlist (cellRef trb_net16_endpoint_hades_full)) ) @@ -893157,10 +893327,6 @@ ) (instance THE_HANDLER_TRIGGER_DATA (viewRef netlist (cellRef handler_trigger_and_data)) ) - (net common_stat_reg_i_srsts_i_296_0 (joined - (portRef Z (instanceRef common_stat_reg_i_RNO_0_1)) - (portRef A (instanceRef common_stat_reg_i_RNO_1)) - )) (net m173_2_03_3_i_4 (joined (portRef m173_2_03_3_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m173_2_03_3_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -893168,25 +893334,30 @@ (portRef A (instanceRef common_stat_reg_i_RNO_3)) (portRef A (instanceRef common_stat_reg_i_srsts_i_5)) (portRef A (instanceRef common_stat_reg_i_srsts_0_a3_7)) - (portRef B (instanceRef common_stat_reg_i_RNO_1)) + (portRef A (instanceRef common_stat_reg_i_RNO_0_1)) + )) + (net N_1694 (joined + (portRef Z (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) + (portRef B (instanceRef common_stat_reg_i_RNO_0_1)) )) (net common_stat_reg_i_5 (joined (portRef Q (instanceRef common_stat_reg_i_5)) - (portRef C (instanceRef common_stat_reg_i_RNO_0_1)) (portRef C (instanceRef common_stat_reg_i_srsts_i_5)) - (portRef B (instanceRef un14_i_a3_1_1)) - (portRef C (instanceRef common_stat_reg_i_RNO_1)) + (portRef C (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) + (portRef B (instanceRef common_stat_reg_i_srsts_i_a3_0_0_1)) + (portRef C (instanceRef common_stat_reg_i_RNO_0_1)) )) (net common_stat_reg_i_1 (joined (portRef Q (instanceRef common_stat_reg_i_1)) (portRef common_stat_reg_i_0 (instanceRef THE_ENDPOINT)) - (portRef A (instanceRef un14_i_a3_1_1)) - (portRef A (instanceRef un14_i_a2_0)) - (portRef D (instanceRef common_stat_reg_i_RNO_1)) + (portRef B (instanceRef common_stat_reg_i_RNO_1)) + (portRef A (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) + (portRef A (instanceRef common_stat_reg_i_srsts_i_a3_0_0_1)) + (portRef D (instanceRef common_stat_reg_i_RNO_0_1)) )) - (net common_stat_reg_i_RNO_1 (joined - (portRef Z (instanceRef common_stat_reg_i_RNO_1)) - (portRef D (instanceRef common_stat_reg_i_1)) + (net common_stat_reg_i_srsts_i_289_0 (joined + (portRef Z (instanceRef common_stat_reg_i_RNO_0_1)) + (portRef D (instanceRef common_stat_reg_i_RNO_1)) )) (net tbuf_read_enable (joined (portRef tbuf_read_enable (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -893598,6 +893769,10 @@ (portRef Q (instanceRef dbuf_data_in_27)) (portRef dbuf_data_in_27 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) + (net common_stat_reg_i_RNO_1 (joined + (portRef Z (instanceRef common_stat_reg_i_RNO_1)) + (portRef D (instanceRef common_stat_reg_i_1)) + )) (net common_ctrl_reg_4 (joined (portRef common_ctrl_reg_3 (instanceRef THE_ENDPOINT)) (portRef D (instanceRef common_stat_reg_i_7)) @@ -893612,22 +893787,23 @@ (net common_stat_reg_i_3 (joined (portRef Q (instanceRef common_stat_reg_i_3)) (portRef common_stat_reg_i_2 (instanceRef THE_ENDPOINT)) + (portRef C (instanceRef common_stat_reg_i_RNO_1)) (portRef C (instanceRef common_stat_reg_i_RNO_3)) - (portRef B (instanceRef un14_i_a2_0)) + (portRef B (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) )) - (net N_1675_0 (joined + (net N_1677_0 (joined (portRef Z (instanceRef common_stat_reg_i_srsts_i_5)) (portRef D (instanceRef common_stat_reg_i_5)) )) - (net N_1698 (joined + (net N_1700 (joined (portRef Z (instanceRef common_stat_reg_i_srsts_0_a3_7)) (portRef PD (instanceRef common_stat_reg_i_7)) )) (net common_stat_reg_i_7 (joined (portRef Q (instanceRef common_stat_reg_i_7)) - (portRef D (instanceRef common_stat_reg_i_RNO_0_1)) (portRef D (instanceRef common_stat_reg_i_RNO_3)) (portRef D (instanceRef common_stat_reg_i_srsts_i_5)) + (portRef D (instanceRef common_stat_reg_i_srsts_i_a3_1_1)) (portRef C (instanceRef common_stat_reg_i_srsts_0_a3_7)) )) (net ipu_number_i_0 (joined @@ -893784,24 +893960,15 @@ (portRef GND (instanceRef THE_INTERNAL_BUS_HANDLER)) (portRef GND (instanceRef THE_ENDPOINT)) )) - (net N_1700 (joined - (portRef Z (instanceRef un14_i_a2_0)) - (portRef N_1700 (instanceRef THE_ENDPOINT)) - (portRef A (instanceRef common_stat_reg_i_RNO_0_1)) - )) - (net un14_i_a3_1_1 (joined - (portRef Z (instanceRef un14_i_a3_1_1)) - (portRef (member un14_i_a3_1 0) (instanceRef THE_ENDPOINT)) - )) - (net lvl1_valid_i_0 (joined - (portRef Z (instanceRef lvl1_valid_i)) - (portRef lvl1_valid_i_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net un14_i_a3_0_1 (joined + (portRef Z (instanceRef common_stat_reg_i_srsts_i_a3_0_0_1)) + (portRef (member un14_i_a3_0 0) (instanceRef THE_ENDPOINT)) )) (net m174_2_03_3_i_4 (joined (portRef m174_2_03_3_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m174_2_03_3_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) (portRef m174_2_03_3_i_4 (instanceRef THE_ENDPOINT)) - (portRef B (instanceRef common_stat_reg_i_RNO_0_1)) + (portRef A (instanceRef common_stat_reg_i_RNO_1)) (portRef B (instanceRef common_stat_reg_i_RNO_3)) (portRef B (instanceRef common_stat_reg_i_srsts_i_5)) (portRef B (instanceRef common_stat_reg_i_srsts_0_a3_7)) @@ -893824,6 +893991,11 @@ (portRef (member lvl1_error_pattern_i 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef (member lvl1_error_pattern_i 0) (instanceRef THE_ENDPOINT)) )) + (net port_select_int_0 (joined + (portRef port_select_int_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member port_select_int 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member port_select_int 0) (instanceRef THE_ENDPOINT)) + )) (net med_packet_num_in_0 (joined (portRef (member med_packet_num_in 2)) (portRef (member med_packet_num_in 2) (instanceRef THE_ENDPOINT)) @@ -893976,129 +894148,141 @@ (portRef (member un1_the_endpoint_1_0 0) (instanceRef THE_ENDPOINT)) (portRef (member un1_the_endpoint_1_0 0)) )) - (net regio_addr_i_1_0 (joined - (portRef (member regio_addr_i_1 1) (instanceRef THE_ENDPOINT)) - (portRef (member regio_addr_i_1 1) (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net regio_addr_i_1_1 (joined - (portRef (member regio_addr_i_1 0) (instanceRef THE_ENDPOINT)) - (portRef (member regio_addr_i_1 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net regio_addr_i_7 (joined - (portRef regio_addr_i_7 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_7 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net regio_addr_i_6 (joined - (portRef regio_addr_i_6 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_6 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_2 (joined + (portRef (member regio_addr_i 13) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 13) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_5 (joined - (portRef regio_addr_i_5 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_5 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_3 (joined + (portRef (member regio_addr_i 12) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 12) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_4 (joined - (portRef regio_addr_i_4 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 11) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 11) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_3 (joined - (portRef regio_addr_i_3 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_3 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_5 (joined + (portRef (member regio_addr_i 10) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 10) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_2 (joined - (portRef regio_addr_i_2_d0 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_2_d0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_6 (joined + (portRef (member regio_addr_i 9) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 9) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_0 (joined - (portRef regio_addr_i_0 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_7 (joined + (portRef (member regio_addr_i 8) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 8) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_8 (joined - (portRef regio_addr_i_8 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_8 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 7) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 7) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_9 (joined - (portRef regio_addr_i_9 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_9 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 6) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 6) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_10 (joined - (portRef regio_addr_i_10 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_10 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 5) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 5) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_11 (joined - (portRef regio_addr_i_11 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_11 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 4) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 4) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_12 (joined - (portRef regio_addr_i_12 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_12 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 3) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 3) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_13 (joined - (portRef regio_addr_i_13 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_13 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 2) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 2) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_14 (joined - (portRef regio_addr_i_14 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_14 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 1) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 1) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_i_15 (joined - (portRef regio_addr_i_15 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_15 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member regio_addr_i 0) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net stat_reg_60 (joined - (portRef stat_reg_60) - (portRef stat_reg_60 (instanceRef THE_ENDPOINT)) + (net reg_enable_pattern_1 (joined + (portRef (member reg_enable_pattern 0) (instanceRef THE_ENDPOINT)) + (portRef (member reg_enable_pattern 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_reg_63 (joined + (portRef stat_reg_63) + (portRef stat_reg_63 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_61 (joined + (portRef stat_reg_61) + (portRef stat_reg_61 (instanceRef THE_ENDPOINT)) )) (net stat_reg_62 (joined (portRef stat_reg_62) (portRef stat_reg_62 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_488 (joined - (portRef stat_reg_488) - (portRef stat_reg_488 (instanceRef THE_ENDPOINT)) + (net stat_reg_43 (joined + (portRef stat_reg_43) + (portRef stat_reg_43 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_456 (joined - (portRef stat_reg_456) - (portRef stat_reg_456 (instanceRef THE_ENDPOINT)) + (net stat_reg_56 (joined + (portRef stat_reg_56) + (portRef stat_reg_56 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_424 (joined - (portRef stat_reg_424) - (portRef stat_reg_424 (instanceRef THE_ENDPOINT)) + (net stat_reg_58 (joined + (portRef stat_reg_58) + (portRef stat_reg_58 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_392 (joined - (portRef stat_reg_392) - (portRef stat_reg_392 (instanceRef THE_ENDPOINT)) + (net stat_reg_57 (joined + (portRef stat_reg_57) + (portRef stat_reg_57 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_490 (joined - (portRef stat_reg_490) - (portRef stat_reg_490 (instanceRef THE_ENDPOINT)) + (net stat_reg_500 (joined + (portRef stat_reg_500) + (portRef stat_reg_500 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_458 (joined - (portRef stat_reg_458) - (portRef stat_reg_458 (instanceRef THE_ENDPOINT)) + (net stat_reg_468 (joined + (portRef stat_reg_468) + (portRef stat_reg_468 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_426 (joined - (portRef stat_reg_426) - (portRef stat_reg_426 (instanceRef THE_ENDPOINT)) + (net stat_reg_436 (joined + (portRef stat_reg_436) + (portRef stat_reg_436 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_394 (joined - (portRef stat_reg_394) - (portRef stat_reg_394 (instanceRef THE_ENDPOINT)) + (net stat_reg_404 (joined + (portRef stat_reg_404) + (portRef stat_reg_404 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_496 (joined - (portRef stat_reg_496) - (portRef stat_reg_496 (instanceRef THE_ENDPOINT)) + (net stat_reg_494 (joined + (portRef stat_reg_494) + (portRef stat_reg_494 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_464 (joined - (portRef stat_reg_464) - (portRef stat_reg_464 (instanceRef THE_ENDPOINT)) + (net stat_reg_462 (joined + (portRef stat_reg_462) + (portRef stat_reg_462 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_432 (joined - (portRef stat_reg_432) - (portRef stat_reg_432 (instanceRef THE_ENDPOINT)) + (net stat_reg_430 (joined + (portRef stat_reg_430) + (portRef stat_reg_430 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_400 (joined - (portRef stat_reg_400) - (portRef stat_reg_400 (instanceRef THE_ENDPOINT)) + (net stat_reg_398 (joined + (portRef stat_reg_398) + (portRef stat_reg_398 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_484 (joined + (portRef stat_reg_484) + (portRef stat_reg_484 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_452 (joined + (portRef stat_reg_452) + (portRef stat_reg_452 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_420 (joined + (portRef stat_reg_420) + (portRef stat_reg_420 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_388 (joined + (portRef stat_reg_388) + (portRef stat_reg_388 (instanceRef THE_ENDPOINT)) )) (net stat_reg_487 (joined (portRef stat_reg_487) @@ -894148,13 +894332,13 @@ (portRef stat_reg_631) (portRef stat_reg_631 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_532 (joined - (portRef stat_reg_532) - (portRef stat_reg_532 (instanceRef THE_ENDPOINT)) + (net stat_reg_592 (joined + (portRef stat_reg_592) + (portRef stat_reg_592 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_564 (joined - (portRef stat_reg_564) - (portRef stat_reg_564 (instanceRef THE_ENDPOINT)) + (net stat_reg_624 (joined + (portRef stat_reg_624) + (portRef stat_reg_624 (instanceRef THE_ENDPOINT)) )) (net stat_reg_595 (joined (portRef stat_reg_595) @@ -894196,6 +894380,14 @@ (portRef stat_reg_620) (portRef stat_reg_620 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_584 (joined + (portRef stat_reg_584) + (portRef stat_reg_584 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_616 (joined + (portRef stat_reg_616) + (portRef stat_reg_616 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_582 (joined (portRef stat_reg_582) (portRef stat_reg_582 (instanceRef THE_ENDPOINT)) @@ -894212,13 +894404,13 @@ (portRef stat_reg_236) (portRef stat_reg_236 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_590 (joined - (portRef stat_reg_590) - (portRef stat_reg_590 (instanceRef THE_ENDPOINT)) + (net stat_reg_585 (joined + (portRef stat_reg_585) + (portRef stat_reg_585 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_622 (joined - (portRef stat_reg_622) - (portRef stat_reg_622 (instanceRef THE_ENDPOINT)) + (net stat_reg_617 (joined + (portRef stat_reg_617) + (portRef stat_reg_617 (instanceRef THE_ENDPOINT)) )) (net stat_reg_206 (joined (portRef stat_reg_206) @@ -894228,14 +894420,6 @@ (portRef stat_reg_238) (portRef stat_reg_238 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_583 (joined - (portRef stat_reg_583) - (portRef stat_reg_583 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_615 (joined - (portRef stat_reg_615) - (portRef stat_reg_615 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_576 (joined (portRef stat_reg_576) (portRef stat_reg_576 (instanceRef THE_ENDPOINT)) @@ -894244,13 +894428,13 @@ (portRef stat_reg_608) (portRef stat_reg_608 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_516 (joined - (portRef stat_reg_516) - (portRef stat_reg_516 (instanceRef THE_ENDPOINT)) + (net stat_reg_203 (joined + (portRef stat_reg_203) + (portRef stat_reg_203 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_548 (joined - (portRef stat_reg_548) - (portRef stat_reg_548 (instanceRef THE_ENDPOINT)) + (net stat_reg_235 (joined + (portRef stat_reg_235) + (portRef stat_reg_235 (instanceRef THE_ENDPOINT)) )) (net stat_reg_579 (joined (portRef stat_reg_579) @@ -894276,6 +894460,14 @@ (portRef stat_reg_623) (portRef stat_reg_623 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_4 (joined + (portRef stat_reg_4) + (portRef stat_reg_4 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_36 (joined + (portRef stat_reg_36) + (portRef stat_reg_36 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_581 (joined (portRef stat_reg_581) (portRef stat_reg_581 (instanceRef THE_ENDPOINT)) @@ -894292,6 +894484,14 @@ (portRef stat_reg_609) (portRef stat_reg_609 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_205 (joined + (portRef stat_reg_205) + (portRef stat_reg_205 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_237 (joined + (portRef stat_reg_237) + (portRef stat_reg_237 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_578 (joined (portRef stat_reg_578) (portRef stat_reg_578 (instanceRef THE_ENDPOINT)) @@ -894300,6 +894500,14 @@ (portRef stat_reg_610) (portRef stat_reg_610 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_589 (joined + (portRef stat_reg_589) + (portRef stat_reg_589 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_621 (joined + (portRef stat_reg_621) + (portRef stat_reg_621 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_207 (joined (portRef stat_reg_207) (portRef stat_reg_207 (instanceRef THE_ENDPOINT)) @@ -894308,121 +894516,13 @@ (portRef stat_reg_239) (portRef stat_reg_239 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_45 (joined - (portRef stat_reg_45) - (portRef stat_reg_45 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_43 (joined - (portRef stat_reg_43) - (portRef stat_reg_43 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_261 (joined - (portRef stat_reg_261) - (portRef stat_reg_261 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_293 (joined - (portRef stat_reg_293) - (portRef stat_reg_293 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_517 (joined - (portRef stat_reg_517) - (portRef stat_reg_517 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_549 (joined - (portRef stat_reg_549) - (portRef stat_reg_549 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_137 (joined - (portRef stat_reg_137) - (portRef stat_reg_137 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_169 (joined - (portRef stat_reg_169) - (portRef stat_reg_169 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_201 (joined - (portRef stat_reg_201) - (portRef stat_reg_201 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_233 (joined - (portRef stat_reg_233) - (portRef stat_reg_233 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_265 (joined - (portRef stat_reg_265) - (portRef stat_reg_265 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_297 (joined - (portRef stat_reg_297) - (portRef stat_reg_297 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_329 (joined - (portRef stat_reg_329) - (portRef stat_reg_329 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_361 (joined - (portRef stat_reg_361) - (portRef stat_reg_361 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_144 (joined - (portRef stat_reg_144) - (portRef stat_reg_144 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_176 (joined - (portRef stat_reg_176) - (portRef stat_reg_176 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_208 (joined - (portRef stat_reg_208) - (portRef stat_reg_208 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_240 (joined - (portRef stat_reg_240) - (portRef stat_reg_240 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_336 (joined - (portRef stat_reg_336) - (portRef stat_reg_336 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_368 (joined - (portRef stat_reg_368) - (portRef stat_reg_368 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_259 (joined - (portRef stat_reg_259) - (portRef stat_reg_259 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_291 (joined - (portRef stat_reg_291) - (portRef stat_reg_291 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_323 (joined - (portRef stat_reg_323) - (portRef stat_reg_323 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_355 (joined - (portRef stat_reg_355) - (portRef stat_reg_355 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_521 (joined - (portRef stat_reg_521) - (portRef stat_reg_521 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_553 (joined - (portRef stat_reg_553) - (portRef stat_reg_553 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_585 (joined - (portRef stat_reg_585) - (portRef stat_reg_585 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_617 (joined - (portRef stat_reg_617) - (portRef stat_reg_617 (instanceRef THE_ENDPOINT)) + (net stat_reg_587 (joined + (portRef stat_reg_587) + (portRef stat_reg_587 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_57 (joined - (portRef stat_reg_57) - (portRef stat_reg_57 (instanceRef THE_ENDPOINT)) + (net stat_reg_619 (joined + (portRef stat_reg_619) + (portRef stat_reg_619 (instanceRef THE_ENDPOINT)) )) (net stat_reg_535 (joined (portRef stat_reg_535) @@ -894600,21 +894700,13 @@ (portRef stat_reg_628) (portRef stat_reg_628 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_404 (joined - (portRef stat_reg_404) - (portRef stat_reg_404 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_436 (joined - (portRef stat_reg_436) - (portRef stat_reg_436 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_468 (joined - (portRef stat_reg_468) - (portRef stat_reg_468 (instanceRef THE_ENDPOINT)) + (net stat_reg_532 (joined + (portRef stat_reg_532) + (portRef stat_reg_532 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_500 (joined - (portRef stat_reg_500) - (portRef stat_reg_500 (instanceRef THE_ENDPOINT)) + (net stat_reg_564 (joined + (portRef stat_reg_564) + (portRef stat_reg_564 (instanceRef THE_ENDPOINT)) )) (net stat_reg_340 (joined (portRef stat_reg_340) @@ -894704,6 +894796,14 @@ (portRef stat_reg_181) (portRef stat_reg_181 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_336 (joined + (portRef stat_reg_336) + (portRef stat_reg_336 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_368 (joined + (portRef stat_reg_368) + (portRef stat_reg_368 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_272 (joined (portRef stat_reg_272) (portRef stat_reg_272 (instanceRef THE_ENDPOINT)) @@ -894712,14 +894812,6 @@ (portRef stat_reg_304) (portRef stat_reg_304 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_592 (joined - (portRef stat_reg_592) - (portRef stat_reg_592 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_624 (joined - (portRef stat_reg_624) - (portRef stat_reg_624 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_528 (joined (portRef stat_reg_528) (portRef stat_reg_528 (instanceRef THE_ENDPOINT)) @@ -894728,6 +894820,38 @@ (portRef stat_reg_560) (portRef stat_reg_560 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_464 (joined + (portRef stat_reg_464) + (portRef stat_reg_464 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_496 (joined + (portRef stat_reg_496) + (portRef stat_reg_496 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_400 (joined + (portRef stat_reg_400) + (portRef stat_reg_400 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_432 (joined + (portRef stat_reg_432) + (portRef stat_reg_432 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_208 (joined + (portRef stat_reg_208) + (portRef stat_reg_208 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_240 (joined + (portRef stat_reg_240) + (portRef stat_reg_240 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_144 (joined + (portRef stat_reg_144) + (portRef stat_reg_144 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_176 (joined + (portRef stat_reg_176) + (portRef stat_reg_176 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_529 (joined (portRef stat_reg_529) (portRef stat_reg_529 (instanceRef THE_ENDPOINT)) @@ -894840,13 +894964,45 @@ (portRef stat_reg_178) (portRef stat_reg_178 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_58 (joined - (portRef stat_reg_58) - (portRef stat_reg_58 (instanceRef THE_ENDPOINT)) + (net stat_reg_521 (joined + (portRef stat_reg_521) + (portRef stat_reg_521 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_56 (joined - (portRef stat_reg_56) - (portRef stat_reg_56 (instanceRef THE_ENDPOINT)) + (net stat_reg_553 (joined + (portRef stat_reg_553) + (portRef stat_reg_553 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_329 (joined + (portRef stat_reg_329) + (portRef stat_reg_329 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_361 (joined + (portRef stat_reg_361) + (portRef stat_reg_361 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_265 (joined + (portRef stat_reg_265) + (portRef stat_reg_265 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_297 (joined + (portRef stat_reg_297) + (portRef stat_reg_297 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_201 (joined + (portRef stat_reg_201) + (portRef stat_reg_201 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_233 (joined + (portRef stat_reg_233) + (portRef stat_reg_233 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_137 (joined + (portRef stat_reg_137) + (portRef stat_reg_137 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_169 (joined + (portRef stat_reg_169) + (portRef stat_reg_169 (instanceRef THE_ENDPOINT)) )) (net stat_reg_457 (joined (portRef stat_reg_457) @@ -894864,6 +895020,14 @@ (portRef stat_reg_425) (portRef stat_reg_425 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_583 (joined + (portRef stat_reg_583) + (portRef stat_reg_583 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_615 (joined + (portRef stat_reg_615) + (portRef stat_reg_615 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_519 (joined (portRef stat_reg_519) (portRef stat_reg_519 (instanceRef THE_ENDPOINT)) @@ -894984,6 +895148,22 @@ (portRef stat_reg_419) (portRef stat_reg_419 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_323 (joined + (portRef stat_reg_323) + (portRef stat_reg_323 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_355 (joined + (portRef stat_reg_355) + (portRef stat_reg_355 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_259 (joined + (portRef stat_reg_259) + (portRef stat_reg_259 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_291 (joined + (portRef stat_reg_291) + (portRef stat_reg_291 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_195 (joined (portRef stat_reg_195) (portRef stat_reg_195 (instanceRef THE_ENDPOINT)) @@ -895016,21 +895196,13 @@ (portRef stat_reg_612) (portRef stat_reg_612 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_388 (joined - (portRef stat_reg_388) - (portRef stat_reg_388 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_420 (joined - (portRef stat_reg_420) - (portRef stat_reg_420 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_452 (joined - (portRef stat_reg_452) - (portRef stat_reg_452 (instanceRef THE_ENDPOINT)) + (net stat_reg_516 (joined + (portRef stat_reg_516) + (portRef stat_reg_516 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_484 (joined - (portRef stat_reg_484) - (portRef stat_reg_484 (instanceRef THE_ENDPOINT)) + (net stat_reg_548 (joined + (portRef stat_reg_548) + (portRef stat_reg_548 (instanceRef THE_ENDPOINT)) )) (net stat_reg_324 (joined (portRef stat_reg_324) @@ -895064,13 +895236,13 @@ (portRef stat_reg_164) (portRef stat_reg_164 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_4 (joined - (portRef stat_reg_4) - (portRef stat_reg_4 (instanceRef THE_ENDPOINT)) + (net stat_reg_517 (joined + (portRef stat_reg_517) + (portRef stat_reg_517 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_36 (joined - (portRef stat_reg_36) - (portRef stat_reg_36 (instanceRef THE_ENDPOINT)) + (net stat_reg_549 (joined + (portRef stat_reg_549) + (portRef stat_reg_549 (instanceRef THE_ENDPOINT)) )) (net stat_reg_453 (joined (portRef stat_reg_453) @@ -895096,6 +895268,14 @@ (portRef stat_reg_357) (portRef stat_reg_357 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_261 (joined + (portRef stat_reg_261) + (portRef stat_reg_261 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_293 (joined + (portRef stat_reg_293) + (portRef stat_reg_293 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_197 (joined (portRef stat_reg_197) (portRef stat_reg_197 (instanceRef THE_ENDPOINT)) @@ -895312,14 +895492,6 @@ (portRef stat_reg_429) (portRef stat_reg_429 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_589 (joined - (portRef stat_reg_589) - (portRef stat_reg_589 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_621 (joined - (portRef stat_reg_621) - (portRef stat_reg_621 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_525 (joined (portRef stat_reg_525) (portRef stat_reg_525 (instanceRef THE_ENDPOINT)) @@ -895352,14 +895524,6 @@ (portRef stat_reg_301) (portRef stat_reg_301 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_205 (joined - (portRef stat_reg_205) - (portRef stat_reg_205 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_237 (joined - (portRef stat_reg_237) - (portRef stat_reg_237 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_141 (joined (portRef stat_reg_141) (portRef stat_reg_141 (instanceRef THE_ENDPOINT)) @@ -895368,6 +895532,14 @@ (portRef stat_reg_173) (portRef stat_reg_173 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_590 (joined + (portRef stat_reg_590) + (portRef stat_reg_590 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_622 (joined + (portRef stat_reg_622) + (portRef stat_reg_622 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_526 (joined (portRef stat_reg_526) (portRef stat_reg_526 (instanceRef THE_ENDPOINT)) @@ -895440,6 +895612,22 @@ (portRef stat_reg_554) (portRef stat_reg_554 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_458 (joined + (portRef stat_reg_458) + (portRef stat_reg_458 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_490 (joined + (portRef stat_reg_490) + (portRef stat_reg_490 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_394 (joined + (portRef stat_reg_394) + (portRef stat_reg_394 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_426 (joined + (portRef stat_reg_426) + (portRef stat_reg_426 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_330 (joined (portRef stat_reg_330) (portRef stat_reg_330 (instanceRef THE_ENDPOINT)) @@ -895480,14 +895668,6 @@ (portRef stat_reg_427) (portRef stat_reg_427 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_587 (joined - (portRef stat_reg_587) - (portRef stat_reg_587 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_619 (joined - (portRef stat_reg_619) - (portRef stat_reg_619 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_523 (joined (portRef stat_reg_523) (portRef stat_reg_523 (instanceRef THE_ENDPOINT)) @@ -895520,14 +895700,6 @@ (portRef stat_reg_299) (portRef stat_reg_299 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_203 (joined - (portRef stat_reg_203) - (portRef stat_reg_203 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_235 (joined - (portRef stat_reg_235) - (portRef stat_reg_235 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_139 (joined (portRef stat_reg_139) (portRef stat_reg_139 (instanceRef THE_ENDPOINT)) @@ -895584,14 +895756,6 @@ (portRef stat_reg_172) (portRef stat_reg_172 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_584 (joined - (portRef stat_reg_584) - (portRef stat_reg_584 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_616 (joined - (portRef stat_reg_616) - (portRef stat_reg_616 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_520 (joined (portRef stat_reg_520) (portRef stat_reg_520 (instanceRef THE_ENDPOINT)) @@ -895600,6 +895764,22 @@ (portRef stat_reg_552) (portRef stat_reg_552 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_456 (joined + (portRef stat_reg_456) + (portRef stat_reg_456 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_488 (joined + (portRef stat_reg_488) + (portRef stat_reg_488 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_392 (joined + (portRef stat_reg_392) + (portRef stat_reg_392 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_424 (joined + (portRef stat_reg_424) + (portRef stat_reg_424 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_328 (joined (portRef stat_reg_328) (portRef stat_reg_328 (instanceRef THE_ENDPOINT)) @@ -895632,22 +895812,6 @@ (portRef stat_reg_168) (portRef stat_reg_168 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_462 (joined - (portRef stat_reg_462) - (portRef stat_reg_462 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_494 (joined - (portRef stat_reg_494) - (portRef stat_reg_494 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_398 (joined - (portRef stat_reg_398) - (portRef stat_reg_398 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_430 (joined - (portRef stat_reg_430) - (portRef stat_reg_430 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_334 (joined (portRef stat_reg_334) (portRef stat_reg_334 (instanceRef THE_ENDPOINT)) @@ -895672,9 +895836,9 @@ (portRef stat_reg_659) (portRef stat_reg_659 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_660 (joined - (portRef stat_reg_660) - (portRef stat_reg_660 (instanceRef THE_ENDPOINT)) + (net stat_reg_656 (joined + (portRef stat_reg_656) + (portRef stat_reg_656 (instanceRef THE_ENDPOINT)) )) (net stat_reg_657 (joined (portRef stat_reg_657) @@ -895684,165 +895848,173 @@ (portRef stat_reg_658) (portRef stat_reg_658 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_653 (joined - (portRef stat_reg_653) - (portRef stat_reg_653 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_651 (joined - (portRef stat_reg_651) - (portRef stat_reg_651 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_49 (joined - (portRef stat_reg_49) - (portRef stat_reg_49 (instanceRef THE_ENDPOINT)) + (net stat_reg_52 (joined + (portRef stat_reg_52) + (portRef stat_reg_52 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_38 (joined - (portRef stat_reg_38) - (portRef stat_reg_38 (instanceRef THE_ENDPOINT)) + (net stat_reg_53 (joined + (portRef stat_reg_53) + (portRef stat_reg_53 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_55 (joined - (portRef stat_reg_55) - (portRef stat_reg_55 (instanceRef THE_ENDPOINT)) + (net stat_reg_41 (joined + (portRef stat_reg_41) + (portRef stat_reg_41 (instanceRef THE_ENDPOINT)) )) (net stat_reg_39 (joined (portRef stat_reg_39) (portRef stat_reg_39 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_42 (joined - (portRef stat_reg_42) - (portRef stat_reg_42 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_50 (joined - (portRef stat_reg_50) - (portRef stat_reg_50 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_53 (joined - (portRef stat_reg_53) - (portRef stat_reg_53 (instanceRef THE_ENDPOINT)) + (net stat_reg_54 (joined + (portRef stat_reg_54) + (portRef stat_reg_54 (instanceRef THE_ENDPOINT)) )) (net stat_reg_51 (joined (portRef stat_reg_51) (portRef stat_reg_51 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_37 (joined - (portRef stat_reg_37) - (portRef stat_reg_37 (instanceRef THE_ENDPOINT)) + (net stat_reg_40 (joined + (portRef stat_reg_40) + (portRef stat_reg_40 (instanceRef THE_ENDPOINT)) )) (net stat_reg_48 (joined (portRef stat_reg_48) (portRef stat_reg_48 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_41 (joined - (portRef stat_reg_41) - (portRef stat_reg_41 (instanceRef THE_ENDPOINT)) + (net stat_reg_42 (joined + (portRef stat_reg_42) + (portRef stat_reg_42 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_54 (joined - (portRef stat_reg_54) - (portRef stat_reg_54 (instanceRef THE_ENDPOINT)) + (net stat_reg_38 (joined + (portRef stat_reg_38) + (portRef stat_reg_38 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_52 (joined - (portRef stat_reg_52) - (portRef stat_reg_52 (instanceRef THE_ENDPOINT)) + (net stat_reg_55 (joined + (portRef stat_reg_55) + (portRef stat_reg_55 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_655 (joined - (portRef stat_reg_655) - (portRef stat_reg_655 (instanceRef THE_ENDPOINT)) + (net stat_reg_37 (joined + (portRef stat_reg_37) + (portRef stat_reg_37 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_50 (joined + (portRef stat_reg_50) + (portRef stat_reg_50 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_49 (joined + (portRef stat_reg_49) + (portRef stat_reg_49 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_647 (joined + (portRef stat_reg_647) + (portRef stat_reg_647 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_646 (joined + (portRef stat_reg_646) + (portRef stat_reg_646 (instanceRef THE_ENDPOINT)) )) (net stat_reg_644 (joined (portRef stat_reg_644) (portRef stat_reg_644 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_643 (joined - (portRef stat_reg_643) - (portRef stat_reg_643 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_47 (joined - (portRef stat_reg_47) - (portRef stat_reg_47 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_645 (joined - (portRef stat_reg_645) - (portRef stat_reg_645 (instanceRef THE_ENDPOINT)) + (net stat_reg_661 (joined + (portRef stat_reg_661) + (portRef stat_reg_661 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_648 (joined - (portRef stat_reg_648) - (portRef stat_reg_648 (instanceRef THE_ENDPOINT)) + (net stat_reg_45 (joined + (portRef stat_reg_45) + (portRef stat_reg_45 (instanceRef THE_ENDPOINT)) )) (net stat_reg_652 (joined (portRef stat_reg_652) (portRef stat_reg_652 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_646 (joined - (portRef stat_reg_646) - (portRef stat_reg_646 (instanceRef THE_ENDPOINT)) + (net stat_reg_645 (joined + (portRef stat_reg_645) + (portRef stat_reg_645 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_650 (joined + (portRef stat_reg_650) + (portRef stat_reg_650 (instanceRef THE_ENDPOINT)) )) (net stat_reg_641 (joined (portRef stat_reg_641) (portRef stat_reg_641 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_47 (joined + (portRef stat_reg_47) + (portRef stat_reg_47 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_44 (joined + (portRef stat_reg_44) + (portRef stat_reg_44 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_648 (joined + (portRef stat_reg_648) + (portRef stat_reg_648 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_654 (joined (portRef stat_reg_654) (portRef stat_reg_654 (instanceRef THE_ENDPOINT)) )) + (net stat_reg_655 (joined + (portRef stat_reg_655) + (portRef stat_reg_655 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_660 (joined + (portRef stat_reg_660) + (portRef stat_reg_660 (instanceRef THE_ENDPOINT)) + )) (net stat_reg_649 (joined (portRef stat_reg_649) (portRef stat_reg_649 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_647 (joined - (portRef stat_reg_647) - (portRef stat_reg_647 (instanceRef THE_ENDPOINT)) - )) (net stat_reg_662 (joined (portRef stat_reg_662) (portRef stat_reg_662 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_650 (joined - (portRef stat_reg_650) - (portRef stat_reg_650 (instanceRef THE_ENDPOINT)) + (net stat_reg_642 (joined + (portRef stat_reg_642) + (portRef stat_reg_642 (instanceRef THE_ENDPOINT)) + )) + (net stat_reg_640 (joined + (portRef stat_reg_640) + (portRef stat_reg_640 (instanceRef THE_ENDPOINT)) )) (net stat_reg_46 (joined (portRef stat_reg_46) (portRef stat_reg_46 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_656 (joined - (portRef stat_reg_656) - (portRef stat_reg_656 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_661 (joined - (portRef stat_reg_661) - (portRef stat_reg_661 (instanceRef THE_ENDPOINT)) + (net stat_reg_643 (joined + (portRef stat_reg_643) + (portRef stat_reg_643 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_642 (joined - (portRef stat_reg_642) - (portRef stat_reg_642 (instanceRef THE_ENDPOINT)) + (net stat_reg_651 (joined + (portRef stat_reg_651) + (portRef stat_reg_651 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_44 (joined - (portRef stat_reg_44) - (portRef stat_reg_44 (instanceRef THE_ENDPOINT)) + (net stat_reg_653 (joined + (portRef stat_reg_653) + (portRef stat_reg_653 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_640 (joined - (portRef stat_reg_640) - (portRef stat_reg_640 (instanceRef THE_ENDPOINT)) + (net stat_reg_60 (joined + (portRef stat_reg_60) + (portRef stat_reg_60 (instanceRef THE_ENDPOINT)) )) (net stat_reg_59 (joined (portRef stat_reg_59) (portRef stat_reg_59 (instanceRef THE_ENDPOINT)) )) - (net stat_reg_63 (joined - (portRef stat_reg_63) - (portRef stat_reg_63 (instanceRef THE_ENDPOINT)) - )) - (net stat_reg_61 (joined - (portRef stat_reg_61) - (portRef stat_reg_61 (instanceRef THE_ENDPOINT)) + (net regio_addr_i_5_0 (joined + (portRef (member regio_addr_i_5 0) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i_5 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net stat_reg_40 (joined - (portRef stat_reg_40) - (portRef stat_reg_40 (instanceRef THE_ENDPOINT)) + (net regio_addr_i_3_0 (joined + (portRef (member regio_addr_i_3 0) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i_3 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_2_1 (joined - (portRef (member regio_addr_i_2 0) (instanceRef THE_ENDPOINT)) - (portRef (member regio_addr_i_2 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_addr_i_1_1 (joined + (portRef (member regio_addr_i_1 0) (instanceRef THE_ENDPOINT)) + (portRef (member regio_addr_i_1 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net timer_ticks_0 (joined (portRef timer_ticks_0 (instanceRef THE_ENDPOINT)) @@ -895976,6 +896148,102 @@ (portRef (member regio_data_out_i 0) (instanceRef THE_ENDPOINT)) (portRef (member regio_data_out_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) + (net ctrl_reg_83 (joined + (portRef ctrl_reg_83 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_83) + )) + (net ctrl_reg_82 (joined + (portRef ctrl_reg_82 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_82) + )) + (net ctrl_reg_81 (joined + (portRef ctrl_reg_81 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_81) + )) + (net ctrl_reg_80 (joined + (portRef ctrl_reg_80 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_80) + )) + (net ctrl_reg_79 (joined + (portRef ctrl_reg_79 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_79) + )) + (net ctrl_reg_78 (joined + (portRef ctrl_reg_78 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_78) + )) + (net ctrl_reg_77 (joined + (portRef ctrl_reg_77 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_77) + )) + (net ctrl_reg_76 (joined + (portRef ctrl_reg_76 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_76) + )) + (net ctrl_reg_75 (joined + (portRef ctrl_reg_75 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_75) + )) + (net ctrl_reg_74 (joined + (portRef ctrl_reg_74 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_74) + )) + (net ctrl_reg_73 (joined + (portRef ctrl_reg_73 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_73) + )) + (net ctrl_reg_72 (joined + (portRef ctrl_reg_72 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_72) + )) + (net ctrl_reg_71 (joined + (portRef ctrl_reg_71 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_71) + )) + (net ctrl_reg_70 (joined + (portRef ctrl_reg_70 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_70) + )) + (net ctrl_reg_69 (joined + (portRef ctrl_reg_69 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_69) + )) + (net ctrl_reg_68 (joined + (portRef ctrl_reg_68 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_68) + )) + (net ctrl_reg_67 (joined + (portRef ctrl_reg_67 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_67) + )) + (net ctrl_reg_66 (joined + (portRef ctrl_reg_66 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_66) + )) + (net ctrl_reg_65 (joined + (portRef ctrl_reg_65 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_65) + )) + (net ctrl_reg_64 (joined + (portRef ctrl_reg_64 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_64) + )) + (net ctrl_reg_63 (joined + (portRef ctrl_reg_63 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_63) + )) + (net ctrl_reg_62 (joined + (portRef ctrl_reg_62 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_62) + )) + (net ctrl_reg_61 (joined + (portRef ctrl_reg_61 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_61) + )) + (net ctrl_reg_60 (joined + (portRef ctrl_reg_60 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_60) + )) (net ctrl_reg_59 (joined (portRef ctrl_reg_59 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_59) @@ -896004,30 +896272,10 @@ (portRef ctrl_reg_53 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_53) )) - (net ctrl_reg_52 (joined - (portRef ctrl_reg_52 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_52) - )) (net ctrl_reg_51 (joined (portRef ctrl_reg_51 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_51) )) - (net ctrl_reg_50 (joined - (portRef ctrl_reg_50 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_50) - )) - (net ctrl_reg_49 (joined - (portRef ctrl_reg_49 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_49) - )) - (net ctrl_reg_48 (joined - (portRef ctrl_reg_48 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_48) - )) - (net ctrl_reg_47 (joined - (portRef ctrl_reg_47 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_47) - )) (net ctrl_reg_46 (joined (portRef ctrl_reg_46 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_46) @@ -896072,26 +896320,6 @@ (portRef ctrl_reg_36 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_36) )) - (net ctrl_reg_35 (joined - (portRef ctrl_reg_35 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_35) - )) - (net ctrl_reg_34 (joined - (portRef ctrl_reg_34 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_34) - )) - (net ctrl_reg_33 (joined - (portRef ctrl_reg_33 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_33) - )) - (net ctrl_reg_32 (joined - (portRef ctrl_reg_32 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_32) - )) - (net ctrl_reg_31 (joined - (portRef ctrl_reg_31 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_31) - )) (net ctrl_reg_30 (joined (portRef ctrl_reg_30 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_30) @@ -896100,77 +896328,25 @@ (portRef ctrl_reg_29 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_29) )) + (net ctrl_reg_28 (joined + (portRef ctrl_reg_28 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_28) + )) (net ctrl_reg_27 (joined (portRef ctrl_reg_27 (instanceRef THE_ENDPOINT)) (portRef ctrl_reg_27) )) - (net ctrl_reg_22 (joined - (portRef ctrl_reg_22 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_22) - )) - (net ctrl_reg_21 (joined - (portRef ctrl_reg_21 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_21) - )) - (net ctrl_reg_20 (joined - (portRef ctrl_reg_20 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_20) - )) - (net ctrl_reg_19 (joined - (portRef ctrl_reg_19 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_19) - )) - (net ctrl_reg_18 (joined - (portRef ctrl_reg_18 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_18) - )) - (net ctrl_reg_17 (joined - (portRef ctrl_reg_17 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_17) - )) - (net ctrl_reg_16 (joined - (portRef ctrl_reg_16 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_16) - )) - (net ctrl_reg_15 (joined - (portRef ctrl_reg_15 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_15) - )) - (net ctrl_reg_14 (joined - (portRef ctrl_reg_14 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_14) - )) - (net ctrl_reg_13 (joined - (portRef ctrl_reg_13 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_13) - )) - (net ctrl_reg_12 (joined - (portRef ctrl_reg_12 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_12) - )) - (net ctrl_reg_6 (joined - (portRef ctrl_reg_6 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_6) - )) - (net ctrl_reg_5 (joined - (portRef ctrl_reg_5 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_5) - )) - (net ctrl_reg_4 (joined - (portRef ctrl_reg_4 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_4) - )) - (net ctrl_reg_3 (joined - (portRef ctrl_reg_3 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_3) + (net ctrl_reg_26 (joined + (portRef ctrl_reg_26 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_26) )) - (net ctrl_reg_2 (joined - (portRef ctrl_reg_2 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_2) + (net ctrl_reg_25 (joined + (portRef ctrl_reg_25 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_25) )) - (net ctrl_reg_1 (joined - (portRef ctrl_reg_1 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_1) + (net ctrl_reg_24 (joined + (portRef ctrl_reg_24 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_24) )) (net ctrl_reg_0 (joined (portRef ctrl_reg_0 (instanceRef THE_ENDPOINT)) @@ -896307,21 +896483,21 @@ (net trg_int_number_i_0 (joined (portRef (member trg_int_number_i 15) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 15) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member trg_int_number_i 2) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net trg_int_number_i_1 (joined (portRef (member trg_int_number_i 14) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 14) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member trg_int_number_i 1) (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member trg_int_number_i 2) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net trg_int_number_i_2 (joined (portRef (member trg_int_number_i 13) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 13) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member trg_int_number_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef (member trg_int_number_i 1) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net trg_int_number_i_3 (joined (portRef (member trg_int_number_i 12) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 12) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member trg_int_number_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net trg_int_number_i_4 (joined (portRef (member trg_int_number_i 11) (instanceRef THE_ENDPOINT)) @@ -896371,11 +896547,6 @@ (portRef (member trg_int_number_i 0) (instanceRef THE_ENDPOINT)) (portRef (member trg_int_number_i 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) )) - (net port_select_int_0 (joined - (portRef port_select_int_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef (member port_select_int 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member port_select_int 0) (instanceRef THE_ENDPOINT)) - )) (net ipu_data_i_0 (joined (portRef (member ipu_data_i 31) (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef (member ipu_data_i 31) (instanceRef THE_ENDPOINT)) @@ -896608,9 +896779,10 @@ (portRef (member trg_code_i 0) (instanceRef THE_ENDPOINT)) (portRef (member trg_code_i 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) )) - (net buf_APL_DATAREADY_IN_1 (joined - (portRef (member buf_apl_dataready_in 0) (instanceRef THE_ENDPOINT)) - (portRef (member buf_apl_dataready_in 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net buf_api_stat_fifo_to_int_46 (joined + (portRef buf_api_stat_fifo_to_int_8 (instanceRef THE_ENDPOINT)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member buf_api_stat_fifo_to_int 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net CURRENT_STATE_0 (joined (portRef (member current_state 2)) @@ -896652,31 +896824,30 @@ (portRef (member med_stat_op 0)) (portRef (member med_stat_op 0) (instanceRef THE_ENDPOINT)) )) - (net trg_invalid_i (joined - (portRef trg_invalid_i (instanceRef THE_ENDPOINT)) - (portRef A (instanceRef lvl1_valid_i)) - (portRef trg_invalid_i) - )) (net int_lvl1_long_trg (joined (portRef int_lvl1_long_trg (instanceRef THE_ENDPOINT)) (portRef int_lvl1_long_trg (instanceRef THE_HANDLER_TRIGGER_DATA)) )) - (net trg_notiming_valid_i (joined - (portRef trg_notiming_valid_i (instanceRef THE_ENDPOINT)) - (portRef B (instanceRef lvl1_valid_i)) - (portRef trg_notiming_valid_i) - )) - (net LVL1_TRG_RELEASE_OUT (joined - (portRef LVL1_TRG_RELEASE_OUT (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef LVL1_TRG_RELEASE_OUT (instanceRef THE_ENDPOINT)) + (net LVL1_TRG_RELEASE_OUT_0_a2 (joined + (portRef LVL1_TRG_RELEASE_OUT_0_a2 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef LVL1_TRG_RELEASE_OUT_0_a2 (instanceRef THE_ENDPOINT)) )) (net TRIGGER_LEFT_c (joined (portRef TRIGGER_LEFT_c) (portRef TRIGGER_LEFT_c (instanceRef THE_ENDPOINT)) )) - (net d_m5_0_0 (joined - (portRef d_m5_0_0 (instanceRef THE_ENDPOINT)) - (portRef d_m5_0_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net m6_6_03_1_1 (joined + (portRef m6_6_03_1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef m6_6_03_1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m6_6_03_1_1 (instanceRef THE_ENDPOINT)) + )) + (net first_fifo_read_RNIS8RB (joined + (portRef first_fifo_read_RNIS8RB (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef first_fifo_read_RNIS8RB (instanceRef THE_ENDPOINT)) + )) + (net d_N_6_0 (joined + (portRef d_N_6_0 (instanceRef THE_ENDPOINT)) + (portRef d_N_6_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net med_dataready_in (joined (portRef med_dataready_in) @@ -896686,37 +896857,21 @@ (portRef med_dataready_out (instanceRef THE_ENDPOINT)) (portRef med_dataready_out) )) - (net N_538_i (joined - (portRef N_538_i) - (portRef N_538_i (instanceRef THE_ENDPOINT)) - )) - (net reset_i_rep2_1 (joined - (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef THE_ENDPOINT)) + (net N_465_i (joined + (portRef N_465_i) + (portRef N_465_i (instanceRef THE_ENDPOINT)) )) (net TEMPSENS_in (joined (portRef TEMPSENS_in) (portRef TEMPSENS_in (instanceRef THE_ENDPOINT)) )) - (net regio_unknown_addr_i (joined - (portRef regio_unknown_addr_i (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef regio_unknown_addr_i (instanceRef THE_ENDPOINT)) - )) - (net regio_nomoredata_i (joined - (portRef regio_nomoredata_i (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef regio_nomoredata_i (instanceRef THE_ENDPOINT)) - )) - (net regio_dataready_i (joined - (portRef regio_dataready_i (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef regio_dataready_i (instanceRef THE_ENDPOINT)) - )) - (net N_180 (joined - (portRef N_180 (instanceRef THE_ENDPOINT)) - (portRef N_180 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net reset_i_rep2_1 (joined + (portRef reset_i_rep2_1) + (portRef reset_i_rep2_1 (instanceRef THE_ENDPOINT)) )) - (net regio_write_ack_i (joined - (portRef regio_write_ack_i (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef regio_write_ack_i (instanceRef THE_ENDPOINT)) + (net N_5867 (joined + (portRef N_5867 (instanceRef THE_ENDPOINT)) + (portRef N_5867 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_write_enable_i (joined (portRef regio_write_enable_i (instanceRef THE_ENDPOINT)) @@ -896726,35 +896881,42 @@ (portRef regio_read_enable_i (instanceRef THE_ENDPOINT)) (portRef regio_read_enable_i (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net regio_addr_i_0_rep1_1 (joined - (portRef regio_addr_i_0_rep1_1 (instanceRef THE_ENDPOINT)) - (portRef regio_addr_i_0_rep1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_dataready_i (joined + (portRef regio_dataready_i (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef regio_dataready_i (instanceRef THE_ENDPOINT)) + )) + (net regio_nomoredata_i (joined + (portRef regio_nomoredata_i (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef regio_nomoredata_i (instanceRef THE_ENDPOINT)) )) - (net un12_dat_addr_in_2 (joined - (portRef un12_dat_addr_in_2 (instanceRef THE_ENDPOINT)) - (portRef un12_dat_addr_in_2 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_unknown_addr_i (joined + (portRef regio_unknown_addr_i (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef regio_unknown_addr_i (instanceRef THE_ENDPOINT)) )) - (net N_2135_2 (joined - (portRef N_2135_2 (instanceRef THE_ENDPOINT)) - (portRef N_2135_2 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net N_5861 (joined + (portRef N_5861 (instanceRef THE_ENDPOINT)) + (portRef N_5861 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net un20_dat_addr_in_1 (joined - (portRef un20_dat_addr_in_1 (instanceRef THE_ENDPOINT)) - (portRef un20_dat_addr_in_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net regio_write_ack_i (joined + (portRef regio_write_ack_i (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef regio_write_ack_i (instanceRef THE_ENDPOINT)) )) - (net trg_timing_valid_i (joined - (portRef trg_timing_valid_i (instanceRef THE_ENDPOINT)) - (portRef C (instanceRef lvl1_valid_i)) - (portRef trg_timing_valid_i) + (net N_228_1 (joined + (portRef N_228_1 (instanceRef THE_ENDPOINT)) + (portRef N_228_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net un16_dat_addr_in_4 (joined + (portRef un16_dat_addr_in_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef un16_dat_addr_in_4 (instanceRef THE_ENDPOINT)) + )) + (net un14_dat_addr_in_4 (joined + (portRef un14_dat_addr_in_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef un14_dat_addr_in_4 (instanceRef THE_ENDPOINT)) )) (net ipu_dataready_i (joined (portRef ipu_dataready_i (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef ipu_dataready_i (instanceRef THE_ENDPOINT)) )) - (net waiting_word_RNIIPH92 (joined - (portRef waiting_word_RNIIPH92 (instanceRef THE_ENDPOINT)) - (portRef waiting_word_RNIIPH92 (instanceRef THE_HANDLER_TRIGGER_DATA)) - )) (net m166_2_03_4_i_4 (joined (portRef m166_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m166_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -896764,28 +896926,13 @@ (portRef m161_2_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m161_2_03 (instanceRef THE_ENDPOINT)) )) - (net suppress_output_fast (joined - (portRef suppress_output_fast (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef suppress_output_fast (instanceRef THE_ENDPOINT)) - )) - (net reset_i_fast_r2 (joined - (portRef reset_i_fast_r2) - (portRef reset_i_fast_r2 (instanceRef THE_ENDPOINT)) - )) - (net m6_6_03_1_1 (joined - (portRef m6_6_03_1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef m6_6_03_1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m6_6_03_1_1 (instanceRef THE_ENDPOINT)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef THE_ENDPOINT)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net suppress_output (joined + (portRef suppress_output (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef suppress_output (instanceRef THE_ENDPOINT)) )) - (net N_4877 (joined - (portRef N_4877 (instanceRef THE_ENDPOINT)) - (portRef N_4877 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef N_4877 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net reset_i_fast_r3 (joined + (portRef reset_i_fast_r3) + (portRef reset_i_fast_r3 (instanceRef THE_ENDPOINT)) )) (net ipu_readout_finished_i (joined (portRef ipu_readout_finished_i (instanceRef THE_HANDLER_TRIGGER_DATA)) @@ -896795,25 +896942,14 @@ (portRef reset_i_fast_1_i) (portRef reset_i_fast_1_i (instanceRef THE_ENDPOINT)) )) - (net last_second_word_waiting (joined - (portRef last_second_word_waiting (instanceRef THE_ENDPOINT)) - (portRef last_second_word_waiting (instanceRef THE_HANDLER_TRIGGER_DATA)) - )) - (net reset_i_fast_r4 (joined - (portRef reset_i_fast_r4) - (portRef reset_i_fast_r4 (instanceRef THE_ENDPOINT)) - )) - (net reset_i_fast_r9 (joined - (portRef reset_i_fast_r9) - (portRef reset_i_fast_r9 (instanceRef THE_ENDPOINT)) - )) - (net buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (joined - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (instanceRef THE_ENDPOINT)) - (portRef buf_API_DATA_OUT_12_iv_0_676_o9_i_o2_N_3_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net last_second_word_waiting_RNIKQVT1 (joined + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef THE_ENDPOINT)) + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef last_second_word_waiting_RNIKQVT1 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net reset_i_fast_r3 (joined - (portRef reset_i_fast_r3) - (portRef reset_i_fast_r3 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_r2 (joined + (portRef reset_i_fast_r2) + (portRef reset_i_fast_r2 (instanceRef THE_ENDPOINT)) )) (net signal_sync (joined (portRef signal_sync_1 (instanceRef THE_ENDPOINT)) @@ -896827,34 +896963,45 @@ (portRef signal_sync (instanceRef THE_ENDPOINT)) (portRef signal_sync_1) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_r6 (joined + (portRef reset_i_fast_r6) + (portRef reset_i_fast_r6 (instanceRef THE_ENDPOINT)) + )) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef reset_i_fast_r9 (instanceRef THE_ENDPOINT)) )) (net reset_i_19 (joined (portRef reset_i_19) (portRef reset_i_19 (instanceRef THE_ENDPOINT)) )) - (net waiting_word (joined - (portRef waiting_word (instanceRef THE_ENDPOINT)) - (portRef waiting_word (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef waiting_word (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1) + (portRef reset_i_rep1_1 (instanceRef THE_ENDPOINT)) + )) + (net reset_i_rep1 (joined + (portRef reset_i_rep1) + (portRef reset_i_rep1 (instanceRef THE_ENDPOINT)) + )) + (net reset_i_20 (joined + (portRef reset_i_20) + (portRef reset_i_20 (instanceRef THE_ENDPOINT)) )) (net N_300 (joined (portRef N_300) (portRef N_300 (instanceRef THE_ENDPOINT)) )) - (net N_560 (joined - (portRef N_560) - (portRef N_560 (instanceRef THE_ENDPOINT)) + (net N_484 (joined + (portRef N_484) + (portRef N_484 (instanceRef THE_ENDPOINT)) )) (net N_299 (joined (portRef N_299) (portRef N_299 (instanceRef THE_ENDPOINT)) )) - (net reset_i_fast_r6 (joined - (portRef reset_i_fast_r6) - (portRef reset_i_fast_r6 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_r5 (joined + (portRef reset_i_fast_r5) + (portRef reset_i_fast_r5 (instanceRef THE_ENDPOINT)) )) (net trb_reset_buffer (joined (portRef trb_reset_buffer (instanceRef THE_ENDPOINT)) @@ -896864,21 +897011,81 @@ (portRef trg_missing_tmg_trg_i (instanceRef THE_ENDPOINT)) (portRef trg_missing_tmg_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) )) - (net DAT_DATA_READ_OUT_0_RNIPO254_0 (joined - (portRef (member dat_data_read_out_0_rnipo254 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member dat_data_read_out_0_rnipo254 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net current_stateZ0Z_0 (joined - (portRef current_state_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef current_state_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) (net current_state_4 (joined (portRef current_state_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef current_state_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef current_state_3 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net current_stateZ0Z_1 (joined (portRef current_state_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef current_state_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef current_state_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_7 (joined + (portRef stat_header_buffer_level_7 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_7 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_4 (joined + (portRef stat_header_buffer_level_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_3 (joined + (portRef stat_header_buffer_level_3 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_3 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_2 (joined + (portRef stat_header_buffer_level_2 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_2 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_8 (joined + (portRef stat_header_buffer_level_8 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_8 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_9 (joined + (portRef stat_header_buffer_level_9 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_9 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_21 (joined + (portRef stat_header_buffer_level_21 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_21 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_16 (joined + (portRef stat_header_buffer_level_16 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_16 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_20 (joined + (portRef stat_header_buffer_level_20 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_20 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_18 (joined + (portRef stat_header_buffer_level_18 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_18 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_17 (joined + (portRef stat_header_buffer_level_17 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_17 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_22 (joined + (portRef stat_header_buffer_level_22 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_22 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_19 (joined + (portRef stat_header_buffer_level_19 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_19 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_5 (joined + (portRef stat_header_buffer_level_5 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_5 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_6 (joined + (portRef stat_header_buffer_level_6 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_6 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_0 (joined + (portRef stat_header_buffer_level_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net stat_header_buffer_level_1 (joined + (portRef stat_header_buffer_level_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef stat_header_buffer_level_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_addr_out_0 (joined (portRef (member regio_addr_out 15) (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -897081,73 +897288,13 @@ (portRef (member final_reset_iso 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) (portRef (member final_reset_iso 0) (instanceRef THE_ENDPOINT)) )) - (net stat_header_buffer_level_7 (joined - (portRef stat_header_buffer_level_7 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_7 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_3 (joined - (portRef stat_header_buffer_level_3 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_3 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_4 (joined - (portRef stat_header_buffer_level_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_9 (joined - (portRef stat_header_buffer_level_9 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_9 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_8 (joined - (portRef stat_header_buffer_level_8 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_8 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_20 (joined - (portRef stat_header_buffer_level_20 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_20 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_21 (joined - (portRef stat_header_buffer_level_21 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_21 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_17 (joined - (portRef stat_header_buffer_level_17 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_17 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_19 (joined - (portRef stat_header_buffer_level_19 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_19 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_22 (joined - (portRef stat_header_buffer_level_22 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_22 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_18 (joined - (portRef stat_header_buffer_level_18 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_18 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_16 (joined - (portRef stat_header_buffer_level_16 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_16 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_5 (joined - (portRef stat_header_buffer_level_5 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_5 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_6 (joined - (portRef stat_header_buffer_level_6 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_6 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_0 (joined - (portRef stat_header_buffer_level_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net stat_header_buffer_level_1 (joined - (portRef stat_header_buffer_level_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net dat_fifo_finished_0 (joined + (portRef (member dat_fifo_finished 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member dat_fifo_finished 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net stat_header_buffer_level_2 (joined - (portRef stat_header_buffer_level_2 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef stat_header_buffer_level_2 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net dat_fifo_select_0 (joined + (portRef (member dat_fifo_select 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef (member dat_fifo_select 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net regio_data_in_0 (joined (portRef (member regio_data_in 31)) @@ -897373,10 +897520,6 @@ (portRef (member stat_buffer_i 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef (member stat_buffer_i 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net DAT_DATA_READ_OUT_0_0 (joined - (portRef (member dat_data_read_out_0 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef (member dat_data_read_out_0 0) (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) (net m140_2_03 (joined (portRef m140_2_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m140_2_03 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -897385,30 +897528,19 @@ (portRef m183_2_03_1_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m183_2_03_1_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1) - (portRef reset_i_rep1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_rep1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef reset_i_rep1_1 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_1 (joined + (portRef reset_i_fast_1) + (portRef reset_i_fast_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef reset_i_fast_1 (instanceRef THE_ENDPOINT)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef reset_i_rep2 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_rep2 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef reset_i_rep2 (instanceRef THE_ENDPOINT)) + (net d_N_6 (joined + (portRef d_N_6 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef d_N_6 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net m171_2_03_4_i_4 (joined (portRef m171_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m171_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m177_2_03_2_i_4 (joined - (portRef m177_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m177_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net m176_2_03_2_i_4 (joined - (portRef m176_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m176_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) (net m182_2_03_1_i_4 (joined (portRef m182_2_03_1_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m182_2_03_1_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -897429,13 +897561,25 @@ (portRef m178_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m178_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m1_6_03_1_0_0 (joined - (portRef m1_6_03_1_0_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef m1_6_03_1_0_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net m177_2_03_2_i_4 (joined + (portRef m177_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m177_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net m176_2_03_2_i_4 (joined + (portRef m176_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m176_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net N_132 (joined + (portRef N_132 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef N_132 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m128_0_03_1_1 (joined - (portRef m128_0_03_1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m128_0_03_1_1 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net DAT_DATA_READ_OUT_0_m3_0_a2_0 (joined + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef DAT_DATA_READ_OUT_0_m3_0_a2_0 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net N_277 (joined + (portRef N_277 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef N_277 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net stat_buffer_ready (joined (portRef stat_buffer_ready (instanceRef THE_HANDLER_TRIGGER_DATA)) @@ -897449,9 +897593,13 @@ (portRef regio_unknown_addr_in) (portRef regio_unknown_addr_in (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m141_2_03 (joined - (portRef m141_2_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m141_2_03 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (net m169_2_03_4_i_4 (joined + (portRef m169_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m169_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net m137_2_03_2_i_4 (joined + (portRef m137_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m137_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) (net m168_2_03_4_i_4 (joined (portRef m168_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) @@ -897461,35 +897609,10 @@ (portRef m136_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m136_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m165_2_03_4_i_4 (joined - (portRef m165_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m165_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) (net m142_4_03_1_i_4 (joined (portRef m142_4_03_1_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m142_4_03_1_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) - (net m1_6_03 (joined - (portRef m1_6_03 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef m1_6_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) - )) - (net m169_2_03_4_i_4 (joined - (portRef m169_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m169_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net m137_2_03_2_i_4 (joined - (portRef m137_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef m137_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net first_fifo_read (joined - (portRef first_fifo_read (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef first_fifo_read (instanceRef THE_INTERNAL_BUS_HANDLER)) - )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef reset_i_fast_r10 (instanceRef THE_INTERNAL_BUS_HANDLER)) - (portRef reset_i_fast_r10 (instanceRef THE_ENDPOINT)) - )) (net m170_2_03_4_i_4 (joined (portRef m170_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m170_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) @@ -897498,6 +897621,19 @@ (portRef m138_2_03_2_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef m138_2_03_2_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) )) + (net reset_i_fast_r8 (joined + (portRef reset_i_fast_r8) + (portRef reset_i_fast_r8 (instanceRef THE_INTERNAL_BUS_HANDLER)) + (portRef reset_i_fast_r8 (instanceRef THE_ENDPOINT)) + )) + (net m165_2_03_4_i_4 (joined + (portRef m165_2_03_4_i_4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m165_2_03_4_i_4 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) + (net m141_2_03 (joined + (portRef m141_2_03 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef m141_2_03 (instanceRef THE_INTERNAL_BUS_HANDLER)) + )) (net stat_buffer_read (joined (portRef stat_buffer_read (instanceRef THE_INTERNAL_BUS_HANDLER)) (portRef stat_buffer_read (instanceRef THE_HANDLER_TRIGGER_DATA)) @@ -897671,76 +897807,77 @@ (portRef (member regio_addr_out 0) (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef (member regio_addr_out 11)) )) - (net reset_i_rep1 (joined - (portRef reset_i_rep1) - (portRef reset_i_rep1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_rep1 (instanceRef THE_ENDPOINT)) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef reset_i_fast_r4 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef reset_i_fast_r4 (instanceRef THE_ENDPOINT)) )) - (net reset_i_fast_r8 (joined - (portRef reset_i_fast_r8) - (portRef reset_i_fast_r8 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_fast_r8 (instanceRef THE_ENDPOINT)) + (net trg_timeout_detected_i (joined + (portRef trg_timeout_detected_i (instanceRef THE_ENDPOINT)) + (portRef trg_timeout_detected_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_timeout_detected_i) )) - (net fee_data_finished_i (joined - (portRef fee_data_finished_i) - (portRef fee_data_finished_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net trg_spurious_trg_i (joined + (portRef trg_spurious_trg_i (instanceRef THE_ENDPOINT)) + (portRef trg_spurious_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_spurious_trg_i) )) - (net reset_i_fast_r5 (joined - (portRef reset_i_fast_r5) - (portRef reset_i_fast_r5 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_fast_r5 (instanceRef THE_ENDPOINT)) + (net trg_multiple_trg_i (joined + (portRef trg_multiple_trg_i (instanceRef THE_ENDPOINT)) + (portRef trg_multiple_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_multiple_trg_i) )) (net fee_data_write_i (joined (portRef fee_data_write_i) (portRef fee_data_write_i (instanceRef THE_HANDLER_TRIGGER_DATA)) )) + (net fee_data_finished_i (joined + (portRef fee_data_finished_i) + (portRef fee_data_finished_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + )) (net trg_data_valid_i (joined (portRef trg_data_valid_i (instanceRef THE_ENDPOINT)) (portRef trg_data_valid_i (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef trg_data_valid_i) )) - (net trg_multiple_trg_i (joined - (portRef trg_multiple_trg_i (instanceRef THE_ENDPOINT)) - (portRef trg_multiple_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef trg_multiple_trg_i) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef reset_i_rep2 (instanceRef THE_ENDPOINT)) )) (net trg_spike_detected_i (joined (portRef trg_spike_detected_i (instanceRef THE_ENDPOINT)) (portRef trg_spike_detected_i (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef trg_spike_detected_i) )) - (net trg_timeout_detected_i (joined - (portRef trg_timeout_detected_i (instanceRef THE_ENDPOINT)) - (portRef trg_timeout_detected_i (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef trg_timeout_detected_i) - )) - (net trg_spurious_trg_i (joined - (portRef trg_spurious_trg_i (instanceRef THE_ENDPOINT)) - (portRef trg_spurious_trg_i (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef trg_spurious_trg_i) - )) - (net reset_i_1 (joined - (portRef reset_i_1) - (portRef reset_i_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - )) - (net fee_trg_release_i (joined - (portRef fee_trg_release_i) - (portRef fee_trg_release_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net trg_invalid_i (joined + (portRef trg_invalid_i (instanceRef THE_ENDPOINT)) + (portRef trg_invalid_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_invalid_i) )) - (net reset_i_fast_1 (joined - (portRef reset_i_fast_1) - (portRef reset_i_fast_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) - (portRef reset_i_fast_1 (instanceRef THE_ENDPOINT)) + (net trg_timing_valid_i (joined + (portRef trg_timing_valid_i (instanceRef THE_ENDPOINT)) + (portRef trg_timing_valid_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_timing_valid_i) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef reset_i_1_1 (instanceRef THE_HANDLER_TRIGGER_DATA)) + (net trg_notiming_valid_i (joined + (portRef trg_notiming_valid_i (instanceRef THE_ENDPOINT)) + (portRef trg_notiming_valid_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + (portRef trg_notiming_valid_i) )) (net reset_i_fast_r7 (joined (portRef reset_i_fast_r7) (portRef reset_i_fast_r7 (instanceRef THE_HANDLER_TRIGGER_DATA)) (portRef reset_i_fast_r7 (instanceRef THE_ENDPOINT)) )) + (net fee_trg_release_i (joined + (portRef fee_trg_release_i) + (portRef fee_trg_release_i (instanceRef THE_HANDLER_TRIGGER_DATA)) + )) + (net reset_i_fast_r10 (joined + (portRef reset_i_fast_r10) + (portRef reset_i_fast_r10 (instanceRef THE_HANDLER_TRIGGER_DATA)) + )) ) ) ) @@ -897748,56 +897885,6 @@ (view netlist (viewType NETLIST) (interface (port (array (rename trg_type_i "trg_type_i(3:0)") 4) (direction INPUT)) - (port ctrl_reg_6 (direction INPUT)) - (port ctrl_reg_5 (direction INPUT)) - (port ctrl_reg_4 (direction INPUT)) - (port ctrl_reg_3 (direction INPUT)) - (port ctrl_reg_2 (direction INPUT)) - (port ctrl_reg_1 (direction INPUT)) - (port ctrl_reg_0 (direction INPUT)) - (port ctrl_reg_22 (direction INPUT)) - (port ctrl_reg_21 (direction INPUT)) - (port ctrl_reg_20 (direction INPUT)) - (port ctrl_reg_19 (direction INPUT)) - (port ctrl_reg_18 (direction INPUT)) - (port ctrl_reg_17 (direction INPUT)) - (port ctrl_reg_16 (direction INPUT)) - (port ctrl_reg_15 (direction INPUT)) - (port ctrl_reg_14 (direction INPUT)) - (port ctrl_reg_13 (direction INPUT)) - (port ctrl_reg_27 (direction INPUT)) - (port ctrl_reg_12 (direction INPUT)) - (port ctrl_reg_29 (direction INPUT)) - (port ctrl_reg_58 (direction INPUT)) - (port ctrl_reg_59 (direction INPUT)) - (port ctrl_reg_54 (direction INPUT)) - (port ctrl_reg_55 (direction INPUT)) - (port ctrl_reg_52 (direction INPUT)) - (port ctrl_reg_53 (direction INPUT)) - (port ctrl_reg_50 (direction INPUT)) - (port ctrl_reg_51 (direction INPUT)) - (port ctrl_reg_48 (direction INPUT)) - (port ctrl_reg_49 (direction INPUT)) - (port ctrl_reg_46 (direction INPUT)) - (port ctrl_reg_47 (direction INPUT)) - (port ctrl_reg_44 (direction INPUT)) - (port ctrl_reg_45 (direction INPUT)) - (port ctrl_reg_42 (direction INPUT)) - (port ctrl_reg_43 (direction INPUT)) - (port ctrl_reg_40 (direction INPUT)) - (port ctrl_reg_41 (direction INPUT)) - (port ctrl_reg_38 (direction INPUT)) - (port ctrl_reg_39 (direction INPUT)) - (port ctrl_reg_34 (direction INPUT)) - (port ctrl_reg_35 (direction INPUT)) - (port ctrl_reg_32 (direction INPUT)) - (port ctrl_reg_33 (direction INPUT)) - (port ctrl_reg_30 (direction INPUT)) - (port ctrl_reg_37 (direction INPUT)) - (port ctrl_reg_36 (direction INPUT)) - (port ctrl_reg_31 (direction INPUT)) - (port ctrl_reg_57 (direction INPUT)) - (port ctrl_reg_56 (direction INPUT)) (port (array (rename inp_c "INP_c(14:0)") 15) (direction INPUT)) (port (array (rename fee_data_i "fee_data_i(31:0)") 32) (direction OUTPUT)) (port stat_reg_576 (direction OUTPUT)) @@ -898249,6 +898336,57 @@ (port stat_reg_322 (direction OUTPUT)) (port stat_reg_321 (direction OUTPUT)) (port stat_reg_320 (direction OUTPUT)) + (port ctrl_reg_0 (direction INPUT)) + (port ctrl_reg_30 (direction INPUT)) + (port ctrl_reg_29 (direction INPUT)) + (port ctrl_reg_28 (direction INPUT)) + (port ctrl_reg_27 (direction INPUT)) + (port ctrl_reg_26 (direction INPUT)) + (port ctrl_reg_25 (direction INPUT)) + (port ctrl_reg_24 (direction INPUT)) + (port ctrl_reg_46 (direction INPUT)) + (port ctrl_reg_45 (direction INPUT)) + (port ctrl_reg_44 (direction INPUT)) + (port ctrl_reg_43 (direction INPUT)) + (port ctrl_reg_42 (direction INPUT)) + (port ctrl_reg_41 (direction INPUT)) + (port ctrl_reg_40 (direction INPUT)) + (port ctrl_reg_39 (direction INPUT)) + (port ctrl_reg_38 (direction INPUT)) + (port ctrl_reg_37 (direction INPUT)) + (port ctrl_reg_66 (direction INPUT)) + (port ctrl_reg_36 (direction INPUT)) + (port ctrl_reg_53 (direction INPUT)) + (port ctrl_reg_80 (direction INPUT)) + (port ctrl_reg_81 (direction INPUT)) + (port ctrl_reg_78 (direction INPUT)) + (port ctrl_reg_79 (direction INPUT)) + (port ctrl_reg_74 (direction INPUT)) + (port ctrl_reg_75 (direction INPUT)) + (port ctrl_reg_82 (direction INPUT)) + (port ctrl_reg_83 (direction INPUT)) + (port ctrl_reg_72 (direction INPUT)) + (port ctrl_reg_73 (direction INPUT)) + (port ctrl_reg_70 (direction INPUT)) + (port ctrl_reg_71 (direction INPUT)) + (port ctrl_reg_56 (direction INPUT)) + (port ctrl_reg_57 (direction INPUT)) + (port ctrl_reg_64 (direction INPUT)) + (port ctrl_reg_65 (direction INPUT)) + (port ctrl_reg_68 (direction INPUT)) + (port ctrl_reg_69 (direction INPUT)) + (port ctrl_reg_54 (direction INPUT)) + (port ctrl_reg_55 (direction INPUT)) + (port ctrl_reg_62 (direction INPUT)) + (port ctrl_reg_63 (direction INPUT)) + (port ctrl_reg_67 (direction INPUT)) + (port ctrl_reg_61 (direction INPUT)) + (port ctrl_reg_60 (direction INPUT)) + (port ctrl_reg_77 (direction INPUT)) + (port ctrl_reg_76 (direction INPUT)) + (port ctrl_reg_59 (direction INPUT)) + (port ctrl_reg_58 (direction INPUT)) + (port ctrl_reg_51 (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port signal_sync_1 (direction INPUT)) (port signal_sync_0 (direction INPUT)) @@ -898256,195 +898394,196 @@ (port G_1528_Q (direction INPUT)) (port sync_q_and_29 (direction OUTPUT)) (port G_1531_Q (direction INPUT)) - (port N_295459_0 (direction OUTPUT)) + (port N_295757_0 (direction OUTPUT)) (port G_1533_Q (direction INPUT)) (port G_1537_Q (direction INPUT)) (port sync_q_and_28 (direction OUTPUT)) (port G_1540_Q (direction INPUT)) - (port N_295472_0 (direction OUTPUT)) + (port N_295770_0 (direction OUTPUT)) (port G_1542_Q (direction INPUT)) (port G_1546_Q (direction INPUT)) (port sync_q_and_27 (direction OUTPUT)) (port G_1549_Q (direction INPUT)) - (port N_295485_0 (direction OUTPUT)) + (port N_295783_0 (direction OUTPUT)) (port G_1551_Q (direction INPUT)) (port G_1555_Q (direction INPUT)) (port sync_q_and_26 (direction OUTPUT)) (port G_1558_Q (direction INPUT)) - (port N_295498_0 (direction OUTPUT)) + (port N_295796_0 (direction OUTPUT)) (port G_1560_Q (direction INPUT)) (port G_1564_Q (direction INPUT)) (port sync_q_and_25 (direction OUTPUT)) (port G_1567_Q (direction INPUT)) - (port N_295511_0 (direction OUTPUT)) + (port N_295809_0 (direction OUTPUT)) (port G_1569_Q (direction INPUT)) (port G_1573_Q (direction INPUT)) (port sync_q_and_24 (direction OUTPUT)) (port G_1576_Q (direction INPUT)) - (port N_295524_0 (direction OUTPUT)) + (port N_295822_0 (direction OUTPUT)) (port G_1578_Q (direction INPUT)) (port G_1582_Q (direction INPUT)) (port sync_q_and_23 (direction OUTPUT)) (port G_1585_Q (direction INPUT)) - (port N_295537_0 (direction OUTPUT)) + (port N_295835_0 (direction OUTPUT)) (port G_1587_Q (direction INPUT)) (port G_1591_Q (direction INPUT)) (port sync_q_and_22 (direction OUTPUT)) (port G_1594_Q (direction INPUT)) - (port N_295550_0 (direction OUTPUT)) - (port reset_i_5 (direction INPUT)) + (port N_295848_0 (direction OUTPUT)) (port G_1596_Q (direction INPUT)) (port G_1600_Q (direction INPUT)) (port sync_q_and_21 (direction OUTPUT)) (port G_1603_Q (direction INPUT)) - (port N_295563_0 (direction OUTPUT)) + (port N_295861_0 (direction OUTPUT)) + (port reset_i_6 (direction INPUT)) (port G_1605_Q (direction INPUT)) (port G_1609_Q (direction INPUT)) (port sync_q_and_20 (direction OUTPUT)) (port G_1612_Q (direction INPUT)) - (port N_295576_0 (direction OUTPUT)) - (port reset_i_3 (direction INPUT)) + (port N_295874_0 (direction OUTPUT)) (port G_1614_Q (direction INPUT)) (port G_1618_Q (direction INPUT)) (port sync_q_and_19 (direction OUTPUT)) (port G_1621_Q (direction INPUT)) - (port N_295589_0 (direction OUTPUT)) - (port reset_i_6 (direction INPUT)) + (port N_295887_0 (direction OUTPUT)) + (port reset_i_3 (direction INPUT)) (port G_1623_Q (direction INPUT)) (port G_1627_Q (direction INPUT)) (port sync_q_and_18 (direction OUTPUT)) (port G_1630_Q (direction INPUT)) - (port N_295602_0 (direction OUTPUT)) + (port N_295900_0 (direction OUTPUT)) (port reset_i_12 (direction INPUT)) (port G_1632_Q (direction INPUT)) (port G_1636_Q (direction INPUT)) (port sync_q_and_17 (direction OUTPUT)) (port G_1639_Q (direction INPUT)) - (port N_295615_0 (direction OUTPUT)) - (port reset_i_4 (direction INPUT)) + (port N_295913_0 (direction OUTPUT)) + (port reset_i_11 (direction INPUT)) (port G_1641_Q (direction INPUT)) (port G_1645_Q (direction INPUT)) (port sync_q_and_16 (direction OUTPUT)) (port G_1648_Q (direction INPUT)) - (port N_295628_0 (direction OUTPUT)) + (port N_295926_0 (direction OUTPUT)) + (port reset_i_7 (direction INPUT)) (port G_1650_Q (direction INPUT)) (port G_1654_Q (direction INPUT)) (port sync_q_and_15 (direction OUTPUT)) (port G_1657_Q (direction INPUT)) - (port N_295641_0 (direction OUTPUT)) - (port reset_i_11 (direction INPUT)) + (port N_295939_0 (direction OUTPUT)) + (port reset_i_4 (direction INPUT)) (port G_1659_Q (direction INPUT)) (port G_1663_Q (direction INPUT)) (port sync_q_and_14 (direction OUTPUT)) (port G_1666_Q (direction INPUT)) - (port N_295654_0 (direction OUTPUT)) - (port reset_i_2 (direction INPUT)) + (port N_295952_0 (direction OUTPUT)) + (port reset_i_5 (direction INPUT)) (port G_1668_Q (direction INPUT)) (port G_1672_Q (direction INPUT)) (port sync_q_and_13 (direction OUTPUT)) (port G_1675_Q (direction INPUT)) - (port N_295667_0 (direction OUTPUT)) - (port reset_i_10 (direction INPUT)) + (port N_295965_0 (direction OUTPUT)) + (port reset_i_13 (direction INPUT)) (port G_1677_Q (direction INPUT)) (port G_1681_Q (direction INPUT)) (port sync_q_and_12 (direction OUTPUT)) (port G_1684_Q (direction INPUT)) - (port N_295680_0 (direction OUTPUT)) + (port N_295978_0 (direction OUTPUT)) (port G_1686_Q (direction INPUT)) (port G_1690_Q (direction INPUT)) (port sync_q_and_11 (direction OUTPUT)) (port G_1693_Q (direction INPUT)) - (port N_295693_0 (direction OUTPUT)) + (port N_295991_0 (direction OUTPUT)) (port G_1695_Q (direction INPUT)) (port G_1699_Q (direction INPUT)) (port sync_q_and_10 (direction OUTPUT)) (port G_1702_Q (direction INPUT)) - (port N_295706_0 (direction OUTPUT)) + (port N_296004_0 (direction OUTPUT)) (port G_1704_Q (direction INPUT)) (port G_1708_Q (direction INPUT)) (port sync_q_and_9 (direction OUTPUT)) (port G_1711_Q (direction INPUT)) - (port N_295719_0 (direction OUTPUT)) + (port N_296017_0 (direction OUTPUT)) (port G_1713_Q (direction INPUT)) (port G_1717_Q (direction INPUT)) (port sync_q_and_8 (direction OUTPUT)) (port G_1720_Q (direction INPUT)) - (port N_295732_0 (direction OUTPUT)) + (port N_296030_0 (direction OUTPUT)) (port G_1722_Q (direction INPUT)) (port G_1726_Q (direction INPUT)) (port sync_q_and_7 (direction OUTPUT)) (port G_1729_Q (direction INPUT)) - (port N_295745_0 (direction OUTPUT)) + (port N_296043_0 (direction OUTPUT)) (port G_1731_Q (direction INPUT)) (port G_1735_Q (direction INPUT)) (port sync_q_and_6 (direction OUTPUT)) (port G_1738_Q (direction INPUT)) - (port N_295758_0 (direction OUTPUT)) + (port N_296056_0 (direction OUTPUT)) + (port reset_i_14 (direction INPUT)) (port G_1740_Q (direction INPUT)) (port G_1744_Q (direction INPUT)) (port sync_q_and_5 (direction OUTPUT)) (port G_1747_Q (direction INPUT)) - (port N_295771_0 (direction OUTPUT)) - (port reset_i_9 (direction INPUT)) + (port N_296069_0 (direction OUTPUT)) + (port reset_i_19 (direction INPUT)) (port G_1749_Q (direction INPUT)) (port G_1753_Q (direction INPUT)) (port sync_q_and_4 (direction OUTPUT)) (port G_1756_Q (direction INPUT)) - (port N_295784_0 (direction OUTPUT)) - (port reset_i_15 (direction INPUT)) + (port N_296082_0 (direction OUTPUT)) + (port reset_i_16 (direction INPUT)) (port G_1758_Q (direction INPUT)) (port G_1762_Q (direction INPUT)) (port sync_q_and_3 (direction OUTPUT)) (port G_1765_Q (direction INPUT)) - (port N_295797_0 (direction OUTPUT)) - (port reset_i_18 (direction INPUT)) - (port reset_i_17 (direction INPUT)) + (port N_296095_0 (direction OUTPUT)) + (port reset_i_10 (direction INPUT)) (port G_1767_Q (direction INPUT)) (port G_1771_Q (direction INPUT)) (port sync_q_and_2 (direction OUTPUT)) (port G_1774_Q (direction INPUT)) - (port N_295810_0 (direction OUTPUT)) - (port reset_i_7 (direction INPUT)) + (port N_296108_0 (direction OUTPUT)) + (port reset_i_18 (direction INPUT)) + (port reset_i_17 (direction INPUT)) (port G_1776_Q (direction INPUT)) (port G_1780_Q (direction INPUT)) (port sync_q_and_1 (direction OUTPUT)) (port G_1783_Q (direction INPUT)) - (port N_295823_0 (direction OUTPUT)) - (port reset_i_16 (direction INPUT)) + (port N_296121_0 (direction OUTPUT)) + (port reset_i_15 (direction INPUT)) (port G_1785_Q (direction INPUT)) (port G_1789_Q (direction INPUT)) (port sync_q_and_0 (direction OUTPUT)) (port G_1792_Q (direction INPUT)) - (port N_295836_0 (direction OUTPUT)) + (port N_296134_0 (direction OUTPUT)) (port reset_i_8 (direction INPUT)) (port G_1794_Q (direction INPUT)) (port G_1798_Q (direction INPUT)) (port sync_q_and (direction OUTPUT)) (port G_1801_Q (direction INPUT)) - (port N_295849_0 (direction OUTPUT)) - (port reset_i_14 (direction INPUT)) - (port reset_i_13 (direction INPUT)) + (port N_296147_0 (direction OUTPUT)) + (port reset_i_9 (direction INPUT)) (port reset_i_rep2_1 (direction INPUT)) (port G_1803_Q (direction INPUT)) (port trg_spike_detected_i (direction INPUT)) (port trg_multiple_trg_i (direction INPUT)) (port reset_i_fast_r11 (direction INPUT)) (port reset_i_rep2 (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) (port trg_timeout_detected_i (direction INPUT)) + (port reset_i_fast_r10 (direction INPUT)) (port TRIGGER_LEFT_c (direction INPUT)) (port trg_spurious_trg_i (direction INPUT)) + (port trg_data_valid_i (direction INPUT)) (port fee_data_finished_i (direction OUTPUT)) (port fee_data_write_i (direction OUTPUT)) - (port reset_i_1 (direction INPUT)) + (port reset_i (direction INPUT)) (port fee_trg_release_i (direction OUTPUT)) - (port trg_timing_valid_i (direction INPUT)) (port trg_invalid_i (direction INPUT)) + (port reset_i_fast_r4 (direction INPUT)) (port trg_notiming_valid_i (direction INPUT)) - (port trg_data_valid_i (direction INPUT)) + (port trg_timing_valid_i (direction INPUT)) (port reset_i_fast_r1 (direction INPUT)) (port reset_i_fast_r0 (direction INPUT)) - (port reset_i (direction INPUT)) + (port reset_i_1 (direction INPUT)) (port reset_tdc_rep2_r1 (direction OUTPUT)) (port reset_tdc_rep2_r2 (direction OUTPUT)) (port reset_tdc_rep2_r3 (direction OUTPUT)) @@ -898460,9 +898599,8 @@ (port reset_tdc_rep2_r13 (direction OUTPUT)) (port reset_tdc_rep2_r14 (direction OUTPUT)) (port reset_tdc_rep2_r15 (direction OUTPUT)) - (port reset_tdc_rep2_r16 (direction OUTPUT)) (port CLK_PCLK_LEFT_c (direction INPUT)) - (port reset_i_1_1 (direction INPUT)) + (port reset_i_2 (direction INPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) (port reset_tdc_rep2_i (direction OUTPUT)) @@ -898470,7 +898608,7 @@ (port GND (direction INPUT)) ) (contents - (instance FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) (instance reset_tdc_rep2_RNIGVH8 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) @@ -898484,8 +898622,6 @@ ) (instance trg_win_end_i (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance reset_tdc_rep2_r20 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) (instance reset_tdc_rep2_r19 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance reset_tdc_rep2_r18 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) @@ -898645,10 +898781,10 @@ (instance Statistics_Empty_Channel_Number_i_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -898669,10 +898805,10 @@ (instance Statistics_Empty_Channel_Number_i_RNO_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -898681,24 +898817,24 @@ (instance Statistics_Empty_Channel_Number_i_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) (instance Statistics_Empty_Channel_Number_i_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) + (instance Statistics_Empty_Channel_Number_i_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) (instance Statistics_Empty_Channel_Number_i_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) @@ -898720,24 +898856,54 @@ (instance Statistics_Empty_Channel_Number_i_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance Statistics_Empty_Channel_Number_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) (instance Statistics_Empty_Channel_Number_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A))")) ) - (instance fsm_debug_fsm_i_0_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (!C+(B+A)))")) + (instance data_out_reg_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_RNO_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))")) + ) + (instance un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) + ) + (instance un1_FSM_CURRENT_i_a2_3_RNIHSSC1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)+C A)+D A)")) + ) + (instance wr_header_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) + ) + (instance readout_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance wr_status_i_RNI6G671 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) ) (instance FSM_CURRENT_RNO_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !A+C (B !A))")) + (property lut_function (string "(!C !A+C (!B !A))")) + ) + (instance FSM_CURRENT_RNIRSKJ_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance FSM_CURRENT_srsts_0_i_0_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) + (instance data_out_reg_RNO_0_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(B+!A))")) + ) + (instance data_wr_reg_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance start_trg_win_cnt_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance wrong_readout_number_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance wrong_readout_number_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -898788,6 +898954,8 @@ ) (instance wrong_readout_i (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance wr_status_i (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance wr_header_i (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance wr_ch_data_reg (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -899080,6 +899248,8 @@ ) (instance total_empty_channel_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance stop_status_i (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance start_trg_win_cnt_i (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance spurious_trig_number_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -899594,6 +899764,8 @@ ) (instance reset_tdc (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance reset_coarse_cnt (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + ) (instance ref_time_coarse_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance ref_time_coarse_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) @@ -899902,49 +900074,51 @@ ) (instance fsm_debug_reg_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance fifo_nr_next_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance fifo_nr_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) + (instance fifo_nr_next_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance fifo_nr_next_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance fifo_nr_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_next_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance fifo_nr_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_7_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) - ) - (instance fifo_nr_3_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_next_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance fifo_nr_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_next_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance fifo_nr_next_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance fifo_nr_next_5 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) + (instance fifo_nr_7_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance fifo_nr_6_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_4_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_5_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_4_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) - ) - (instance fifo_nr_next_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance fifo_nr_5_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_3_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_3_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_2_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) + (instance fifo_nr_1_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) + (instance fifo_nr_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) (instance fifo_nr_2_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_1_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_1_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) - (instance fifo_nr_1_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + (instance fifo_nr_1_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) + ) + (instance fifo_nr_1_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) (instance fifo_nr_1_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT))) ) @@ -900534,163 +900708,163 @@ ) (instance channel_data_reg_31_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_3_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_31_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_30_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_3_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_31_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_31_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_31_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_30_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_3_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_31_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_31_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_3_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_30_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_30_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_31_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_31_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_31_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_31_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_30_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_30_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_3_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_30_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -900704,113 +900878,95 @@ ) (instance channel_data_reg_30_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_3_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_30_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_30_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_3_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_30_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_30_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_3_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_30_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_30_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_3_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_2_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_29_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_28_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_29_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_29_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_27_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_29_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_29_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -900818,79 +900974,87 @@ ) (instance channel_data_reg_29_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_29_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_29_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_28_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_29_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_29_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_29_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_27_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_27_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_27_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_29_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_28_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -900898,48 +901062,42 @@ ) (instance channel_data_reg_28_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_28_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_28_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_28_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_27_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_29_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_27_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_28_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_27_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_27_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_28_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_28_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_28_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_27_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -900948,30 +901106,24 @@ ) (instance channel_data_reg_27_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_27_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_26_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_26_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_27_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_27_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_27_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_27_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_27_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -900984,6 +901136,10 @@ ) (instance channel_data_reg_26_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_26_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_26_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_26_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901012,26 +901168,16 @@ ) (instance channel_data_reg_26_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_26_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_26_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_26_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_26_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_26_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_26_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_26_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_26_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_26_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901052,68 +901198,104 @@ ) (instance channel_data_reg_25_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_25_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_25_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_25_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_25_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_25_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_24_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_28_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_26_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_23_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_26_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_26_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_25_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_23_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_22_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_26_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_25_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_26_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_24_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_26_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_2_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_25_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_25_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_25_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901126,19 +901308,15 @@ ) (instance channel_data_reg_25_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_25_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_24_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_24_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_24_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_24_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_24_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901156,6 +901334,8 @@ ) (instance channel_data_reg_24_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_2_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_24_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_24_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901176,14 +901356,10 @@ ) (instance channel_data_reg_24_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_24_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_24_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_23_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_23_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_23_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901210,33 +901386,31 @@ ) (instance channel_data_reg_23_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_23_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_24_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_23_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_23_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_23_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901244,26 +901418,22 @@ ) (instance channel_data_reg_23_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_23_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_23_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_22_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_22_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_23_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_23_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_22_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_22_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_22_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_22_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901300,51 +901470,45 @@ ) (instance channel_data_reg_22_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_22_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_22_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_2_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_22_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_22_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_22_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_22_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_2_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_22_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_21_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_21_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_21_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_21_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_21_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901354,18 +901518,12 @@ ) (instance channel_data_reg_21_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_21_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_21_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_20_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_20_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_20_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_20_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_20_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901374,10 +901532,18 @@ ) (instance channel_data_reg_20_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_20_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_2_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_21_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_20_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_20_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_20_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_20_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901412,58 +901578,66 @@ ) (instance channel_data_reg_20_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_2_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_20_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_2_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_20_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_20_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_20_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_2_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_21_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_20_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_20_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_19_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_16_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_1_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_19_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_19_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_19_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_1_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_19_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_1_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_19_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_19_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_19_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_19_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901488,8 +901662,6 @@ ) (instance channel_data_reg_19_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_19_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_19_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_19_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901536,11 +901708,13 @@ ) (instance channel_data_reg_18_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_18_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_18_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_18_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_15_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_18_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_18_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_18_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901596,6 +901770,26 @@ ) (instance channel_data_reg_17_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_17_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_1_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_1_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_1_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_19_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_18_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_17_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_17_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901610,8 +901804,6 @@ ) (instance channel_data_reg_17_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_17_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_17_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_17_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901640,16 +901832,10 @@ ) (instance channel_data_reg_16_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_16_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_16_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_16_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_16_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_16_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_16_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_16_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901676,8 +901862,6 @@ ) (instance channel_data_reg_16_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_16_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_16_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_16_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901702,17 +901886,21 @@ ) (instance channel_data_reg_15_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_15_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_15_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_15_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_15_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_15_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_15_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_15_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_16_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_15_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_15_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_15_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_15_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901734,8 +901922,6 @@ ) (instance channel_data_reg_15_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_15_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_15_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_15_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901796,21 +901982,21 @@ ) (instance channel_data_reg_14_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_14_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_14_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_14_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_13_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_14_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_14_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_14_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_14_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_14_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -901818,26 +902004,22 @@ ) (instance channel_data_reg_14_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_14_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_13_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_14_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_14_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_14_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_14_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_14_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_14_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_14_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_13_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901858,24 +902040,18 @@ ) (instance channel_data_reg_13_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_13_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_12_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_13_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_13_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_13_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901884,16 +902060,24 @@ ) (instance channel_data_reg_13_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_13_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_13_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_13_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_13_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_12_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_12_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_12_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -901904,137 +902088,121 @@ ) (instance channel_data_reg_12_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_12_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_12_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_1_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_13_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_12_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_13_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_12_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_13_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_12_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_12_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_11_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_13_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_12_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_11_18 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_11_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_10_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_10_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_10_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -902044,47 +902212,55 @@ ) (instance channel_data_reg_11_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_11_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_11_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_11_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_11_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_11_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_23 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_5 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_7 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_6 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_8 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_11 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_12 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_13 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_14 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_16 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_11_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_15 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_11_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_11_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_17 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -902092,10 +902268,6 @@ ) (instance channel_data_reg_10_19 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_1_20 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_10_21 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_22 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -902104,30 +902276,32 @@ ) (instance channel_data_reg_10_24 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) - (instance channel_data_reg_10_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_26 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_11_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_10_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_1_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance channel_data_reg_1_25 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_10_27 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) + (instance channel_data_reg_10_28 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_10_29 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance channel_data_reg_10_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) - ) (instance channel_data_reg_1_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) + (instance channel_data_reg_10_30 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + ) (instance channel_data_reg_10_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance channel_data_reg_1_31 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -902322,7 +902496,7 @@ ) (instance FSM_CURRENT_8 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance FSM_CURRENT_9 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) + (instance FSM_CURRENT_9 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance FSM_CURRENT_10 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) @@ -902334,109 +902508,176 @@ ) (instance FSM_CURRENT_14 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_i_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_12 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_13 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_14 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_16 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_17 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_18 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_19 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_20 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_21 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_22 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_23 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_24 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_25 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_26 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_27 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_28 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_29 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_30 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) + (instance Data_Out_MUX_i_31 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) (instance un1_readout_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) + (instance Coarse_Counter_Reset_reset_coarse_cnt_3_ss0_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance fifo_nr_next_6_m2s2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance hit_in_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance wrong_readout_fsm_0_sqmuxa_i_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance hit_in_i_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance hit_in_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance hit_in_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance FSM_CURRENT_srsts_i_i_0_o2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance fsm_debug_fsm_i_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance rd_en_fsm_i_o2_1_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) + (instance FSM_CURRENT_srsts_i_0_0_a2_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance rd_en_fsm_i_o2_0_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance wr_header_i_RNI41LN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) ) - (instance rd_en_fsm_i_o2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) + (instance i_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) - (instance rd_en_fsm_i_o2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_debug_fsm_i_0_o2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance rd_en_fsm_i_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_debug_fsm_i_0_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance rd_en_fsm_i_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) - ) - (instance rd_en_fsm_i_o2_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) + (instance FSM_CURRENT_srsts_i_i_0_o2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) ) - (instance rd_en_fsm_i_o2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rd_en_fsm_i_0_o2_1_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance fsm_debug_fsm_i_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance rd_en_fsm_i_0_o2_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) ) - (instance FSM_CURRENT_srsts_i_0_0_a2_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance rd_en_fsm_i_0_o2_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance fsm_debug_fsm_i_i_0_a2_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance rd_en_fsm_i_0_o2_1_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) + ) + (instance readout_fsm_i_a3_0_a3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance mask_i_4_0_a2_2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wr_status_fsm_1_sqmuxa_0_a2_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance fsm_debug_fsm_i_i_0_a2_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance Statistics_Empty_Channel_Number_i_4_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_i_4_0_a2_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance hit_in_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wrong_readout_fsm_0_sqmuxa_i_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_0_a3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance hit_in_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance hit_in_i_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -902451,16 +902692,16 @@ (instance hit_in_i_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance hit_in_i_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -902469,82 +902710,112 @@ (instance hit_in_i_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance hit_in_i_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance hit_in_i_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance hit_in_i_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance hit_in_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance FSM_CURRENT_srsts_0_a3_i_i_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_CURRENT_srsts_0_a3_0_a2_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance FSM_CURRENT_srsts_0_a3_i_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_CURRENT_srsts_0_a3_i_i_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance tw_post_axb_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) + (instance fsm_debug_fsm_i_a3_0_a3_0_a2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) (instance un1_TW_pre_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C !A)")) ) + (instance N_2892_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance Trg_Win_Calculation_TW_pre_1_axb_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A+B !A)")) ) - (instance updt_mask_i_RNID6SI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance updt_mask_i_RNIF6SI (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance start_trg_win_cnt_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance wr_header_fsm_0_i_s_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) - (instance fsm_debug_fsm_i_i_0_a2_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_a2_1_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance mask_i_4_0_0_a2_1_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) ) + (instance un1_wr_header_i_2_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B A))")) + ) (instance un2_valid_timing_trg_pulse_1_cry_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) + (instance FSM_CURRENT_srsts_i_0_0_a2_0_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) + ) (instance un1_fifo_nr_hex_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance FSM_CURRENT_srsts_i_a2_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_CURRENT_srsts_i_o2_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B !A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance un1_FSM_CURRENT_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance fifo_full_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fifo_full_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fifo_full_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fifo_full_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance wait_fsm_i_a3_0_a2_0_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance fifo_full_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance FSM_CURRENT_srsts_i_o2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance fifo_full_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_debug_fsm_a3_0_a2_0_a2_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_un5_wr_status_i_30_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_debug_fsm_i_0_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance Data_Out_MUX_un5_wr_status_i_30_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_debug_fsm_i_a3_0_a2_4_a2_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance Data_Out_MUX_un5_wr_status_i_30_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_un5_wr_status_i_30_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance trg_win_cnt_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance un1_wr_header_i_2_i_o2_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance readout_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_wr_header_i_2_i_o2_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance un1_wr_header_i_2_i_o2_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance trg_win_cnt_up_i_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance fsm_debug_fsm_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))")) + ) + (instance trg_win_cnt_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B A))")) + ) + (instance trg_win_cnt_up_i_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C !B+C (!B A)))")) ) (instance fifo_nr_next_6_m2_am_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -902568,65 +902839,153 @@ (property lut_function (string "(!B A)")) ) (instance fifo_nr_next_6_m2_2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_21_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_21_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_21_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_18_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_7_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_18_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_18_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_13_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_7_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_11_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_13_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_11_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_13_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_10_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_11_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_11_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_10_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_11_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_10_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_6_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_11_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_14_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_6_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_14_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_6_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_28_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_14_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_14_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_28_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_14_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_28_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_25_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_14_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_19_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_19_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_19_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_19_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_19_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_19_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_22_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_22_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_22_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_22_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_22_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_22_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_26_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_26_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_26_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_26_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_26_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_26_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_29_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_25_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_29_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_25_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Data_Out_MUX_data_out_reg_3_29_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance FSM_PROC_un8_channel_empty_reg_3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance wr_header_fsm_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D A)")) + (instance FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) + (instance FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_28_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -902690,13 +903049,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_29_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_29_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_29_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_29_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_29_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -902907,20 +903259,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_29_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_26_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_26_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_26_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_26_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_26_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_26_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_26_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -903131,20 +903469,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_26_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_22_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_22_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_22_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_22_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_22_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_22_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_22_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -903355,20 +903679,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_22_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_19_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_19_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_19_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_19_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_19_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_19_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_19_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -903579,20 +903889,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_19_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_14_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_14_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_14_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_14_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_14_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_14_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_14_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -903803,20 +904099,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_14_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_11_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_11_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_11_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_11_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_11_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_11_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_11_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -904027,20 +904309,6 @@ (property lut_function (string "(!C A+C B)")) ) (instance Data_Out_MUX_data_out_reg_3_11_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_7_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_7_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_7_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_7_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_7_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) - ) - (instance Data_Out_MUX_data_out_reg_3_7_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_7_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) @@ -904790,143 +905058,140 @@ (property lut_function (string "(!C A+C B)")) ) (instance un2_channel_hit_time_7_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance fsm_debug_fsm_a3_0_a3_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance fsm_debug_fsm_a3_0_a2_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance un1_FSM_CURRENT_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) - (instance FSM_CURRENT_srsts_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance stop_status_i_0_sqmuxa_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(B+!A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance fsm_debug_fsm_i_0_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C !B+C (!B+A)))")) + ) + (instance fsm_debug_fsm_i_i_0_a2_3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance fifo_nr_next_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)+C A)+D (!B A))")) ) - (instance fsm_debug_fsm_i_i_0_m2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance wait_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B+A)))")) - ) - (instance trg_win_cnt_up_i_2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cnt_up_i_1_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B+C (B+!A)))")) ) - (instance mask_i_4_0_a2_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) ) - (instance mask_i_4_0_a2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance mask_i_4_0_a2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_4_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) ) - (instance mask_i_4_0_a2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) ) - (instance mask_i_4_0_a2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance Data_Out_MUX_data_out_reg_3_4_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_wr_reg_4_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B A+B !A))")) ) - (instance mask_i_4_0_a2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance mask_i_4_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance mask_i_4_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance mask_i_4_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A)))")) + (instance mask_i_4_0_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance Data_Out_MUX_data_wr_reg_4_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B A+B !A))")) + (instance mask_i_4_0_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_am_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) + (instance mask_i_4_0_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_bm_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance mask_i_4_0_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_31 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_4_am_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) + (instance mask_i_4_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_bm_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C B)")) + (instance mask_i_4_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) ) - (instance Data_Out_MUX_data_out_reg_3_4_30 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_4_am_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C A+C B)+D (!C+B))")) ) @@ -905215,19 +905480,22 @@ ) (instance un2_channel_hit_time_4_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance FSM_CURRENT_srsts_i_0_0_a2_0_1_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!B A))")) + (property lut_function (string "(!D (C B)+D (B !A))")) ) (instance FSM_CURRENT_srsts_0_i_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B !A)))")) + (instance un1_wr_header_i_2_i_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) - (instance fsm_debug_fsm_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+A))+D (C+B))")) + (instance Data_Out_MUX_un5_wr_status_i_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance fsm_debug_fsm_i_i_0_a2_4_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance fifo_full_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance fsm_debug_fsm_i_0_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance fifo_nr_next_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) @@ -905241,16 +905509,25 @@ (instance fifo_nr_next_6_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B A)+D (!C (B A)))")) ) - (instance FSM_PROC_un8_channel_empty_reg_22_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_14_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_7_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_29_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_15_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_15_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_23_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_23_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_15_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_23_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_30_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance fsm_debug_fsm_i_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!B A))")) + ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_22 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_30_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905279,10 +905556,8 @@ (instance Data_Out_MUX_data_out_reg_3_30_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_30_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_30_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_23_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905306,15 +905581,11 @@ (instance Data_Out_MUX_data_out_reg_3_23_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_23_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_23_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_23_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_23_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_15_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905338,13 +905609,10 @@ (instance Data_Out_MUX_data_out_reg_3_15_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_15_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_15_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_15_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_15_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_30_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_30_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_30_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905379,115 +905647,126 @@ (instance un2_channel_hit_time_15_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_15_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance fsm_debug_reg_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(B+A)))")) + (property lut_function (string "(!D+(!C+(!B+A)))")) + ) + (instance wait_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C+(B+A)))")) ) (instance FSM_CURRENT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) + (property lut_function (string "(!D (!C !B+C (!B A)))")) ) - (instance mask_i_4_0_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance data_finished_fsm_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance mask_i_4_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance data_finished_fsm_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) + ) + (instance mask_i_4_0_0_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) - (instance mask_i_4_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance mask_i_4_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C A)+D (C+(B+A)))")) ) + (instance FSM_CURRENT_srsts_0_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B A)))")) + ) (instance fsm_debug_fsm_i_i_0_0_tz_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C B)+D (B+A))")) ) - (instance Data_Out_MUX_data_out_reg_3_8_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance un1_wr_header_i_2_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_3_8_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_8_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_8_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905511,13 +905790,10 @@ (instance Data_Out_MUX_data_out_reg_3_8_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_8_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_8_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_8_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_8_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905529,15 +905805,17 @@ (instance un2_channel_hit_time_8_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_8_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance fsm_debug_fsm_i_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) + (instance Data_Out_MUX_data_out_reg_3_31_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_31_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_31_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance un1_wr_header_i_2_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) ) - (instance FSM_PROC_un8_channel_empty_reg_30_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance FSM_PROC_un8_channel_empty_reg_15_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_31_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905561,13 +905839,17 @@ (instance Data_Out_MUX_data_out_reg_3_31_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_31_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_31_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_31_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_31_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_31_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_31_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_31_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905579,17 +905861,25 @@ (instance un2_channel_hit_time_31_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_31_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_CURRENT_srsts_0_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A))+D (!C A))")) + ) + (instance un1_wr_header_i_2_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D (C+(!B+A)))")) + ) (instance FSM_CURRENT_srsts_i_0_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(B+A)))")) ) - (instance FSM_CURRENT_srsts_0_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D (!C B))")) + (instance Data_Out_MUX_data_out_reg_3_16_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_16_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_16_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance fsm_debug_fsm_i_i_0_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (B !A)))")) + (instance data_out_reg_2_sqmuxa_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) ) (instance Data_Out_MUX_data_out_reg_3_16_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_16_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905613,13 +905903,17 @@ (instance Data_Out_MUX_data_out_reg_3_16_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_16_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_16_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_16_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_16_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_16_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_16_bm_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C B)")) + ) + (instance Data_Out_MUX_data_out_reg_3_16_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905631,14 +905925,48 @@ (instance un2_channel_hit_time_16_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_16_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_wr_reg_4_N_7_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B A)))")) - ) (instance Statistics_Empty_Channel_Number_un7_empty_channels_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_32_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance stop_status_i_0_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B A))+D !C)")) + ) + (instance data_out_reg_9_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance data_out_reg_2_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance Data_Out_MUX_data_out_reg_3_32_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_6 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_32_30 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance FSM_CURRENT_srsts_i_i_a3_0_o2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+!A)+D (!C+!A))")) + ) + (instance Data_Out_MUX_data_out_reg_3_32_31 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_32_29 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Data_Out_MUX_data_out_reg_3_32_28 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_27 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_26 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_24 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_23 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_22 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_21 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_20 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_19 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_18 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_17 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_16 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_15 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_14 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_13 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_12 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_11 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_8 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_7 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_5 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_4 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_3 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) + (instance Data_Out_MUX_data_out_reg_3_32_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance Data_Out_MUX_data_out_reg_3_32_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_32_10 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_32_9 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) @@ -905651,104 +905979,203 @@ (instance un2_channel_hit_time_32_2 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_32_1 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) (instance un2_channel_hit_time_32_0 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT))) ) - (instance Statistics_Empty_Channel_Number_un42_empty_channels_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A))")) ) + (instance un1_wr_header_i_2_i_2_RNI6MFM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) + ) + (instance data_out_reg_10_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance data_out_reg_8_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance data_out_reg_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance data_out_reg_5_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance data_out_reg_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance data_out_reg_3_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance data_out_reg_4_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance data_out_reg_7_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance data_out_reg_6_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance data_out_reg_2_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) (instance wr_ch_data_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (!C A))")) + (property lut_function (string "(!B A)")) ) - (instance data_out_reg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (C+!B))")) ) - (instance data_out_reg_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance data_out_reg_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C B))")) ) - (instance data_out_reg_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance rd_en_fsm_0_a2_i_o2_1_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !B)+D (!C !A+C (!B+!A)))")) ) - (instance data_out_reg_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance fsm_debug_fsm_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance data_out_reg_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance data_out_reg_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance data_out_reg_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance data_out_reg_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance rd_en_fsm_i_o2_1_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C !B)+D (!C !A+C (!B+!A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance data_out_reg_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C+!B))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) (instance updt_index_i_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) @@ -905756,1141 +906183,1768 @@ (instance fsm_debug_fsm_i_i_0_o2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !A+C (!B+!A))+D (!C (!B !A)+C !B))")) ) - (instance Data_Out_MUX_data_out_reg_22_f0_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D (!C A))")) + (instance Data_Out_MUX_data_out_reg_22_1_iv_i_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B+A))+D (C !B))")) ) - (instance Data_Out_MUX_data_out_reg_22_f0_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(!B A))+D (C+A))")) + (instance Data_Out_MUX_data_out_reg_22_1_iv_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B+A)))")) ) - (instance rd_en_fsm_i_a2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A))+D (C B))")) ) - (instance fsm_debug_reg_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (!C (B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (!C (B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (!C (B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+!A)+D (!C (B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D B)")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (C+(B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_5_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_5_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_5_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_5_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B A)))")) + ) + (instance FSM_CURRENT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A))")) ) (instance FSM_CURRENT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B+A)+C B))")) ) - (instance FSM_CURRENT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A)))")) + (instance rd_en_fsm_i_0_o2_0_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B !A)))")) + ) + (instance rd_en_fsm_0_a2_i_o2_0_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(C (!B !A)))")) + ) + (instance fsm_debug_fsm_i_i_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_1_iv_i_1_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (B+A))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_7_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_8_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_9_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_6_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) (instance fsm_debug_reg_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!B)+D (!C !A+C (!B !A)))")) + (property lut_function (string "(!D !C+D (!C (B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+!A))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_1_iv_i_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) (instance rd_en_i_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) (instance rd_en_i_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (!C (!B !A)))")) ) (instance rd_en_i_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(!D (C (!B !A)))")) ) (instance rd_en_i_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) (instance rd_en_i_RNO_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance trg_win_cntd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_iv_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C+(!B+!A)))")) + ) + (instance Data_Out_MUX_data_out_reg_22_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(!B+!A)))")) + ) + (instance fsm_debug_fsm_i_0_1_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance fsm_debug_fsm_i_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(B+A))+D (!C+A))")) ) (instance trg_win_cntd_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance trg_win_cntd_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance trg_win_cntd_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) (instance trg_win_cntd_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) + (instance trg_win_cntd_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) (instance trg_win_cntd_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance data_finished_fsm_0_i_s_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance Data_Out_MUX_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) ) - (instance FSM_CURRENT_srsts_i_1_0_a2_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B A)+C A))")) + (instance Data_Out_MUX_i_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x0600")) ) - (instance un1_idle_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0a0c")) + (instance Data_Out_MUX_i_cry_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_13 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_15 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_17 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_19 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_21 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_23 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_25 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_27 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_cry_0_29 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0600")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x0600")) + ) + (instance Data_Out_MUX_i_s_0_31 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_idle_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spurious_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spike_detected_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_multi_tmg_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_wrong_readout_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_invalid_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_spurious_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_valid_notiming_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_multi_tmg_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_invalid_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_trg_win_cnt_up_i_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_valid_notiming_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_25_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_27_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_cry_29_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_i_3_s_31_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance tw_post_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0a0c")) + (property INIT1 (string "0x060a")) ) - (instance un2_valid_timing_trg_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance tw_post_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance tw_post_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance tw_post_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance tw_post_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance tw_post_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x060a")) + (property INJECT1_1 (string "NO")) + (property INJECT1_0 (string "NO")) + (property INIT1 (string "0x060a")) + ) + (instance un1_readout_i_2_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_readout_i_2_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_trg_win_cnt_up_i_s_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un2_valid_timing_trg_pulse_1_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_25_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_27_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_cry_29_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_i_2_s_31_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_idle_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance tw_post_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_idle_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x060a")) + (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un1_readout_i_2_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_spike_detected_pulse_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_13_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_15_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_17_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_19_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_cry_21_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) ) - (instance un2_valid_timing_trg_pulse_1_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_wrong_readout_i_s_23_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) @@ -907156,75 +908210,81 @@ ) (instance The_Reference_Time (viewRef netlist (cellRef Reference_Channel)) ) - (instance GEN_Channels_9_Channels (viewRef netlist (cellRef Channel_9)) - ) (instance GEN_Channels_19_Channels (viewRef netlist (cellRef Channel_19)) ) - (instance GEN_Channels_4_Channels (viewRef netlist (cellRef Channel_4)) - ) - (instance GEN_Channels_21_Channels (viewRef netlist (cellRef Channel_21)) + (instance GEN_Channels_20_Channels (viewRef netlist (cellRef Channel_20)) ) - (instance GEN_Channels_1_Channels (viewRef netlist (cellRef Channel_1)) + (instance GEN_Channels_8_Channels (viewRef netlist (cellRef Channel_8)) ) - (instance GEN_Channels_6_Channels (viewRef netlist (cellRef Channel_6)) + (instance GEN_Channels_3_Channels (viewRef netlist (cellRef Channel_3)) ) (instance GEN_Channels_18_Channels (viewRef netlist (cellRef Channel_18)) ) - (instance GEN_Channels_3_Channels (viewRef netlist (cellRef Channel_3)) - ) - (instance GEN_Channels_8_Channels (viewRef netlist (cellRef Channel_8)) + (instance GEN_Channels_6_Channels (viewRef netlist (cellRef Channel_6)) ) - (instance GEN_Channels_20_Channels (viewRef netlist (cellRef Channel_20)) + (instance GEN_Channels_1_Channels (viewRef netlist (cellRef Channel_1)) ) - (instance GEN_Channels_5_Channels (viewRef netlist (cellRef Channel_5)) + (instance GEN_Channels_9_Channels (viewRef netlist (cellRef Channel_9)) ) - (instance GEN_Channels_17_Channels (viewRef netlist (cellRef Channel_17)) + (instance GEN_Channels_4_Channels (viewRef netlist (cellRef Channel_4)) ) - (instance GEN_Channels_2_Channels (viewRef netlist (cellRef Channel_2)) + (instance GEN_Channels_5_Channels (viewRef netlist (cellRef Channel_5)) ) (instance GEN_Channels_7_Channels (viewRef netlist (cellRef Channel_7)) ) - (instance GEN_Channels_15_Channels (viewRef netlist (cellRef Channel_15)) + (instance GEN_Channels_2_Channels (viewRef netlist (cellRef Channel_2)) ) - (instance GEN_Channels_30_Channels (viewRef netlist (cellRef Channel_30)) + (instance GEN_Channels_17_Channels (viewRef netlist (cellRef Channel_17)) ) - (instance GEN_Channels_14_Channels (viewRef netlist (cellRef Channel_14)) + (instance GEN_Channels_21_Channels (viewRef netlist (cellRef Channel_21)) ) - (instance GEN_Channels_31_Channels (viewRef netlist (cellRef Channel_31)) + (instance GEN_Channels_11_Channels (viewRef netlist (cellRef Channel_11)) ) (instance GEN_Channels_26_Channels (viewRef netlist (cellRef Channel_26)) ) - (instance GEN_Channels_11_Channels (viewRef netlist (cellRef Channel_11)) + (instance GEN_Channels_28_Channels (viewRef netlist (cellRef Channel_28)) ) (instance GEN_Channels_23_Channels (viewRef netlist (cellRef Channel_23)) ) - (instance GEN_Channels_28_Channels (viewRef netlist (cellRef Channel_28)) + (instance GEN_Channels_16_Channels (viewRef netlist (cellRef Channel_16)) ) (instance GEN_Channels_13_Channels (viewRef netlist (cellRef Channel_13)) ) - (instance GEN_Channels_25_Channels (viewRef netlist (cellRef Channel_25)) + (instance GEN_Channels_30_Channels (viewRef netlist (cellRef Channel_30)) ) - (instance GEN_Channels_10_Channels (viewRef netlist (cellRef Channel_10)) + (instance GEN_Channels_15_Channels (viewRef netlist (cellRef Channel_15)) ) - (instance GEN_Channels_22_Channels (viewRef netlist (cellRef Channel_22)) + (instance GEN_Channels_25_Channels (viewRef netlist (cellRef Channel_25)) + ) + (instance GEN_Channels_12_Channels (viewRef netlist (cellRef Channel_12)) ) (instance GEN_Channels_27_Channels (viewRef netlist (cellRef Channel_27)) ) - (instance GEN_Channels_12_Channels (viewRef netlist (cellRef Channel_12)) + (instance GEN_Channels_22_Channels (viewRef netlist (cellRef Channel_22)) + ) + (instance GEN_Channels_10_Channels (viewRef netlist (cellRef Channel_10)) ) (instance GEN_Channels_24_Channels (viewRef netlist (cellRef Channel_24)) ) - (instance GEN_Channels_29_Channels (viewRef netlist (cellRef Channel_29)) + (instance GEN_Channels_31_Channels (viewRef netlist (cellRef Channel_31)) ) - (instance GEN_Channels_16_Channels (viewRef netlist (cellRef Channel_16)) + (instance GEN_Channels_14_Channels (viewRef netlist (cellRef Channel_14)) + ) + (instance GEN_Channels_29_Channels (viewRef netlist (cellRef Channel_29)) ) (instance The_Coarse_Counter (viewRef netlist (cellRef up_counter)) ) - (instance GEN_3_ROM (viewRef netlist (cellRef ROM_FIFO)) + (instance Readout_trigger_mode_sync (viewRef netlist (cellRef bit_sync_3)) + ) + (instance Valid_timing_trigger_sync (viewRef netlist (cellRef bit_sync_Valid_timing_trigger_sync)) + ) + (instance Valid_timing_trigger_pulse (viewRef netlist (cellRef edge_to_pulse_0_31)) + ) + (instance GEN_1_ROM (viewRef netlist (cellRef ROM_FIFO)) ) (instance GEN_0_ROM (viewRef netlist (cellRef ROM_FIFO_1)) ) - (instance GEN_1_ROM (viewRef netlist (cellRef ROM_FIFO_2)) + (instance GEN_3_ROM (viewRef netlist (cellRef ROM_FIFO_2)) ) (instance GEN_2_ROM (viewRef netlist (cellRef ROM_FIFO_3)) ) @@ -907240,46 +908300,30 @@ ) (instance edge_to_pulse_6 (viewRef netlist (cellRef edge_to_pulse_5)) ) - (net N_419 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2)) - (portRef C (instanceRef wr_ch_data_i_RNO)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ)) + (net N_572 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2)) + (portRef C (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J)) )) - (net N_417 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m2)) - (portRef B (instanceRef wr_ch_data_i_RNO)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ)) + (net N_565 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J)) )) - (net fifo_nr_0 (joined - (portRef Q (instanceRef fifo_nr_0)) - (portRef C (instanceRef data_out_reg_RNO_27)) - (portRef C (instanceRef data_out_reg_RNO_26)) - (portRef C (instanceRef data_out_reg_RNO_25)) - (portRef C (instanceRef data_out_reg_RNO_24)) - (portRef C (instanceRef data_out_reg_RNO_23)) - (portRef C (instanceRef data_out_reg_RNO_22)) - (portRef C (instanceRef data_out_reg_RNO_21)) - (portRef C (instanceRef data_out_reg_RNO_20)) - (portRef C (instanceRef data_out_reg_RNO_19)) - (portRef C (instanceRef data_out_reg_RNO_18)) - (portRef C (instanceRef data_out_reg_RNO_17)) - (portRef C (instanceRef data_out_reg_RNO_16)) - (portRef C (instanceRef data_out_reg_RNO_15)) - (portRef C (instanceRef data_out_reg_RNO_14)) - (portRef C (instanceRef data_out_reg_RNO_13)) - (portRef C (instanceRef data_out_reg_RNO_12)) - (portRef C (instanceRef data_out_reg_RNO_11)) - (portRef C (instanceRef data_out_reg_RNO_10)) - (portRef C (instanceRef data_out_reg_RNO_9)) - (portRef C (instanceRef data_out_reg_RNO_8)) - (portRef C (instanceRef data_out_reg_RNO_7)) - (portRef C (instanceRef data_out_reg_RNO_6)) - (portRef C (instanceRef data_out_reg_RNO_5)) - (portRef C (instanceRef data_out_reg_RNO_4)) - (portRef C (instanceRef data_out_reg_RNO_3)) - (portRef C (instanceRef data_out_reg_RNO_2)) - (portRef C (instanceRef data_out_reg_RNO_0)) - (portRef D (instanceRef wr_ch_data_i_RNO)) + (net fifo_nr_1_0 (joined + (portRef Q (instanceRef fifo_nr_1_0)) + (portRef C (instanceRef rd_en_i_RNO_31)) + (portRef C (instanceRef rd_en_i_RNO_30)) + (portRef C (instanceRef rd_en_i_RNO_29)) + (portRef C (instanceRef rd_en_i_RNO_28)) + (portRef C (instanceRef rd_en_i_RNO_27)) + (portRef C (instanceRef rd_en_i_RNO_26)) + (portRef C (instanceRef rd_en_i_RNO_25)) + (portRef C (instanceRef rd_en_i_RNO_24)) + (portRef C (instanceRef rd_en_i_RNO_23)) + (portRef C (instanceRef rd_en_i_RNO_22)) + (portRef C (instanceRef rd_en_i_RNO_21)) + (portRef C (instanceRef rd_en_i_RNO_20)) (portRef SD (instanceRef un2_channel_hit_time_32_0)) (portRef SD (instanceRef un2_channel_hit_time_32_1)) (portRef SD (instanceRef un2_channel_hit_time_32_2)) @@ -907292,24 +908336,44 @@ (portRef SD (instanceRef un2_channel_hit_time_32_9)) (portRef SD (instanceRef un2_channel_hit_time_32_10)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_28)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_3)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_4)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_5)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_7)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_8)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_9)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_10)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_11)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_12)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_13)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_14)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_15)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_16)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_17)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_18)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_19)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_20)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_21)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_22)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_23)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_24)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_26)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) - (portRef A (instanceRef rd_en_fsm_i_o2_6)) - (portRef A (instanceRef rd_en_fsm_i_o2_5)) - (portRef A (instanceRef rd_en_fsm_i_o2_4)) - (portRef A (instanceRef rd_en_fsm_i_o2_15)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ)) - )) - (net N_431 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2_RNIN1GQ)) - (portRef C (instanceRef FSM_CURRENT_RNO_5)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_32_0)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J)) + )) + (net N_590 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2_RNIR15J)) (portRef C (instanceRef FSM_CURRENT_RNO_0)) - (portRef C (instanceRef fsm_debug_reg_RNO_0)) (portRef C (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) (portRef C (instanceRef updt_index_i_RNO)) - (portRef C (instanceRef rd_en_fsm_i_o2_1_19)) + (portRef C (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) + (portRef B (instanceRef wr_ch_data_i_RNO)) )) (net reset_tdc_rep2_i (joined (portRef Z (instanceRef reset_tdc_rep2_RNIGVH8)) @@ -907318,22 +908382,22 @@ (net un3_trg_win_cnt (joined (portRef S1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) (portRef D (instanceRef trg_win_cntd_15)) - (portRef D (instanceRef trg_win_cntd_14)) (portRef D (instanceRef trg_win_cntd_13)) - (portRef D (instanceRef trg_win_cntd_11)) + (portRef D (instanceRef trg_win_cntd_14)) (portRef D (instanceRef trg_win_cntd_12)) + (portRef D (instanceRef trg_win_cntd_11)) (portRef D (instanceRef trg_win_cntd_10)) (portRef D (instanceRef trg_win_cntd_9)) - (portRef D (instanceRef trg_win_cntd_8)) (portRef D (instanceRef trg_win_cntd_7)) - (portRef D (instanceRef trg_win_cntd_5)) + (portRef D (instanceRef trg_win_cntd_8)) (portRef D (instanceRef trg_win_cntd_6)) - (portRef D (instanceRef trg_win_cntd_3)) + (portRef D (instanceRef trg_win_cntd_5)) (portRef D (instanceRef trg_win_cntd_4)) - (portRef D (instanceRef trg_win_cntd_2)) + (portRef D (instanceRef trg_win_cntd_3)) (portRef D (instanceRef trg_win_cntd_1)) - (portRef A (instanceRef trg_win_cnt_up_i_2_0_i)) - (portRef A (instanceRef trg_win_cnt_up_i_2_0)) + (portRef D (instanceRef trg_win_cntd_2)) + (portRef A (instanceRef trg_win_cnt_up_i_1_0_i)) + (portRef A (instanceRef trg_win_cnt_up_i_1_0)) (portRef B (instanceRef trg_win_cnt_RNO_0)) (portRef D (instanceRef trg_win_end_i)) (portRef D (instanceRef trg_win_end_i_r1)) @@ -907341,8 +908405,8 @@ (portRef D (instanceRef trg_win_end_i_r3)) (portRef D (instanceRef trg_win_end_i_r4)) )) - (net trg_win_cnt_up_i_2_0 (joined - (portRef Z (instanceRef trg_win_cnt_up_i_2_0)) + (net trg_win_cnt_up_i_1_0 (joined + (portRef Z (instanceRef trg_win_cnt_up_i_1_0)) (portRef SP (instanceRef trg_win_end_i)) (portRef SP (instanceRef trg_win_end_i_r1)) (portRef SP (instanceRef trg_win_end_i_r2)) @@ -907351,38 +908415,14 @@ )) (net trg_win_end_i_r4 (joined (portRef Q (instanceRef trg_win_end_i_r4)) - (portRef C (instanceRef mask_i_4_0_0)) - (portRef C (instanceRef mask_i_4_0_2)) - (portRef C (instanceRef mask_i_4_0_4)) - (portRef C (instanceRef mask_i_4_0_5)) - (portRef C (instanceRef mask_i_4_0_6)) - (portRef C (instanceRef mask_i_4_0_8)) - (portRef C (instanceRef mask_i_4_0_9)) - (portRef C (instanceRef mask_i_4_0_11)) - (portRef C (instanceRef mask_i_4_0_12)) - (portRef C (instanceRef mask_i_4_0_13)) - (portRef C (instanceRef mask_i_4_0_15)) - (portRef C (instanceRef mask_i_4_0_24)) - (portRef C (instanceRef mask_i_4_0_29)) - (portRef C (instanceRef mask_i_4_0_30)) - (portRef C (instanceRef mask_i_4_0_31)) - (portRef C (instanceRef mask_i_4_0_3)) - (portRef C (instanceRef mask_i_4_0_7)) - (portRef C (instanceRef mask_i_4_0_14)) - (portRef C (instanceRef mask_i_4_0_25)) - (portRef C (instanceRef mask_i_4_0_26)) - (portRef C (instanceRef mask_i_4_0_27)) - (portRef C (instanceRef mask_i_4_0_1)) - (portRef C (instanceRef mask_i_4_0_10)) - (portRef C (instanceRef mask_i_4_0_16)) - (portRef C (instanceRef mask_i_4_0_17)) - (portRef C (instanceRef mask_i_4_0_18)) - (portRef C (instanceRef mask_i_4_0_19)) - (portRef C (instanceRef mask_i_4_0_20)) - (portRef C (instanceRef mask_i_4_0_21)) - (portRef C (instanceRef mask_i_4_0_22)) - (portRef C (instanceRef mask_i_4_0_23)) - (portRef C (instanceRef mask_i_4_0_28)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2)) + (portRef C (instanceRef FSM_CURRENT_RNO_13)) + (portRef C (instanceRef fsm_debug_fsm_i_0_0_0)) + (portRef C (instanceRef mask_i_4_0_0_a2_1_25)) + (portRef C (instanceRef mask_i_4_0_0_a2_1_20)) + (portRef A (instanceRef updt_mask_i_RNIF6SI)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_4)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_0_a2_5)) )) (net clk_100_i_c (joined (portRef clk_100_i_c) @@ -907393,41 +908433,75 @@ (portRef clk_100_i_c (instanceRef edge_to_pulse_2)) (portRef clk_100_i_c (instanceRef edge_to_pulse_1)) (portRef clk_100_i_c (instanceRef GEN_2_ROM)) - (portRef clk_100_i_c (instanceRef GEN_1_ROM)) - (portRef clk_100_i_c (instanceRef GEN_0_ROM)) (portRef clk_100_i_c (instanceRef GEN_3_ROM)) - (portRef clk_100_i_c (instanceRef GEN_Channels_16_Channels)) + (portRef clk_100_i_c (instanceRef GEN_0_ROM)) + (portRef clk_100_i_c (instanceRef GEN_1_ROM)) + (portRef clk_100_i_c (instanceRef Valid_timing_trigger_sync)) + (portRef clk_100_i_c (instanceRef Readout_trigger_mode_sync)) (portRef clk_100_i_c (instanceRef GEN_Channels_29_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_14_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_31_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_24_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_12_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_27_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_22_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_10_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_22_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_27_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_12_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_25_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_15_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_30_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_13_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_28_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_16_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_23_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_11_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_28_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_26_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_31_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_14_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_30_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_15_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_7_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_2_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_11_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_21_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_17_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_2_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_7_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_5_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_20_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_8_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_3_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_18_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_6_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_1_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_21_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_4_Channels)) - (portRef clk_100_i_c (instanceRef GEN_Channels_19_Channels)) (portRef clk_100_i_c (instanceRef GEN_Channels_9_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_1_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_6_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_18_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_3_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_8_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_20_Channels)) + (portRef clk_100_i_c (instanceRef GEN_Channels_19_Channels)) (portRef clk_100_i_c (instanceRef The_Reference_Time)) + (portRef CK (instanceRef Data_Out_MUX_i_31)) + (portRef CK (instanceRef Data_Out_MUX_i_30)) + (portRef CK (instanceRef Data_Out_MUX_i_29)) + (portRef CK (instanceRef Data_Out_MUX_i_28)) + (portRef CK (instanceRef Data_Out_MUX_i_27)) + (portRef CK (instanceRef Data_Out_MUX_i_26)) + (portRef CK (instanceRef Data_Out_MUX_i_25)) + (portRef CK (instanceRef Data_Out_MUX_i_24)) + (portRef CK (instanceRef Data_Out_MUX_i_23)) + (portRef CK (instanceRef Data_Out_MUX_i_22)) + (portRef CK (instanceRef Data_Out_MUX_i_21)) + (portRef CK (instanceRef Data_Out_MUX_i_20)) + (portRef CK (instanceRef Data_Out_MUX_i_19)) + (portRef CK (instanceRef Data_Out_MUX_i_18)) + (portRef CK (instanceRef Data_Out_MUX_i_17)) + (portRef CK (instanceRef Data_Out_MUX_i_16)) + (portRef CK (instanceRef Data_Out_MUX_i_15)) + (portRef CK (instanceRef Data_Out_MUX_i_14)) + (portRef CK (instanceRef Data_Out_MUX_i_13)) + (portRef CK (instanceRef Data_Out_MUX_i_12)) + (portRef CK (instanceRef Data_Out_MUX_i_11)) + (portRef CK (instanceRef Data_Out_MUX_i_10)) + (portRef CK (instanceRef Data_Out_MUX_i_9)) + (portRef CK (instanceRef Data_Out_MUX_i_8)) + (portRef CK (instanceRef Data_Out_MUX_i_7)) + (portRef CK (instanceRef Data_Out_MUX_i_6)) + (portRef CK (instanceRef Data_Out_MUX_i_5)) + (portRef CK (instanceRef Data_Out_MUX_i_4)) + (portRef CK (instanceRef Data_Out_MUX_i_3)) + (portRef CK (instanceRef Data_Out_MUX_i_2)) + (portRef CK (instanceRef Data_Out_MUX_i_1)) + (portRef CK (instanceRef Data_Out_MUX_i_0)) (portRef CK (instanceRef FSM_CURRENT_14)) (portRef CK (instanceRef FSM_CURRENT_13)) (portRef CK (instanceRef FSM_CURRENT_12)) @@ -907531,141 +908605,137 @@ (portRef CK (instanceRef channel_data_reg_0_0)) (portRef CK (instanceRef channel_data_reg_1_31)) (portRef CK (instanceRef channel_data_reg_10_31)) - (portRef CK (instanceRef channel_data_reg_1_30)) (portRef CK (instanceRef channel_data_reg_10_30)) + (portRef CK (instanceRef channel_data_reg_1_30)) (portRef CK (instanceRef channel_data_reg_10_29)) (portRef CK (instanceRef channel_data_reg_1_29)) - (portRef CK (instanceRef channel_data_reg_1_28)) (portRef CK (instanceRef channel_data_reg_10_28)) - (portRef CK (instanceRef channel_data_reg_1_27)) (portRef CK (instanceRef channel_data_reg_10_27)) - (portRef CK (instanceRef channel_data_reg_1_26)) - (portRef CK (instanceRef channel_data_reg_10_26)) - (portRef CK (instanceRef channel_data_reg_10_25)) (portRef CK (instanceRef channel_data_reg_1_25)) + (portRef CK (instanceRef channel_data_reg_10_25)) + (portRef CK (instanceRef channel_data_reg_11_31)) + (portRef CK (instanceRef channel_data_reg_1_28)) + (portRef CK (instanceRef channel_data_reg_1_27)) + (portRef CK (instanceRef channel_data_reg_10_26)) + (portRef CK (instanceRef channel_data_reg_1_26)) (portRef CK (instanceRef channel_data_reg_10_24)) (portRef CK (instanceRef channel_data_reg_10_23)) (portRef CK (instanceRef channel_data_reg_10_22)) (portRef CK (instanceRef channel_data_reg_10_21)) - (portRef CK (instanceRef channel_data_reg_1_20)) - (portRef CK (instanceRef channel_data_reg_10_20)) (portRef CK (instanceRef channel_data_reg_10_19)) (portRef CK (instanceRef channel_data_reg_10_18)) (portRef CK (instanceRef channel_data_reg_10_17)) - (portRef CK (instanceRef channel_data_reg_10_15)) - (portRef CK (instanceRef channel_data_reg_11_28)) - (portRef CK (instanceRef channel_data_reg_1_24)) + (portRef CK (instanceRef channel_data_reg_11_30)) + (portRef CK (instanceRef channel_data_reg_11_27)) + (portRef CK (instanceRef channel_data_reg_1_22)) + (portRef CK (instanceRef channel_data_reg_1_21)) + (portRef CK (instanceRef channel_data_reg_10_20)) (portRef CK (instanceRef channel_data_reg_10_16)) - (portRef CK (instanceRef channel_data_reg_1_14)) + (portRef CK (instanceRef channel_data_reg_10_15)) (portRef CK (instanceRef channel_data_reg_10_14)) (portRef CK (instanceRef channel_data_reg_10_13)) + (portRef CK (instanceRef channel_data_reg_10_12)) + (portRef CK (instanceRef channel_data_reg_10_11)) + (portRef CK (instanceRef channel_data_reg_10_10)) (portRef CK (instanceRef channel_data_reg_10_9)) (portRef CK (instanceRef channel_data_reg_10_8)) + (portRef CK (instanceRef channel_data_reg_10_7)) (portRef CK (instanceRef channel_data_reg_10_6)) + (portRef CK (instanceRef channel_data_reg_10_5)) (portRef CK (instanceRef channel_data_reg_10_4)) - (portRef CK (instanceRef channel_data_reg_10_3)) - (portRef CK (instanceRef channel_data_reg_11_31)) - (portRef CK (instanceRef channel_data_reg_11_24)) - (portRef CK (instanceRef channel_data_reg_1_23)) - (portRef CK (instanceRef channel_data_reg_1_21)) - (portRef CK (instanceRef channel_data_reg_10_2)) (portRef CK (instanceRef channel_data_reg_10_0)) (portRef CK (instanceRef channel_data_reg_11_29)) - (portRef CK (instanceRef channel_data_reg_11_27)) + (portRef CK (instanceRef channel_data_reg_11_28)) + (portRef CK (instanceRef channel_data_reg_11_26)) (portRef CK (instanceRef channel_data_reg_11_25)) + (portRef CK (instanceRef channel_data_reg_11_24)) + (portRef CK (instanceRef channel_data_reg_11_23)) (portRef CK (instanceRef channel_data_reg_11_22)) (portRef CK (instanceRef channel_data_reg_11_21)) (portRef CK (instanceRef channel_data_reg_11_20)) (portRef CK (instanceRef channel_data_reg_1_19)) - (portRef CK (instanceRef channel_data_reg_1_13)) - (portRef CK (instanceRef channel_data_reg_10_10)) - (portRef CK (instanceRef channel_data_reg_10_5)) - (portRef CK (instanceRef channel_data_reg_10_1)) - (portRef CK (instanceRef channel_data_reg_11_30)) - (portRef CK (instanceRef channel_data_reg_11_26)) + (portRef CK (instanceRef channel_data_reg_11_19)) (portRef CK (instanceRef channel_data_reg_11_18)) + (portRef CK (instanceRef channel_data_reg_11_17)) + (portRef CK (instanceRef channel_data_reg_1_15)) + (portRef CK (instanceRef channel_data_reg_11_14)) + (portRef CK (instanceRef channel_data_reg_11_10)) + (portRef CK (instanceRef channel_data_reg_11_2)) + (portRef CK (instanceRef channel_data_reg_12_29)) + (portRef CK (instanceRef channel_data_reg_12_17)) + (portRef CK (instanceRef channel_data_reg_1_17)) + (portRef CK (instanceRef channel_data_reg_1_16)) + (portRef CK (instanceRef channel_data_reg_10_3)) (portRef CK (instanceRef channel_data_reg_11_16)) (portRef CK (instanceRef channel_data_reg_11_15)) - (portRef CK (instanceRef channel_data_reg_11_8)) - (portRef CK (instanceRef channel_data_reg_11_7)) - (portRef CK (instanceRef channel_data_reg_11_1)) - (portRef CK (instanceRef channel_data_reg_11_0)) - (portRef CK (instanceRef channel_data_reg_13_29)) - (portRef CK (instanceRef channel_data_reg_1_22)) - (portRef CK (instanceRef channel_data_reg_10_12)) - (portRef CK (instanceRef channel_data_reg_10_11)) - (portRef CK (instanceRef channel_data_reg_10_7)) - (portRef CK (instanceRef channel_data_reg_11_23)) - (portRef CK (instanceRef channel_data_reg_11_19)) (portRef CK (instanceRef channel_data_reg_11_13)) - (portRef CK (instanceRef channel_data_reg_11_12)) - (portRef CK (instanceRef channel_data_reg_11_11)) - (portRef CK (instanceRef channel_data_reg_12_29)) - (portRef CK (instanceRef channel_data_reg_12_21)) - (portRef CK (instanceRef channel_data_reg_1_18)) - (portRef CK (instanceRef channel_data_reg_11_17)) - (portRef CK (instanceRef channel_data_reg_11_14)) (portRef CK (instanceRef channel_data_reg_11_9)) + (portRef CK (instanceRef channel_data_reg_11_8)) + (portRef CK (instanceRef channel_data_reg_11_7)) + (portRef CK (instanceRef channel_data_reg_11_6)) (portRef CK (instanceRef channel_data_reg_11_5)) + (portRef CK (instanceRef channel_data_reg_11_4)) + (portRef CK (instanceRef channel_data_reg_11_3)) + (portRef CK (instanceRef channel_data_reg_11_1)) (portRef CK (instanceRef channel_data_reg_12_31)) - (portRef CK (instanceRef channel_data_reg_12_30)) - (portRef CK (instanceRef channel_data_reg_12_28)) - (portRef CK (instanceRef channel_data_reg_12_20)) - (portRef CK (instanceRef channel_data_reg_12_15)) - (portRef CK (instanceRef channel_data_reg_13_27)) - (portRef CK (instanceRef channel_data_reg_13_26)) - (portRef CK (instanceRef channel_data_reg_1_16)) - (portRef CK (instanceRef channel_data_reg_11_6)) (portRef CK (instanceRef channel_data_reg_12_27)) (portRef CK (instanceRef channel_data_reg_12_26)) (portRef CK (instanceRef channel_data_reg_12_25)) - (portRef CK (instanceRef channel_data_reg_1_12)) - (portRef CK (instanceRef channel_data_reg_11_10)) - (portRef CK (instanceRef channel_data_reg_11_4)) + (portRef CK (instanceRef channel_data_reg_12_24)) (portRef CK (instanceRef channel_data_reg_12_23)) (portRef CK (instanceRef channel_data_reg_12_22)) - (portRef CK (instanceRef channel_data_reg_12_17)) - (portRef CK (instanceRef channel_data_reg_12_2)) - (portRef CK (instanceRef channel_data_reg_13_31)) - (portRef CK (instanceRef channel_data_reg_13_24)) - (portRef CK (instanceRef channel_data_reg_1_17)) - (portRef CK (instanceRef channel_data_reg_11_3)) - (portRef CK (instanceRef channel_data_reg_11_2)) - (portRef CK (instanceRef channel_data_reg_12_24)) - (portRef CK (instanceRef channel_data_reg_12_19)) + (portRef CK (instanceRef channel_data_reg_13_28)) + (portRef CK (instanceRef channel_data_reg_1_24)) + (portRef CK (instanceRef channel_data_reg_1_23)) + (portRef CK (instanceRef channel_data_reg_1_20)) + (portRef CK (instanceRef channel_data_reg_11_12)) (portRef CK (instanceRef channel_data_reg_12_18)) + (portRef CK (instanceRef channel_data_reg_1_14)) + (portRef CK (instanceRef channel_data_reg_10_2)) + (portRef CK (instanceRef channel_data_reg_10_1)) + (portRef CK (instanceRef channel_data_reg_11_11)) + (portRef CK (instanceRef channel_data_reg_11_0)) + (portRef CK (instanceRef channel_data_reg_12_30)) + (portRef CK (instanceRef channel_data_reg_12_28)) + (portRef CK (instanceRef channel_data_reg_12_21)) + (portRef CK (instanceRef channel_data_reg_12_20)) + (portRef CK (instanceRef channel_data_reg_12_19)) (portRef CK (instanceRef channel_data_reg_12_16)) - (portRef CK (instanceRef channel_data_reg_13_23)) - (portRef CK (instanceRef channel_data_reg_1_15)) + (portRef CK (instanceRef channel_data_reg_12_15)) (portRef CK (instanceRef channel_data_reg_12_14)) (portRef CK (instanceRef channel_data_reg_12_13)) (portRef CK (instanceRef channel_data_reg_12_12)) + (portRef CK (instanceRef channel_data_reg_13_29)) + (portRef CK (instanceRef channel_data_reg_13_27)) + (portRef CK (instanceRef channel_data_reg_1_13)) (portRef CK (instanceRef channel_data_reg_12_11)) (portRef CK (instanceRef channel_data_reg_12_10)) (portRef CK (instanceRef channel_data_reg_12_9)) + (portRef CK (instanceRef channel_data_reg_12_8)) (portRef CK (instanceRef channel_data_reg_12_7)) (portRef CK (instanceRef channel_data_reg_12_6)) (portRef CK (instanceRef channel_data_reg_12_5)) (portRef CK (instanceRef channel_data_reg_12_4)) (portRef CK (instanceRef channel_data_reg_12_3)) + (portRef CK (instanceRef channel_data_reg_12_2)) (portRef CK (instanceRef channel_data_reg_12_1)) (portRef CK (instanceRef channel_data_reg_12_0)) + (portRef CK (instanceRef channel_data_reg_13_31)) (portRef CK (instanceRef channel_data_reg_13_30)) - (portRef CK (instanceRef channel_data_reg_13_28)) + (portRef CK (instanceRef channel_data_reg_13_26)) (portRef CK (instanceRef channel_data_reg_13_25)) + (portRef CK (instanceRef channel_data_reg_13_24)) + (portRef CK (instanceRef channel_data_reg_13_23)) (portRef CK (instanceRef channel_data_reg_13_22)) (portRef CK (instanceRef channel_data_reg_13_21)) (portRef CK (instanceRef channel_data_reg_13_20)) (portRef CK (instanceRef channel_data_reg_13_19)) + (portRef CK (instanceRef channel_data_reg_13_18)) (portRef CK (instanceRef channel_data_reg_13_17)) + (portRef CK (instanceRef channel_data_reg_13_16)) (portRef CK (instanceRef channel_data_reg_13_15)) (portRef CK (instanceRef channel_data_reg_13_14)) (portRef CK (instanceRef channel_data_reg_13_13)) - (portRef CK (instanceRef channel_data_reg_1_11)) - (portRef CK (instanceRef channel_data_reg_1_10)) - (portRef CK (instanceRef channel_data_reg_12_8)) - (portRef CK (instanceRef channel_data_reg_13_18)) - (portRef CK (instanceRef channel_data_reg_13_16)) (portRef CK (instanceRef channel_data_reg_13_12)) (portRef CK (instanceRef channel_data_reg_13_11)) (portRef CK (instanceRef channel_data_reg_13_10)) @@ -907676,27 +908746,25 @@ (portRef CK (instanceRef channel_data_reg_13_5)) (portRef CK (instanceRef channel_data_reg_13_4)) (portRef CK (instanceRef channel_data_reg_13_3)) + (portRef CK (instanceRef channel_data_reg_13_2)) + (portRef CK (instanceRef channel_data_reg_13_1)) + (portRef CK (instanceRef channel_data_reg_13_0)) (portRef CK (instanceRef channel_data_reg_14_31)) (portRef CK (instanceRef channel_data_reg_14_30)) + (portRef CK (instanceRef channel_data_reg_14_29)) (portRef CK (instanceRef channel_data_reg_14_28)) (portRef CK (instanceRef channel_data_reg_14_27)) - (portRef CK (instanceRef channel_data_reg_14_23)) - (portRef CK (instanceRef channel_data_reg_14_22)) - (portRef CK (instanceRef channel_data_reg_14_16)) - (portRef CK (instanceRef channel_data_reg_1_9)) - (portRef CK (instanceRef channel_data_reg_13_2)) - (portRef CK (instanceRef channel_data_reg_14_29)) (portRef CK (instanceRef channel_data_reg_14_26)) (portRef CK (instanceRef channel_data_reg_14_25)) (portRef CK (instanceRef channel_data_reg_14_24)) - (portRef CK (instanceRef channel_data_reg_14_20)) - (portRef CK (instanceRef channel_data_reg_1_7)) - (portRef CK (instanceRef channel_data_reg_13_1)) - (portRef CK (instanceRef channel_data_reg_13_0)) + (portRef CK (instanceRef channel_data_reg_14_23)) + (portRef CK (instanceRef channel_data_reg_14_22)) (portRef CK (instanceRef channel_data_reg_14_21)) + (portRef CK (instanceRef channel_data_reg_14_20)) (portRef CK (instanceRef channel_data_reg_14_19)) (portRef CK (instanceRef channel_data_reg_14_18)) (portRef CK (instanceRef channel_data_reg_14_17)) + (portRef CK (instanceRef channel_data_reg_14_16)) (portRef CK (instanceRef channel_data_reg_14_15)) (portRef CK (instanceRef channel_data_reg_14_14)) (portRef CK (instanceRef channel_data_reg_14_13)) @@ -907727,7 +908795,6 @@ (portRef CK (instanceRef channel_data_reg_15_20)) (portRef CK (instanceRef channel_data_reg_15_19)) (portRef CK (instanceRef channel_data_reg_15_18)) - (portRef CK (instanceRef channel_data_reg_15_17)) (portRef CK (instanceRef channel_data_reg_15_16)) (portRef CK (instanceRef channel_data_reg_15_15)) (portRef CK (instanceRef channel_data_reg_15_14)) @@ -907738,12 +908805,14 @@ (portRef CK (instanceRef channel_data_reg_15_9)) (portRef CK (instanceRef channel_data_reg_15_8)) (portRef CK (instanceRef channel_data_reg_15_7)) - (portRef CK (instanceRef channel_data_reg_15_6)) (portRef CK (instanceRef channel_data_reg_15_5)) - (portRef CK (instanceRef channel_data_reg_15_4)) - (portRef CK (instanceRef channel_data_reg_15_3)) (portRef CK (instanceRef channel_data_reg_15_2)) (portRef CK (instanceRef channel_data_reg_15_1)) + (portRef CK (instanceRef channel_data_reg_16_20)) + (portRef CK (instanceRef channel_data_reg_1_18)) + (portRef CK (instanceRef channel_data_reg_15_17)) + (portRef CK (instanceRef channel_data_reg_15_4)) + (portRef CK (instanceRef channel_data_reg_15_3)) (portRef CK (instanceRef channel_data_reg_15_0)) (portRef CK (instanceRef channel_data_reg_16_31)) (portRef CK (instanceRef channel_data_reg_16_30)) @@ -907756,7 +908825,6 @@ (portRef CK (instanceRef channel_data_reg_16_23)) (portRef CK (instanceRef channel_data_reg_16_22)) (portRef CK (instanceRef channel_data_reg_16_21)) - (portRef CK (instanceRef channel_data_reg_16_20)) (portRef CK (instanceRef channel_data_reg_16_19)) (portRef CK (instanceRef channel_data_reg_16_18)) (portRef CK (instanceRef channel_data_reg_16_17)) @@ -907770,11 +908838,8 @@ (portRef CK (instanceRef channel_data_reg_16_9)) (portRef CK (instanceRef channel_data_reg_16_8)) (portRef CK (instanceRef channel_data_reg_16_7)) - (portRef CK (instanceRef channel_data_reg_16_6)) - (portRef CK (instanceRef channel_data_reg_16_5)) (portRef CK (instanceRef channel_data_reg_16_4)) (portRef CK (instanceRef channel_data_reg_16_3)) - (portRef CK (instanceRef channel_data_reg_16_2)) (portRef CK (instanceRef channel_data_reg_16_1)) (portRef CK (instanceRef channel_data_reg_17_31)) (portRef CK (instanceRef channel_data_reg_17_30)) @@ -907789,7 +908854,6 @@ (portRef CK (instanceRef channel_data_reg_17_21)) (portRef CK (instanceRef channel_data_reg_17_20)) (portRef CK (instanceRef channel_data_reg_17_19)) - (portRef CK (instanceRef channel_data_reg_17_18)) (portRef CK (instanceRef channel_data_reg_17_17)) (portRef CK (instanceRef channel_data_reg_17_16)) (portRef CK (instanceRef channel_data_reg_17_15)) @@ -907797,6 +908861,16 @@ (portRef CK (instanceRef channel_data_reg_17_13)) (portRef CK (instanceRef channel_data_reg_17_12)) (portRef CK (instanceRef channel_data_reg_17_11)) + (portRef CK (instanceRef channel_data_reg_18_14)) + (portRef CK (instanceRef channel_data_reg_19_21)) + (portRef CK (instanceRef channel_data_reg_1_11)) + (portRef CK (instanceRef channel_data_reg_1_10)) + (portRef CK (instanceRef channel_data_reg_1_9)) + (portRef CK (instanceRef channel_data_reg_16_6)) + (portRef CK (instanceRef channel_data_reg_16_5)) + (portRef CK (instanceRef channel_data_reg_16_2)) + (portRef CK (instanceRef channel_data_reg_16_0)) + (portRef CK (instanceRef channel_data_reg_17_18)) (portRef CK (instanceRef channel_data_reg_17_10)) (portRef CK (instanceRef channel_data_reg_17_9)) (portRef CK (instanceRef channel_data_reg_17_8)) @@ -907824,9 +908898,10 @@ (portRef CK (instanceRef channel_data_reg_18_18)) (portRef CK (instanceRef channel_data_reg_18_17)) (portRef CK (instanceRef channel_data_reg_18_16)) - (portRef CK (instanceRef channel_data_reg_18_15)) - (portRef CK (instanceRef channel_data_reg_18_14)) (portRef CK (instanceRef channel_data_reg_18_13)) + (portRef CK (instanceRef channel_data_reg_1_12)) + (portRef CK (instanceRef channel_data_reg_15_6)) + (portRef CK (instanceRef channel_data_reg_18_15)) (portRef CK (instanceRef channel_data_reg_18_12)) (portRef CK (instanceRef channel_data_reg_18_11)) (portRef CK (instanceRef channel_data_reg_18_10)) @@ -907850,7 +908925,6 @@ (portRef CK (instanceRef channel_data_reg_19_24)) (portRef CK (instanceRef channel_data_reg_19_23)) (portRef CK (instanceRef channel_data_reg_19_22)) - (portRef CK (instanceRef channel_data_reg_19_21)) (portRef CK (instanceRef channel_data_reg_19_20)) (portRef CK (instanceRef channel_data_reg_19_19)) (portRef CK (instanceRef channel_data_reg_19_18)) @@ -907863,32 +908937,36 @@ (portRef CK (instanceRef channel_data_reg_19_11)) (portRef CK (instanceRef channel_data_reg_19_10)) (portRef CK (instanceRef channel_data_reg_19_9)) - (portRef CK (instanceRef channel_data_reg_1_8)) (portRef CK (instanceRef channel_data_reg_19_8)) + (portRef CK (instanceRef channel_data_reg_1_8)) + (portRef CK (instanceRef channel_data_reg_1_7)) (portRef CK (instanceRef channel_data_reg_19_7)) - (portRef CK (instanceRef channel_data_reg_19_6)) (portRef CK (instanceRef channel_data_reg_1_6)) - (portRef CK (instanceRef channel_data_reg_19_5)) + (portRef CK (instanceRef channel_data_reg_19_6)) (portRef CK (instanceRef channel_data_reg_1_5)) + (portRef CK (instanceRef channel_data_reg_19_5)) (portRef CK (instanceRef channel_data_reg_19_4)) (portRef CK (instanceRef channel_data_reg_1_4)) - (portRef CK (instanceRef channel_data_reg_1_3)) (portRef CK (instanceRef channel_data_reg_19_3)) + (portRef CK (instanceRef channel_data_reg_1_3)) + (portRef CK (instanceRef channel_data_reg_19_2)) (portRef CK (instanceRef channel_data_reg_1_2)) (portRef CK (instanceRef channel_data_reg_19_1)) (portRef CK (instanceRef channel_data_reg_1_1)) - (portRef CK (instanceRef channel_data_reg_16_0)) - (portRef CK (instanceRef channel_data_reg_19_2)) (portRef CK (instanceRef channel_data_reg_19_0)) (portRef CK (instanceRef channel_data_reg_1_0)) (portRef CK (instanceRef channel_data_reg_20_31)) + (portRef CK (instanceRef channel_data_reg_20_30)) + (portRef CK (instanceRef channel_data_reg_21_31)) (portRef CK (instanceRef channel_data_reg_2_31)) (portRef CK (instanceRef channel_data_reg_2_30)) - (portRef CK (instanceRef channel_data_reg_20_30)) - (portRef CK (instanceRef channel_data_reg_2_29)) (portRef CK (instanceRef channel_data_reg_20_29)) + (portRef CK (instanceRef channel_data_reg_2_29)) (portRef CK (instanceRef channel_data_reg_20_28)) + (portRef CK (instanceRef channel_data_reg_2_28)) + (portRef CK (instanceRef channel_data_reg_2_27)) (portRef CK (instanceRef channel_data_reg_20_27)) + (portRef CK (instanceRef channel_data_reg_2_26)) (portRef CK (instanceRef channel_data_reg_20_26)) (portRef CK (instanceRef channel_data_reg_20_25)) (portRef CK (instanceRef channel_data_reg_20_24)) @@ -907906,45 +908984,43 @@ (portRef CK (instanceRef channel_data_reg_20_12)) (portRef CK (instanceRef channel_data_reg_20_11)) (portRef CK (instanceRef channel_data_reg_20_10)) - (portRef CK (instanceRef channel_data_reg_20_9)) (portRef CK (instanceRef channel_data_reg_20_8)) + (portRef CK (instanceRef channel_data_reg_20_3)) + (portRef CK (instanceRef channel_data_reg_21_30)) + (portRef CK (instanceRef channel_data_reg_21_25)) + (portRef CK (instanceRef channel_data_reg_2_25)) + (portRef CK (instanceRef channel_data_reg_20_9)) (portRef CK (instanceRef channel_data_reg_20_7)) (portRef CK (instanceRef channel_data_reg_20_6)) (portRef CK (instanceRef channel_data_reg_20_5)) (portRef CK (instanceRef channel_data_reg_20_4)) - (portRef CK (instanceRef channel_data_reg_20_3)) (portRef CK (instanceRef channel_data_reg_20_2)) (portRef CK (instanceRef channel_data_reg_20_1)) (portRef CK (instanceRef channel_data_reg_20_0)) - (portRef CK (instanceRef channel_data_reg_21_31)) - (portRef CK (instanceRef channel_data_reg_21_30)) (portRef CK (instanceRef channel_data_reg_21_29)) (portRef CK (instanceRef channel_data_reg_21_28)) (portRef CK (instanceRef channel_data_reg_21_27)) (portRef CK (instanceRef channel_data_reg_21_26)) - (portRef CK (instanceRef channel_data_reg_21_25)) - (portRef CK (instanceRef channel_data_reg_21_22)) - (portRef CK (instanceRef channel_data_reg_21_20)) - (portRef CK (instanceRef channel_data_reg_21_18)) - (portRef CK (instanceRef channel_data_reg_22_31)) - (portRef CK (instanceRef channel_data_reg_2_26)) (portRef CK (instanceRef channel_data_reg_21_24)) (portRef CK (instanceRef channel_data_reg_21_23)) + (portRef CK (instanceRef channel_data_reg_21_22)) (portRef CK (instanceRef channel_data_reg_21_21)) + (portRef CK (instanceRef channel_data_reg_21_20)) + (portRef CK (instanceRef channel_data_reg_21_19)) + (portRef CK (instanceRef channel_data_reg_21_17)) (portRef CK (instanceRef channel_data_reg_21_16)) (portRef CK (instanceRef channel_data_reg_21_15)) - (portRef CK (instanceRef channel_data_reg_21_14)) (portRef CK (instanceRef channel_data_reg_21_13)) + (portRef CK (instanceRef channel_data_reg_21_12)) + (portRef CK (instanceRef channel_data_reg_21_11)) + (portRef CK (instanceRef channel_data_reg_21_10)) + (portRef CK (instanceRef channel_data_reg_22_31)) (portRef CK (instanceRef channel_data_reg_22_30)) (portRef CK (instanceRef channel_data_reg_22_29)) (portRef CK (instanceRef channel_data_reg_22_28)) - (portRef CK (instanceRef channel_data_reg_22_25)) - (portRef CK (instanceRef channel_data_reg_2_23)) - (portRef CK (instanceRef channel_data_reg_21_19)) - (portRef CK (instanceRef channel_data_reg_21_17)) - (portRef CK (instanceRef channel_data_reg_21_12)) (portRef CK (instanceRef channel_data_reg_22_27)) (portRef CK (instanceRef channel_data_reg_22_26)) + (portRef CK (instanceRef channel_data_reg_22_25)) (portRef CK (instanceRef channel_data_reg_22_24)) (portRef CK (instanceRef channel_data_reg_22_23)) (portRef CK (instanceRef channel_data_reg_22_22)) @@ -907963,33 +909039,30 @@ (portRef CK (instanceRef channel_data_reg_22_9)) (portRef CK (instanceRef channel_data_reg_22_8)) (portRef CK (instanceRef channel_data_reg_22_7)) + (portRef CK (instanceRef channel_data_reg_22_6)) (portRef CK (instanceRef channel_data_reg_22_5)) (portRef CK (instanceRef channel_data_reg_22_4)) - (portRef CK (instanceRef channel_data_reg_22_2)) - (portRef CK (instanceRef channel_data_reg_23_31)) - (portRef CK (instanceRef channel_data_reg_23_30)) - (portRef CK (instanceRef channel_data_reg_2_28)) - (portRef CK (instanceRef channel_data_reg_22_6)) (portRef CK (instanceRef channel_data_reg_22_3)) (portRef CK (instanceRef channel_data_reg_22_1)) (portRef CK (instanceRef channel_data_reg_22_0)) + (portRef CK (instanceRef channel_data_reg_23_31)) + (portRef CK (instanceRef channel_data_reg_23_30)) (portRef CK (instanceRef channel_data_reg_23_29)) (portRef CK (instanceRef channel_data_reg_23_28)) (portRef CK (instanceRef channel_data_reg_23_27)) - (portRef CK (instanceRef channel_data_reg_23_26)) - (portRef CK (instanceRef channel_data_reg_23_25)) (portRef CK (instanceRef channel_data_reg_23_24)) - (portRef CK (instanceRef channel_data_reg_2_24)) - (portRef CK (instanceRef channel_data_reg_21_2)) (portRef CK (instanceRef channel_data_reg_23_23)) - (portRef CK (instanceRef channel_data_reg_23_22)) (portRef CK (instanceRef channel_data_reg_23_21)) - (portRef CK (instanceRef channel_data_reg_23_20)) - (portRef CK (instanceRef channel_data_reg_23_19)) - (portRef CK (instanceRef channel_data_reg_23_18)) - (portRef CK (instanceRef channel_data_reg_23_17)) (portRef CK (instanceRef channel_data_reg_23_16)) - (portRef CK (instanceRef channel_data_reg_23_15)) + (portRef CK (instanceRef channel_data_reg_23_1)) + (portRef CK (instanceRef channel_data_reg_24_30)) + (portRef CK (instanceRef channel_data_reg_2_24)) + (portRef CK (instanceRef channel_data_reg_21_18)) + (portRef CK (instanceRef channel_data_reg_21_14)) + (portRef CK (instanceRef channel_data_reg_23_26)) + (portRef CK (instanceRef channel_data_reg_23_25)) + (portRef CK (instanceRef channel_data_reg_23_22)) + (portRef CK (instanceRef channel_data_reg_23_18)) (portRef CK (instanceRef channel_data_reg_23_14)) (portRef CK (instanceRef channel_data_reg_23_13)) (portRef CK (instanceRef channel_data_reg_23_12)) @@ -908003,10 +909076,8 @@ (portRef CK (instanceRef channel_data_reg_23_4)) (portRef CK (instanceRef channel_data_reg_23_3)) (portRef CK (instanceRef channel_data_reg_23_2)) - (portRef CK (instanceRef channel_data_reg_23_1)) (portRef CK (instanceRef channel_data_reg_23_0)) (portRef CK (instanceRef channel_data_reg_24_31)) - (portRef CK (instanceRef channel_data_reg_24_30)) (portRef CK (instanceRef channel_data_reg_24_29)) (portRef CK (instanceRef channel_data_reg_24_28)) (portRef CK (instanceRef channel_data_reg_24_27)) @@ -908017,6 +909088,7 @@ (portRef CK (instanceRef channel_data_reg_24_22)) (portRef CK (instanceRef channel_data_reg_24_21)) (portRef CK (instanceRef channel_data_reg_24_20)) + (portRef CK (instanceRef channel_data_reg_2_20)) (portRef CK (instanceRef channel_data_reg_24_19)) (portRef CK (instanceRef channel_data_reg_24_18)) (portRef CK (instanceRef channel_data_reg_24_17)) @@ -908025,50 +909097,66 @@ (portRef CK (instanceRef channel_data_reg_24_14)) (portRef CK (instanceRef channel_data_reg_24_13)) (portRef CK (instanceRef channel_data_reg_24_12)) - (portRef CK (instanceRef channel_data_reg_24_10)) - (portRef CK (instanceRef channel_data_reg_24_9)) - (portRef CK (instanceRef channel_data_reg_24_6)) + (portRef CK (instanceRef channel_data_reg_24_11)) (portRef CK (instanceRef channel_data_reg_24_4)) (portRef CK (instanceRef channel_data_reg_24_3)) + (portRef CK (instanceRef channel_data_reg_24_2)) (portRef CK (instanceRef channel_data_reg_24_1)) - (portRef CK (instanceRef channel_data_reg_25_31)) (portRef CK (instanceRef channel_data_reg_25_30)) (portRef CK (instanceRef channel_data_reg_25_29)) (portRef CK (instanceRef channel_data_reg_25_28)) (portRef CK (instanceRef channel_data_reg_25_27)) (portRef CK (instanceRef channel_data_reg_25_26)) (portRef CK (instanceRef channel_data_reg_25_25)) - (portRef CK (instanceRef channel_data_reg_26_28)) - (portRef CK (instanceRef channel_data_reg_2_22)) - (portRef CK (instanceRef channel_data_reg_24_5)) - (portRef CK (instanceRef channel_data_reg_24_2)) (portRef CK (instanceRef channel_data_reg_25_24)) (portRef CK (instanceRef channel_data_reg_25_23)) - (portRef CK (instanceRef channel_data_reg_25_22)) - (portRef CK (instanceRef channel_data_reg_2_21)) - (portRef CK (instanceRef channel_data_reg_25_17)) - (portRef CK (instanceRef channel_data_reg_25_16)) - (portRef CK (instanceRef channel_data_reg_2_15)) - (portRef CK (instanceRef channel_data_reg_24_0)) - (portRef CK (instanceRef channel_data_reg_25_20)) - (portRef CK (instanceRef channel_data_reg_2_20)) - (portRef CK (instanceRef channel_data_reg_24_11)) + (portRef CK (instanceRef channel_data_reg_2_23)) (portRef CK (instanceRef channel_data_reg_25_21)) + (portRef CK (instanceRef channel_data_reg_25_18)) + (portRef CK (instanceRef channel_data_reg_25_9)) + (portRef CK (instanceRef channel_data_reg_26_22)) (portRef CK (instanceRef channel_data_reg_2_19)) + (portRef CK (instanceRef channel_data_reg_24_5)) + (portRef CK (instanceRef channel_data_reg_25_22)) + (portRef CK (instanceRef channel_data_reg_25_14)) + (portRef CK (instanceRef channel_data_reg_25_13)) + (portRef CK (instanceRef channel_data_reg_26_20)) + (portRef CK (instanceRef channel_data_reg_2_18)) + (portRef CK (instanceRef channel_data_reg_25_7)) + (portRef CK (instanceRef channel_data_reg_26_28)) + (portRef CK (instanceRef channel_data_reg_2_22)) + (portRef CK (instanceRef channel_data_reg_21_0)) + (portRef CK (instanceRef channel_data_reg_22_2)) + (portRef CK (instanceRef channel_data_reg_23_19)) + (portRef CK (instanceRef channel_data_reg_23_17)) + (portRef CK (instanceRef channel_data_reg_25_31)) + (portRef CK (instanceRef channel_data_reg_25_6)) + (portRef CK (instanceRef channel_data_reg_26_26)) + (portRef CK (instanceRef channel_data_reg_26_23)) + (portRef CK (instanceRef channel_data_reg_2_21)) + (portRef CK (instanceRef channel_data_reg_21_9)) + (portRef CK (instanceRef channel_data_reg_23_20)) + (portRef CK (instanceRef channel_data_reg_23_15)) + (portRef CK (instanceRef channel_data_reg_25_15)) + (portRef CK (instanceRef channel_data_reg_26_17)) + (portRef CK (instanceRef channel_data_reg_28_29)) + (portRef CK (instanceRef channel_data_reg_2_17)) + (portRef CK (instanceRef channel_data_reg_21_6)) + (portRef CK (instanceRef channel_data_reg_21_1)) + (portRef CK (instanceRef channel_data_reg_24_10)) + (portRef CK (instanceRef channel_data_reg_24_9)) (portRef CK (instanceRef channel_data_reg_24_8)) (portRef CK (instanceRef channel_data_reg_24_7)) + (portRef CK (instanceRef channel_data_reg_24_6)) + (portRef CK (instanceRef channel_data_reg_24_0)) + (portRef CK (instanceRef channel_data_reg_25_20)) (portRef CK (instanceRef channel_data_reg_25_19)) - (portRef CK (instanceRef channel_data_reg_25_18)) - (portRef CK (instanceRef channel_data_reg_25_15)) - (portRef CK (instanceRef channel_data_reg_25_14)) - (portRef CK (instanceRef channel_data_reg_25_13)) + (portRef CK (instanceRef channel_data_reg_25_17)) + (portRef CK (instanceRef channel_data_reg_25_16)) (portRef CK (instanceRef channel_data_reg_25_12)) (portRef CK (instanceRef channel_data_reg_25_11)) (portRef CK (instanceRef channel_data_reg_25_10)) - (portRef CK (instanceRef channel_data_reg_25_9)) (portRef CK (instanceRef channel_data_reg_25_8)) - (portRef CK (instanceRef channel_data_reg_25_7)) - (portRef CK (instanceRef channel_data_reg_25_6)) (portRef CK (instanceRef channel_data_reg_25_5)) (portRef CK (instanceRef channel_data_reg_25_4)) (portRef CK (instanceRef channel_data_reg_25_3)) @@ -908079,16 +909167,11 @@ (portRef CK (instanceRef channel_data_reg_26_30)) (portRef CK (instanceRef channel_data_reg_26_29)) (portRef CK (instanceRef channel_data_reg_26_27)) - (portRef CK (instanceRef channel_data_reg_26_26)) (portRef CK (instanceRef channel_data_reg_26_25)) (portRef CK (instanceRef channel_data_reg_26_24)) - (portRef CK (instanceRef channel_data_reg_26_23)) - (portRef CK (instanceRef channel_data_reg_26_22)) (portRef CK (instanceRef channel_data_reg_26_21)) - (portRef CK (instanceRef channel_data_reg_26_20)) (portRef CK (instanceRef channel_data_reg_26_19)) (portRef CK (instanceRef channel_data_reg_26_18)) - (portRef CK (instanceRef channel_data_reg_26_17)) (portRef CK (instanceRef channel_data_reg_26_16)) (portRef CK (instanceRef channel_data_reg_26_15)) (portRef CK (instanceRef channel_data_reg_26_14)) @@ -908103,231 +909186,222 @@ (portRef CK (instanceRef channel_data_reg_26_5)) (portRef CK (instanceRef channel_data_reg_26_4)) (portRef CK (instanceRef channel_data_reg_26_3)) + (portRef CK (instanceRef channel_data_reg_26_2)) + (portRef CK (instanceRef channel_data_reg_26_1)) (portRef CK (instanceRef channel_data_reg_26_0)) (portRef CK (instanceRef channel_data_reg_27_31)) (portRef CK (instanceRef channel_data_reg_27_30)) (portRef CK (instanceRef channel_data_reg_27_29)) (portRef CK (instanceRef channel_data_reg_27_28)) (portRef CK (instanceRef channel_data_reg_27_27)) - (portRef CK (instanceRef channel_data_reg_27_25)) - (portRef CK (instanceRef channel_data_reg_27_22)) - (portRef CK (instanceRef channel_data_reg_27_18)) - (portRef CK (instanceRef channel_data_reg_2_17)) - (portRef CK (instanceRef channel_data_reg_26_2)) - (portRef CK (instanceRef channel_data_reg_26_1)) (portRef CK (instanceRef channel_data_reg_27_26)) + (portRef CK (instanceRef channel_data_reg_27_25)) (portRef CK (instanceRef channel_data_reg_27_24)) (portRef CK (instanceRef channel_data_reg_27_23)) + (portRef CK (instanceRef channel_data_reg_27_22)) (portRef CK (instanceRef channel_data_reg_27_21)) (portRef CK (instanceRef channel_data_reg_27_20)) (portRef CK (instanceRef channel_data_reg_27_19)) + (portRef CK (instanceRef channel_data_reg_27_18)) (portRef CK (instanceRef channel_data_reg_27_17)) (portRef CK (instanceRef channel_data_reg_27_16)) (portRef CK (instanceRef channel_data_reg_27_15)) (portRef CK (instanceRef channel_data_reg_27_14)) - (portRef CK (instanceRef channel_data_reg_27_10)) - (portRef CK (instanceRef channel_data_reg_27_8)) - (portRef CK (instanceRef channel_data_reg_27_5)) - (portRef CK (instanceRef channel_data_reg_27_4)) - (portRef CK (instanceRef channel_data_reg_28_31)) - (portRef CK (instanceRef channel_data_reg_28_30)) - (portRef CK (instanceRef channel_data_reg_28_28)) - (portRef CK (instanceRef channel_data_reg_2_27)) (portRef CK (instanceRef channel_data_reg_27_13)) (portRef CK (instanceRef channel_data_reg_27_12)) (portRef CK (instanceRef channel_data_reg_27_11)) + (portRef CK (instanceRef channel_data_reg_27_10)) (portRef CK (instanceRef channel_data_reg_27_9)) (portRef CK (instanceRef channel_data_reg_27_7)) (portRef CK (instanceRef channel_data_reg_27_6)) + (portRef CK (instanceRef channel_data_reg_27_5)) + (portRef CK (instanceRef channel_data_reg_27_4)) (portRef CK (instanceRef channel_data_reg_27_2)) - (portRef CK (instanceRef channel_data_reg_28_11)) - (portRef CK (instanceRef channel_data_reg_29_29)) - (portRef CK (instanceRef channel_data_reg_2_25)) (portRef CK (instanceRef channel_data_reg_27_1)) - (portRef CK (instanceRef channel_data_reg_28_29)) + (portRef CK (instanceRef channel_data_reg_27_0)) + (portRef CK (instanceRef channel_data_reg_28_31)) + (portRef CK (instanceRef channel_data_reg_28_30)) + (portRef CK (instanceRef channel_data_reg_28_28)) (portRef CK (instanceRef channel_data_reg_28_27)) + (portRef CK (instanceRef channel_data_reg_28_26)) + (portRef CK (instanceRef channel_data_reg_28_25)) (portRef CK (instanceRef channel_data_reg_28_24)) (portRef CK (instanceRef channel_data_reg_28_23)) (portRef CK (instanceRef channel_data_reg_28_22)) - (portRef CK (instanceRef channel_data_reg_2_18)) - (portRef CK (instanceRef channel_data_reg_21_11)) - (portRef CK (instanceRef channel_data_reg_21_1)) - (portRef CK (instanceRef channel_data_reg_27_3)) - (portRef CK (instanceRef channel_data_reg_28_26)) - (portRef CK (instanceRef channel_data_reg_28_25)) - (portRef CK (instanceRef channel_data_reg_28_21)) - (portRef CK (instanceRef channel_data_reg_28_20)) (portRef CK (instanceRef channel_data_reg_28_19)) (portRef CK (instanceRef channel_data_reg_28_18)) (portRef CK (instanceRef channel_data_reg_28_17)) - (portRef CK (instanceRef channel_data_reg_28_10)) - (portRef CK (instanceRef channel_data_reg_29_28)) - (portRef CK (instanceRef channel_data_reg_29_24)) + (portRef CK (instanceRef channel_data_reg_29_31)) + (portRef CK (instanceRef channel_data_reg_2_13)) + (portRef CK (instanceRef channel_data_reg_27_8)) + (portRef CK (instanceRef channel_data_reg_28_21)) + (portRef CK (instanceRef channel_data_reg_28_16)) (portRef CK (instanceRef channel_data_reg_2_16)) - (portRef CK (instanceRef channel_data_reg_28_15)) - (portRef CK (instanceRef channel_data_reg_2_14)) - (portRef CK (instanceRef channel_data_reg_21_10)) - (portRef CK (instanceRef channel_data_reg_21_9)) + (portRef CK (instanceRef channel_data_reg_27_3)) + (portRef CK (instanceRef channel_data_reg_28_20)) (portRef CK (instanceRef channel_data_reg_28_13)) + (portRef CK (instanceRef channel_data_reg_28_12)) + (portRef CK (instanceRef channel_data_reg_2_12)) + (portRef CK (instanceRef channel_data_reg_21_4)) + (portRef CK (instanceRef channel_data_reg_28_15)) + (portRef CK (instanceRef channel_data_reg_28_11)) + (portRef CK (instanceRef channel_data_reg_28_10)) (portRef CK (instanceRef channel_data_reg_28_9)) - (portRef CK (instanceRef channel_data_reg_28_3)) + (portRef CK (instanceRef channel_data_reg_28_5)) (portRef CK (instanceRef channel_data_reg_28_2)) - (portRef CK (instanceRef channel_data_reg_29_31)) + (portRef CK (instanceRef channel_data_reg_28_0)) (portRef CK (instanceRef channel_data_reg_29_30)) + (portRef CK (instanceRef channel_data_reg_29_28)) (portRef CK (instanceRef channel_data_reg_29_27)) - (portRef CK (instanceRef channel_data_reg_29_26)) + (portRef CK (instanceRef channel_data_reg_29_24)) (portRef CK (instanceRef channel_data_reg_29_18)) - (portRef CK (instanceRef channel_data_reg_29_16)) + (portRef CK (instanceRef channel_data_reg_2_15)) + (portRef CK (instanceRef channel_data_reg_28_1)) + (portRef CK (instanceRef channel_data_reg_29_29)) + (portRef CK (instanceRef channel_data_reg_29_26)) + (portRef CK (instanceRef channel_data_reg_29_17)) (portRef CK (instanceRef channel_data_reg_29_15)) - (portRef CK (instanceRef channel_data_reg_2_12)) - (portRef CK (instanceRef channel_data_reg_28_12)) + (portRef CK (instanceRef channel_data_reg_2_8)) + (portRef CK (instanceRef channel_data_reg_21_8)) (portRef CK (instanceRef channel_data_reg_29_25)) (portRef CK (instanceRef channel_data_reg_29_23)) - (portRef CK (instanceRef channel_data_reg_2_11)) - (portRef CK (instanceRef channel_data_reg_28_8)) - (portRef CK (instanceRef channel_data_reg_29_22)) + (portRef CK (instanceRef channel_data_reg_2_14)) + (portRef CK (instanceRef channel_data_reg_21_7)) + (portRef CK (instanceRef channel_data_reg_28_14)) + (portRef CK (instanceRef channel_data_reg_28_6)) (portRef CK (instanceRef channel_data_reg_29_21)) (portRef CK (instanceRef channel_data_reg_29_20)) (portRef CK (instanceRef channel_data_reg_29_19)) - (portRef CK (instanceRef channel_data_reg_2_13)) - (portRef CK (instanceRef channel_data_reg_2_10)) - (portRef CK (instanceRef channel_data_reg_2_8)) - (portRef CK (instanceRef channel_data_reg_21_8)) - (portRef CK (instanceRef channel_data_reg_29_17)) - (portRef CK (instanceRef channel_data_reg_2_7)) - (portRef CK (instanceRef channel_data_reg_21_7)) - (portRef CK (instanceRef channel_data_reg_21_6)) - (portRef CK (instanceRef channel_data_reg_28_7)) + (portRef CK (instanceRef channel_data_reg_29_16)) + (portRef CK (instanceRef channel_data_reg_2_11)) + (portRef CK (instanceRef channel_data_reg_28_3)) + (portRef CK (instanceRef channel_data_reg_29_22)) (portRef CK (instanceRef channel_data_reg_29_14)) (portRef CK (instanceRef channel_data_reg_29_13)) - (portRef CK (instanceRef channel_data_reg_2_9)) - (portRef CK (instanceRef channel_data_reg_2_5)) - (portRef CK (instanceRef channel_data_reg_21_5)) - (portRef CK (instanceRef channel_data_reg_21_4)) - (portRef CK (instanceRef channel_data_reg_21_3)) (portRef CK (instanceRef channel_data_reg_29_12)) - (portRef CK (instanceRef channel_data_reg_2_2)) - (portRef CK (instanceRef channel_data_reg_21_0)) - (portRef CK (instanceRef channel_data_reg_28_16)) - (portRef CK (instanceRef channel_data_reg_28_14)) - (portRef CK (instanceRef channel_data_reg_28_1)) (portRef CK (instanceRef channel_data_reg_29_11)) + (portRef CK (instanceRef channel_data_reg_2_10)) (portRef CK (instanceRef channel_data_reg_29_10)) + (portRef CK (instanceRef channel_data_reg_2_9)) + (portRef CK (instanceRef channel_data_reg_28_8)) + (portRef CK (instanceRef channel_data_reg_29_8)) + (portRef CK (instanceRef channel_data_reg_2_7)) (portRef CK (instanceRef channel_data_reg_2_6)) - (portRef CK (instanceRef channel_data_reg_28_5)) + (portRef CK (instanceRef channel_data_reg_21_5)) + (portRef CK (instanceRef channel_data_reg_28_7)) + (portRef CK (instanceRef channel_data_reg_28_4)) (portRef CK (instanceRef channel_data_reg_29_9)) - (portRef CK (instanceRef channel_data_reg_2_1)) - (portRef CK (instanceRef channel_data_reg_27_0)) - (portRef CK (instanceRef channel_data_reg_28_6)) - (portRef CK (instanceRef channel_data_reg_29_8)) - (portRef CK (instanceRef channel_data_reg_29_5)) + (portRef CK (instanceRef channel_data_reg_2_5)) + (portRef CK (instanceRef channel_data_reg_2_4)) (portRef CK (instanceRef channel_data_reg_2_3)) - (portRef CK (instanceRef channel_data_reg_28_0)) + (portRef CK (instanceRef channel_data_reg_21_3)) + (portRef CK (instanceRef channel_data_reg_21_2)) (portRef CK (instanceRef channel_data_reg_29_7)) (portRef CK (instanceRef channel_data_reg_29_6)) + (portRef CK (instanceRef channel_data_reg_29_5)) (portRef CK (instanceRef channel_data_reg_29_4)) - (portRef CK (instanceRef channel_data_reg_2_4)) - (portRef CK (instanceRef channel_data_reg_28_4)) (portRef CK (instanceRef channel_data_reg_29_3)) + (portRef CK (instanceRef channel_data_reg_2_2)) (portRef CK (instanceRef channel_data_reg_29_2)) (portRef CK (instanceRef channel_data_reg_29_1)) - (portRef CK (instanceRef channel_data_reg_2_0)) + (portRef CK (instanceRef channel_data_reg_2_1)) (portRef CK (instanceRef channel_data_reg_29_0)) + (portRef CK (instanceRef channel_data_reg_2_0)) (portRef CK (instanceRef channel_data_reg_3_31)) (portRef CK (instanceRef channel_data_reg_30_31)) - (portRef CK (instanceRef channel_data_reg_3_30)) (portRef CK (instanceRef channel_data_reg_30_30)) - (portRef CK (instanceRef channel_data_reg_30_29)) + (portRef CK (instanceRef channel_data_reg_3_30)) (portRef CK (instanceRef channel_data_reg_3_29)) + (portRef CK (instanceRef channel_data_reg_30_29)) (portRef CK (instanceRef channel_data_reg_3_28)) (portRef CK (instanceRef channel_data_reg_30_28)) - (portRef CK (instanceRef channel_data_reg_3_27)) (portRef CK (instanceRef channel_data_reg_30_27)) + (portRef CK (instanceRef channel_data_reg_3_27)) (portRef CK (instanceRef channel_data_reg_30_26)) (portRef CK (instanceRef channel_data_reg_30_25)) (portRef CK (instanceRef channel_data_reg_30_24)) (portRef CK (instanceRef channel_data_reg_30_23)) (portRef CK (instanceRef channel_data_reg_30_22)) (portRef CK (instanceRef channel_data_reg_30_21)) - (portRef CK (instanceRef channel_data_reg_30_19)) - (portRef CK (instanceRef channel_data_reg_31_30)) - (portRef CK (instanceRef channel_data_reg_3_19)) + (portRef CK (instanceRef channel_data_reg_3_21)) + (portRef CK (instanceRef channel_data_reg_30_20)) (portRef CK (instanceRef channel_data_reg_30_18)) - (portRef CK (instanceRef channel_data_reg_30_17)) - (portRef CK (instanceRef channel_data_reg_30_8)) - (portRef CK (instanceRef channel_data_reg_31_31)) - (portRef CK (instanceRef channel_data_reg_31_28)) - (portRef CK (instanceRef channel_data_reg_3_26)) - (portRef CK (instanceRef channel_data_reg_31_25)) - (portRef CK (instanceRef channel_data_reg_3_25)) - (portRef CK (instanceRef channel_data_reg_3_24)) + (portRef CK (instanceRef channel_data_reg_30_14)) + (portRef CK (instanceRef channel_data_reg_30_6)) + (portRef CK (instanceRef channel_data_reg_31_26)) (portRef CK (instanceRef channel_data_reg_3_23)) - (portRef CK (instanceRef channel_data_reg_3_22)) (portRef CK (instanceRef channel_data_reg_3_20)) - (portRef CK (instanceRef channel_data_reg_30_20)) + (portRef CK (instanceRef channel_data_reg_30_19)) + (portRef CK (instanceRef channel_data_reg_30_17)) (portRef CK (instanceRef channel_data_reg_30_16)) - (portRef CK (instanceRef channel_data_reg_30_10)) + (portRef CK (instanceRef channel_data_reg_30_15)) + (portRef CK (instanceRef channel_data_reg_30_13)) + (portRef CK (instanceRef channel_data_reg_31_18)) + (portRef CK (instanceRef channel_data_reg_3_13)) + (portRef CK (instanceRef channel_data_reg_30_11)) (portRef CK (instanceRef channel_data_reg_30_7)) + (portRef CK (instanceRef channel_data_reg_30_5)) + (portRef CK (instanceRef channel_data_reg_30_1)) + (portRef CK (instanceRef channel_data_reg_31_31)) + (portRef CK (instanceRef channel_data_reg_31_30)) (portRef CK (instanceRef channel_data_reg_31_29)) + (portRef CK (instanceRef channel_data_reg_31_25)) + (portRef CK (instanceRef channel_data_reg_3_25)) + (portRef CK (instanceRef channel_data_reg_31_24)) + (portRef CK (instanceRef channel_data_reg_31_19)) + (portRef CK (instanceRef channel_data_reg_3_17)) + (portRef CK (instanceRef channel_data_reg_30_9)) (portRef CK (instanceRef channel_data_reg_31_27)) - (portRef CK (instanceRef channel_data_reg_3_21)) - (portRef CK (instanceRef channel_data_reg_30_15)) - (portRef CK (instanceRef channel_data_reg_31_21)) - (portRef CK (instanceRef channel_data_reg_31_20)) - (portRef CK (instanceRef channel_data_reg_3_14)) - (portRef CK (instanceRef channel_data_reg_30_14)) - (portRef CK (instanceRef channel_data_reg_3_13)) - (portRef CK (instanceRef channel_data_reg_30_13)) + (portRef CK (instanceRef channel_data_reg_3_26)) + (portRef CK (instanceRef channel_data_reg_3_24)) + (portRef CK (instanceRef channel_data_reg_3_15)) (portRef CK (instanceRef channel_data_reg_30_12)) - (portRef CK (instanceRef channel_data_reg_3_9)) - (portRef CK (instanceRef channel_data_reg_30_6)) - (portRef CK (instanceRef channel_data_reg_30_4)) - (portRef CK (instanceRef channel_data_reg_31_23)) + (portRef CK (instanceRef channel_data_reg_30_8)) + (portRef CK (instanceRef channel_data_reg_30_0)) (portRef CK (instanceRef channel_data_reg_31_22)) - (portRef CK (instanceRef channel_data_reg_31_18)) + (portRef CK (instanceRef channel_data_reg_3_22)) (portRef CK (instanceRef channel_data_reg_3_18)) - (portRef CK (instanceRef channel_data_reg_3_17)) - (portRef CK (instanceRef channel_data_reg_30_9)) - (portRef CK (instanceRef channel_data_reg_30_5)) - (portRef CK (instanceRef channel_data_reg_31_19)) + (portRef CK (instanceRef channel_data_reg_30_4)) + (portRef CK (instanceRef channel_data_reg_31_28)) + (portRef CK (instanceRef channel_data_reg_31_23)) + (portRef CK (instanceRef channel_data_reg_31_21)) + (portRef CK (instanceRef channel_data_reg_31_20)) + (portRef CK (instanceRef channel_data_reg_3_19)) + (portRef CK (instanceRef channel_data_reg_31_17)) (portRef CK (instanceRef channel_data_reg_31_16)) (portRef CK (instanceRef channel_data_reg_3_16)) - (portRef CK (instanceRef channel_data_reg_31_15)) - (portRef CK (instanceRef channel_data_reg_3_15)) - (portRef CK (instanceRef channel_data_reg_3_12)) - (portRef CK (instanceRef channel_data_reg_30_3)) - (portRef CK (instanceRef channel_data_reg_31_24)) (portRef CK (instanceRef channel_data_reg_31_14)) - (portRef CK (instanceRef channel_data_reg_31_12)) - (portRef CK (instanceRef channel_data_reg_3_11)) - (portRef CK (instanceRef channel_data_reg_30_11)) - (portRef CK (instanceRef channel_data_reg_31_17)) - (portRef CK (instanceRef channel_data_reg_3_10)) - (portRef CK (instanceRef channel_data_reg_3_8)) - (portRef CK (instanceRef channel_data_reg_30_1)) + (portRef CK (instanceRef channel_data_reg_3_14)) + (portRef CK (instanceRef channel_data_reg_3_12)) + (portRef CK (instanceRef channel_data_reg_30_10)) + (portRef CK (instanceRef channel_data_reg_31_15)) (portRef CK (instanceRef channel_data_reg_31_13)) (portRef CK (instanceRef channel_data_reg_31_11)) - (portRef CK (instanceRef channel_data_reg_31_10)) + (portRef CK (instanceRef channel_data_reg_3_11)) + (portRef CK (instanceRef channel_data_reg_3_10)) (portRef CK (instanceRef channel_data_reg_31_9)) (portRef CK (instanceRef channel_data_reg_31_8)) + (portRef CK (instanceRef channel_data_reg_3_8)) (portRef CK (instanceRef channel_data_reg_3_7)) + (portRef CK (instanceRef channel_data_reg_3_4)) + (portRef CK (instanceRef channel_data_reg_30_3)) + (portRef CK (instanceRef channel_data_reg_31_12)) + (portRef CK (instanceRef channel_data_reg_31_10)) + (portRef CK (instanceRef channel_data_reg_3_9)) (portRef CK (instanceRef channel_data_reg_31_7)) (portRef CK (instanceRef channel_data_reg_31_6)) (portRef CK (instanceRef channel_data_reg_3_6)) - (portRef CK (instanceRef channel_data_reg_31_5)) (portRef CK (instanceRef channel_data_reg_3_5)) - (portRef CK (instanceRef channel_data_reg_31_4)) - (portRef CK (instanceRef channel_data_reg_3_4)) + (portRef CK (instanceRef channel_data_reg_31_3)) (portRef CK (instanceRef channel_data_reg_3_3)) + (portRef CK (instanceRef channel_data_reg_3_2)) (portRef CK (instanceRef channel_data_reg_30_2)) - (portRef CK (instanceRef channel_data_reg_31_26)) + (portRef CK (instanceRef channel_data_reg_31_5)) + (portRef CK (instanceRef channel_data_reg_31_4)) (portRef CK (instanceRef channel_data_reg_31_2)) + (portRef CK (instanceRef channel_data_reg_31_1)) (portRef CK (instanceRef channel_data_reg_3_1)) (portRef CK (instanceRef channel_data_reg_3_0)) - (portRef CK (instanceRef channel_data_reg_30_0)) - (portRef CK (instanceRef channel_data_reg_31_3)) - (portRef CK (instanceRef channel_data_reg_3_2)) - (portRef CK (instanceRef channel_data_reg_31_1)) (portRef CK (instanceRef channel_data_reg_31_0)) (portRef CK (instanceRef channel_data_reg_4_31)) (portRef CK (instanceRef channel_data_reg_4_30)) @@ -908622,28 +909696,29 @@ (portRef CK (instanceRef empty_channels_0)) (portRef CK (instanceRef fifo_nr_5)) (portRef CK (instanceRef fifo_nr_1_4)) - (portRef CK (instanceRef fifo_nr_1_3)) (portRef CK (instanceRef fifo_nr_1_2)) + (portRef CK (instanceRef fifo_nr_1_1)) + (portRef CK (instanceRef fifo_nr_1_0)) (portRef CK (instanceRef fifo_nr_2_4)) + (portRef CK (instanceRef fifo_nr_4)) + (portRef CK (instanceRef fifo_nr_1_3)) (portRef CK (instanceRef fifo_nr_2_3)) (portRef CK (instanceRef fifo_nr_3_4)) - (portRef CK (instanceRef fifo_nr_5_4)) - (portRef CK (instanceRef fifo_nr_next_4)) - (portRef CK (instanceRef fifo_nr_4)) + (portRef CK (instanceRef fifo_nr_3_3)) (portRef CK (instanceRef fifo_nr_4_4)) - (portRef CK (instanceRef fifo_nr_4_3)) + (portRef CK (instanceRef fifo_nr_5_4)) (portRef CK (instanceRef fifo_nr_6_4)) + (portRef CK (instanceRef fifo_nr_7_4)) (portRef CK (instanceRef fifo_nr_next_5)) + (portRef CK (instanceRef fifo_nr_next_4)) (portRef CK (instanceRef fifo_nr_next_3)) (portRef CK (instanceRef fifo_nr_3)) - (portRef CK (instanceRef fifo_nr_3_3)) - (portRef CK (instanceRef fifo_nr_7_4)) - (portRef CK (instanceRef fifo_nr_2)) (portRef CK (instanceRef fifo_nr_next_2)) + (portRef CK (instanceRef fifo_nr_2)) (portRef CK (instanceRef fifo_nr_1)) (portRef CK (instanceRef fifo_nr_next_1)) - (portRef CK (instanceRef fifo_nr_0)) (portRef CK (instanceRef fifo_nr_next_0)) + (portRef CK (instanceRef fifo_nr_0)) (portRef CK (instanceRef fsm_debug_reg_4)) (portRef CK (instanceRef fsm_debug_reg_3)) (portRef CK (instanceRef fsm_debug_reg_2)) @@ -908847,6 +909922,7 @@ (portRef CK (instanceRef spurious_trig_number_1)) (portRef CK (instanceRef spurious_trig_number_0)) (portRef CK (instanceRef start_trg_win_cnt_i)) + (portRef CK (instanceRef stop_status_i)) (portRef CK (instanceRef total_empty_channel_23)) (portRef CK (instanceRef total_empty_channel_22)) (portRef CK (instanceRef total_empty_channel_21)) @@ -908993,6 +910069,7 @@ (portRef CK (instanceRef wr_ch_data_i)) (portRef CK (instanceRef wr_ch_data_reg)) (portRef CK (instanceRef wr_header_i)) + (portRef CK (instanceRef wr_status_i)) (portRef CK (instanceRef wrong_readout_i)) (portRef CK (instanceRef wrong_readout_number_23)) (portRef CK (instanceRef wrong_readout_number_22)) @@ -909026,42 +910103,41 @@ )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_29_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_14_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_31_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_24_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_12_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_27_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_22_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_10_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_22_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_27_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_12_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_25_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_15_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_30_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_13_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_28_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_23_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_28_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_26_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_31_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_14_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_30_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_15_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_7_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_17_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_7_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_5_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_20_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_8_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_3_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_18_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_6_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_1_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_4_Channels)) - (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_9_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_1_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_6_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_18_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_3_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_8_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_20_Channels)) + (portRef (member final_reset_iso 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member final_reset_iso 0) (instanceRef The_Reference_Time)) (portRef PD (instanceRef FSM_CURRENT_14)) (portRef CD (instanceRef FSM_CURRENT_11)) (portRef CD (instanceRef FSM_CURRENT_10)) - (portRef CD (instanceRef FSM_CURRENT_9)) (portRef CD (instanceRef FSM_CURRENT_7)) (portRef CD (instanceRef FSM_CURRENT_6)) (portRef CD (instanceRef FSM_CURRENT_4)) @@ -909125,141 +910201,137 @@ (portRef CD (instanceRef channel_data_reg_0_0)) (portRef CD (instanceRef channel_data_reg_1_31)) (portRef CD (instanceRef channel_data_reg_10_31)) - (portRef CD (instanceRef channel_data_reg_1_30)) (portRef CD (instanceRef channel_data_reg_10_30)) + (portRef CD (instanceRef channel_data_reg_1_30)) (portRef CD (instanceRef channel_data_reg_10_29)) (portRef CD (instanceRef channel_data_reg_1_29)) - (portRef CD (instanceRef channel_data_reg_1_28)) (portRef CD (instanceRef channel_data_reg_10_28)) - (portRef CD (instanceRef channel_data_reg_1_27)) (portRef CD (instanceRef channel_data_reg_10_27)) - (portRef CD (instanceRef channel_data_reg_1_26)) - (portRef CD (instanceRef channel_data_reg_10_26)) - (portRef CD (instanceRef channel_data_reg_10_25)) (portRef CD (instanceRef channel_data_reg_1_25)) + (portRef CD (instanceRef channel_data_reg_10_25)) + (portRef CD (instanceRef channel_data_reg_11_31)) + (portRef CD (instanceRef channel_data_reg_1_28)) + (portRef CD (instanceRef channel_data_reg_1_27)) + (portRef CD (instanceRef channel_data_reg_10_26)) + (portRef CD (instanceRef channel_data_reg_1_26)) (portRef CD (instanceRef channel_data_reg_10_24)) (portRef CD (instanceRef channel_data_reg_10_23)) (portRef CD (instanceRef channel_data_reg_10_22)) (portRef CD (instanceRef channel_data_reg_10_21)) - (portRef CD (instanceRef channel_data_reg_1_20)) - (portRef CD (instanceRef channel_data_reg_10_20)) (portRef CD (instanceRef channel_data_reg_10_19)) (portRef CD (instanceRef channel_data_reg_10_18)) (portRef CD (instanceRef channel_data_reg_10_17)) - (portRef CD (instanceRef channel_data_reg_10_15)) - (portRef CD (instanceRef channel_data_reg_11_28)) - (portRef CD (instanceRef channel_data_reg_1_24)) + (portRef CD (instanceRef channel_data_reg_11_30)) + (portRef CD (instanceRef channel_data_reg_11_27)) + (portRef CD (instanceRef channel_data_reg_1_22)) + (portRef CD (instanceRef channel_data_reg_1_21)) + (portRef CD (instanceRef channel_data_reg_10_20)) (portRef CD (instanceRef channel_data_reg_10_16)) - (portRef CD (instanceRef channel_data_reg_1_14)) + (portRef CD (instanceRef channel_data_reg_10_15)) (portRef CD (instanceRef channel_data_reg_10_14)) (portRef CD (instanceRef channel_data_reg_10_13)) + (portRef CD (instanceRef channel_data_reg_10_12)) + (portRef CD (instanceRef channel_data_reg_10_11)) + (portRef CD (instanceRef channel_data_reg_10_10)) (portRef CD (instanceRef channel_data_reg_10_9)) (portRef CD (instanceRef channel_data_reg_10_8)) + (portRef CD (instanceRef channel_data_reg_10_7)) (portRef CD (instanceRef channel_data_reg_10_6)) + (portRef CD (instanceRef channel_data_reg_10_5)) (portRef CD (instanceRef channel_data_reg_10_4)) - (portRef CD (instanceRef channel_data_reg_10_3)) - (portRef CD (instanceRef channel_data_reg_11_31)) - (portRef CD (instanceRef channel_data_reg_11_24)) - (portRef CD (instanceRef channel_data_reg_1_23)) - (portRef CD (instanceRef channel_data_reg_1_21)) - (portRef CD (instanceRef channel_data_reg_10_2)) (portRef CD (instanceRef channel_data_reg_10_0)) (portRef CD (instanceRef channel_data_reg_11_29)) - (portRef CD (instanceRef channel_data_reg_11_27)) + (portRef CD (instanceRef channel_data_reg_11_28)) + (portRef CD (instanceRef channel_data_reg_11_26)) (portRef CD (instanceRef channel_data_reg_11_25)) + (portRef CD (instanceRef channel_data_reg_11_24)) + (portRef CD (instanceRef channel_data_reg_11_23)) (portRef CD (instanceRef channel_data_reg_11_22)) (portRef CD (instanceRef channel_data_reg_11_21)) (portRef CD (instanceRef channel_data_reg_11_20)) (portRef CD (instanceRef channel_data_reg_1_19)) - (portRef CD (instanceRef channel_data_reg_1_13)) - (portRef CD (instanceRef channel_data_reg_10_10)) - (portRef CD (instanceRef channel_data_reg_10_5)) - (portRef CD (instanceRef channel_data_reg_10_1)) - (portRef CD (instanceRef channel_data_reg_11_30)) - (portRef CD (instanceRef channel_data_reg_11_26)) + (portRef CD (instanceRef channel_data_reg_11_19)) (portRef CD (instanceRef channel_data_reg_11_18)) + (portRef CD (instanceRef channel_data_reg_11_17)) + (portRef CD (instanceRef channel_data_reg_1_15)) + (portRef CD (instanceRef channel_data_reg_11_14)) + (portRef CD (instanceRef channel_data_reg_11_10)) + (portRef CD (instanceRef channel_data_reg_11_2)) + (portRef CD (instanceRef channel_data_reg_12_29)) + (portRef CD (instanceRef channel_data_reg_12_17)) + (portRef CD (instanceRef channel_data_reg_1_17)) + (portRef CD (instanceRef channel_data_reg_1_16)) + (portRef CD (instanceRef channel_data_reg_10_3)) (portRef CD (instanceRef channel_data_reg_11_16)) (portRef CD (instanceRef channel_data_reg_11_15)) - (portRef CD (instanceRef channel_data_reg_11_8)) - (portRef CD (instanceRef channel_data_reg_11_7)) - (portRef CD (instanceRef channel_data_reg_11_1)) - (portRef CD (instanceRef channel_data_reg_11_0)) - (portRef CD (instanceRef channel_data_reg_13_29)) - (portRef CD (instanceRef channel_data_reg_1_22)) - (portRef CD (instanceRef channel_data_reg_10_12)) - (portRef CD (instanceRef channel_data_reg_10_11)) - (portRef CD (instanceRef channel_data_reg_10_7)) - (portRef CD (instanceRef channel_data_reg_11_23)) - (portRef CD (instanceRef channel_data_reg_11_19)) (portRef CD (instanceRef channel_data_reg_11_13)) - (portRef CD (instanceRef channel_data_reg_11_12)) - (portRef CD (instanceRef channel_data_reg_11_11)) - (portRef CD (instanceRef channel_data_reg_12_29)) - (portRef CD (instanceRef channel_data_reg_12_21)) - (portRef CD (instanceRef channel_data_reg_1_18)) - (portRef CD (instanceRef channel_data_reg_11_17)) - (portRef CD (instanceRef channel_data_reg_11_14)) (portRef CD (instanceRef channel_data_reg_11_9)) + (portRef CD (instanceRef channel_data_reg_11_8)) + (portRef CD (instanceRef channel_data_reg_11_7)) + (portRef CD (instanceRef channel_data_reg_11_6)) (portRef CD (instanceRef channel_data_reg_11_5)) + (portRef CD (instanceRef channel_data_reg_11_4)) + (portRef CD (instanceRef channel_data_reg_11_3)) + (portRef CD (instanceRef channel_data_reg_11_1)) (portRef CD (instanceRef channel_data_reg_12_31)) - (portRef CD (instanceRef channel_data_reg_12_30)) - (portRef CD (instanceRef channel_data_reg_12_28)) - (portRef CD (instanceRef channel_data_reg_12_20)) - (portRef CD (instanceRef channel_data_reg_12_15)) - (portRef CD (instanceRef channel_data_reg_13_27)) - (portRef CD (instanceRef channel_data_reg_13_26)) - (portRef CD (instanceRef channel_data_reg_1_16)) - (portRef CD (instanceRef channel_data_reg_11_6)) (portRef CD (instanceRef channel_data_reg_12_27)) (portRef CD (instanceRef channel_data_reg_12_26)) (portRef CD (instanceRef channel_data_reg_12_25)) - (portRef CD (instanceRef channel_data_reg_1_12)) - (portRef CD (instanceRef channel_data_reg_11_10)) - (portRef CD (instanceRef channel_data_reg_11_4)) + (portRef CD (instanceRef channel_data_reg_12_24)) (portRef CD (instanceRef channel_data_reg_12_23)) (portRef CD (instanceRef channel_data_reg_12_22)) - (portRef CD (instanceRef channel_data_reg_12_17)) - (portRef CD (instanceRef channel_data_reg_12_2)) - (portRef CD (instanceRef channel_data_reg_13_31)) - (portRef CD (instanceRef channel_data_reg_13_24)) - (portRef CD (instanceRef channel_data_reg_1_17)) - (portRef CD (instanceRef channel_data_reg_11_3)) - (portRef CD (instanceRef channel_data_reg_11_2)) - (portRef CD (instanceRef channel_data_reg_12_24)) - (portRef CD (instanceRef channel_data_reg_12_19)) + (portRef CD (instanceRef channel_data_reg_13_28)) + (portRef CD (instanceRef channel_data_reg_1_24)) + (portRef CD (instanceRef channel_data_reg_1_23)) + (portRef CD (instanceRef channel_data_reg_1_20)) + (portRef CD (instanceRef channel_data_reg_11_12)) (portRef CD (instanceRef channel_data_reg_12_18)) + (portRef CD (instanceRef channel_data_reg_1_14)) + (portRef CD (instanceRef channel_data_reg_10_2)) + (portRef CD (instanceRef channel_data_reg_10_1)) + (portRef CD (instanceRef channel_data_reg_11_11)) + (portRef CD (instanceRef channel_data_reg_11_0)) + (portRef CD (instanceRef channel_data_reg_12_30)) + (portRef CD (instanceRef channel_data_reg_12_28)) + (portRef CD (instanceRef channel_data_reg_12_21)) + (portRef CD (instanceRef channel_data_reg_12_20)) + (portRef CD (instanceRef channel_data_reg_12_19)) (portRef CD (instanceRef channel_data_reg_12_16)) - (portRef CD (instanceRef channel_data_reg_13_23)) - (portRef CD (instanceRef channel_data_reg_1_15)) + (portRef CD (instanceRef channel_data_reg_12_15)) (portRef CD (instanceRef channel_data_reg_12_14)) (portRef CD (instanceRef channel_data_reg_12_13)) (portRef CD (instanceRef channel_data_reg_12_12)) + (portRef CD (instanceRef channel_data_reg_13_29)) + (portRef CD (instanceRef channel_data_reg_13_27)) + (portRef CD (instanceRef channel_data_reg_1_13)) (portRef CD (instanceRef channel_data_reg_12_11)) (portRef CD (instanceRef channel_data_reg_12_10)) (portRef CD (instanceRef channel_data_reg_12_9)) + (portRef CD (instanceRef channel_data_reg_12_8)) (portRef CD (instanceRef channel_data_reg_12_7)) (portRef CD (instanceRef channel_data_reg_12_6)) (portRef CD (instanceRef channel_data_reg_12_5)) (portRef CD (instanceRef channel_data_reg_12_4)) (portRef CD (instanceRef channel_data_reg_12_3)) + (portRef CD (instanceRef channel_data_reg_12_2)) (portRef CD (instanceRef channel_data_reg_12_1)) (portRef CD (instanceRef channel_data_reg_12_0)) + (portRef CD (instanceRef channel_data_reg_13_31)) (portRef CD (instanceRef channel_data_reg_13_30)) - (portRef CD (instanceRef channel_data_reg_13_28)) + (portRef CD (instanceRef channel_data_reg_13_26)) (portRef CD (instanceRef channel_data_reg_13_25)) + (portRef CD (instanceRef channel_data_reg_13_24)) + (portRef CD (instanceRef channel_data_reg_13_23)) (portRef CD (instanceRef channel_data_reg_13_22)) (portRef CD (instanceRef channel_data_reg_13_21)) (portRef CD (instanceRef channel_data_reg_13_20)) (portRef CD (instanceRef channel_data_reg_13_19)) + (portRef CD (instanceRef channel_data_reg_13_18)) (portRef CD (instanceRef channel_data_reg_13_17)) + (portRef CD (instanceRef channel_data_reg_13_16)) (portRef CD (instanceRef channel_data_reg_13_15)) (portRef CD (instanceRef channel_data_reg_13_14)) (portRef CD (instanceRef channel_data_reg_13_13)) - (portRef CD (instanceRef channel_data_reg_1_11)) - (portRef CD (instanceRef channel_data_reg_1_10)) - (portRef CD (instanceRef channel_data_reg_12_8)) - (portRef CD (instanceRef channel_data_reg_13_18)) - (portRef CD (instanceRef channel_data_reg_13_16)) (portRef CD (instanceRef channel_data_reg_13_12)) (portRef CD (instanceRef channel_data_reg_13_11)) (portRef CD (instanceRef channel_data_reg_13_10)) @@ -909270,27 +910342,25 @@ (portRef CD (instanceRef channel_data_reg_13_5)) (portRef CD (instanceRef channel_data_reg_13_4)) (portRef CD (instanceRef channel_data_reg_13_3)) + (portRef CD (instanceRef channel_data_reg_13_2)) + (portRef CD (instanceRef channel_data_reg_13_1)) + (portRef CD (instanceRef channel_data_reg_13_0)) (portRef CD (instanceRef channel_data_reg_14_31)) (portRef CD (instanceRef channel_data_reg_14_30)) + (portRef CD (instanceRef channel_data_reg_14_29)) (portRef CD (instanceRef channel_data_reg_14_28)) (portRef CD (instanceRef channel_data_reg_14_27)) - (portRef CD (instanceRef channel_data_reg_14_23)) - (portRef CD (instanceRef channel_data_reg_14_22)) - (portRef CD (instanceRef channel_data_reg_14_16)) - (portRef CD (instanceRef channel_data_reg_1_9)) - (portRef CD (instanceRef channel_data_reg_13_2)) - (portRef CD (instanceRef channel_data_reg_14_29)) (portRef CD (instanceRef channel_data_reg_14_26)) (portRef CD (instanceRef channel_data_reg_14_25)) (portRef CD (instanceRef channel_data_reg_14_24)) - (portRef CD (instanceRef channel_data_reg_14_20)) - (portRef CD (instanceRef channel_data_reg_1_7)) - (portRef CD (instanceRef channel_data_reg_13_1)) - (portRef CD (instanceRef channel_data_reg_13_0)) + (portRef CD (instanceRef channel_data_reg_14_23)) + (portRef CD (instanceRef channel_data_reg_14_22)) (portRef CD (instanceRef channel_data_reg_14_21)) + (portRef CD (instanceRef channel_data_reg_14_20)) (portRef CD (instanceRef channel_data_reg_14_19)) (portRef CD (instanceRef channel_data_reg_14_18)) (portRef CD (instanceRef channel_data_reg_14_17)) + (portRef CD (instanceRef channel_data_reg_14_16)) (portRef CD (instanceRef channel_data_reg_14_15)) (portRef CD (instanceRef channel_data_reg_14_14)) (portRef CD (instanceRef channel_data_reg_14_13)) @@ -909321,7 +910391,6 @@ (portRef CD (instanceRef channel_data_reg_15_20)) (portRef CD (instanceRef channel_data_reg_15_19)) (portRef CD (instanceRef channel_data_reg_15_18)) - (portRef CD (instanceRef channel_data_reg_15_17)) (portRef CD (instanceRef channel_data_reg_15_16)) (portRef CD (instanceRef channel_data_reg_15_15)) (portRef CD (instanceRef channel_data_reg_15_14)) @@ -909332,12 +910401,14 @@ (portRef CD (instanceRef channel_data_reg_15_9)) (portRef CD (instanceRef channel_data_reg_15_8)) (portRef CD (instanceRef channel_data_reg_15_7)) - (portRef CD (instanceRef channel_data_reg_15_6)) (portRef CD (instanceRef channel_data_reg_15_5)) - (portRef CD (instanceRef channel_data_reg_15_4)) - (portRef CD (instanceRef channel_data_reg_15_3)) (portRef CD (instanceRef channel_data_reg_15_2)) (portRef CD (instanceRef channel_data_reg_15_1)) + (portRef CD (instanceRef channel_data_reg_16_20)) + (portRef CD (instanceRef channel_data_reg_1_18)) + (portRef CD (instanceRef channel_data_reg_15_17)) + (portRef CD (instanceRef channel_data_reg_15_4)) + (portRef CD (instanceRef channel_data_reg_15_3)) (portRef CD (instanceRef channel_data_reg_15_0)) (portRef CD (instanceRef channel_data_reg_16_31)) (portRef CD (instanceRef channel_data_reg_16_30)) @@ -909350,7 +910421,6 @@ (portRef CD (instanceRef channel_data_reg_16_23)) (portRef CD (instanceRef channel_data_reg_16_22)) (portRef CD (instanceRef channel_data_reg_16_21)) - (portRef CD (instanceRef channel_data_reg_16_20)) (portRef CD (instanceRef channel_data_reg_16_19)) (portRef CD (instanceRef channel_data_reg_16_18)) (portRef CD (instanceRef channel_data_reg_16_17)) @@ -909364,11 +910434,8 @@ (portRef CD (instanceRef channel_data_reg_16_9)) (portRef CD (instanceRef channel_data_reg_16_8)) (portRef CD (instanceRef channel_data_reg_16_7)) - (portRef CD (instanceRef channel_data_reg_16_6)) - (portRef CD (instanceRef channel_data_reg_16_5)) (portRef CD (instanceRef channel_data_reg_16_4)) (portRef CD (instanceRef channel_data_reg_16_3)) - (portRef CD (instanceRef channel_data_reg_16_2)) (portRef CD (instanceRef channel_data_reg_16_1)) (portRef CD (instanceRef channel_data_reg_17_31)) (portRef CD (instanceRef channel_data_reg_17_30)) @@ -909383,7 +910450,6 @@ (portRef CD (instanceRef channel_data_reg_17_21)) (portRef CD (instanceRef channel_data_reg_17_20)) (portRef CD (instanceRef channel_data_reg_17_19)) - (portRef CD (instanceRef channel_data_reg_17_18)) (portRef CD (instanceRef channel_data_reg_17_17)) (portRef CD (instanceRef channel_data_reg_17_16)) (portRef CD (instanceRef channel_data_reg_17_15)) @@ -909391,6 +910457,16 @@ (portRef CD (instanceRef channel_data_reg_17_13)) (portRef CD (instanceRef channel_data_reg_17_12)) (portRef CD (instanceRef channel_data_reg_17_11)) + (portRef CD (instanceRef channel_data_reg_18_14)) + (portRef CD (instanceRef channel_data_reg_19_21)) + (portRef CD (instanceRef channel_data_reg_1_11)) + (portRef CD (instanceRef channel_data_reg_1_10)) + (portRef CD (instanceRef channel_data_reg_1_9)) + (portRef CD (instanceRef channel_data_reg_16_6)) + (portRef CD (instanceRef channel_data_reg_16_5)) + (portRef CD (instanceRef channel_data_reg_16_2)) + (portRef CD (instanceRef channel_data_reg_16_0)) + (portRef CD (instanceRef channel_data_reg_17_18)) (portRef CD (instanceRef channel_data_reg_17_10)) (portRef CD (instanceRef channel_data_reg_17_9)) (portRef CD (instanceRef channel_data_reg_17_8)) @@ -909418,9 +910494,10 @@ (portRef CD (instanceRef channel_data_reg_18_18)) (portRef CD (instanceRef channel_data_reg_18_17)) (portRef CD (instanceRef channel_data_reg_18_16)) - (portRef CD (instanceRef channel_data_reg_18_15)) - (portRef CD (instanceRef channel_data_reg_18_14)) (portRef CD (instanceRef channel_data_reg_18_13)) + (portRef CD (instanceRef channel_data_reg_1_12)) + (portRef CD (instanceRef channel_data_reg_15_6)) + (portRef CD (instanceRef channel_data_reg_18_15)) (portRef CD (instanceRef channel_data_reg_18_12)) (portRef CD (instanceRef channel_data_reg_18_11)) (portRef CD (instanceRef channel_data_reg_18_10)) @@ -909444,7 +910521,6 @@ (portRef CD (instanceRef channel_data_reg_19_24)) (portRef CD (instanceRef channel_data_reg_19_23)) (portRef CD (instanceRef channel_data_reg_19_22)) - (portRef CD (instanceRef channel_data_reg_19_21)) (portRef CD (instanceRef channel_data_reg_19_20)) (portRef CD (instanceRef channel_data_reg_19_19)) (portRef CD (instanceRef channel_data_reg_19_18)) @@ -909457,32 +910533,36 @@ (portRef CD (instanceRef channel_data_reg_19_11)) (portRef CD (instanceRef channel_data_reg_19_10)) (portRef CD (instanceRef channel_data_reg_19_9)) - (portRef CD (instanceRef channel_data_reg_1_8)) (portRef CD (instanceRef channel_data_reg_19_8)) + (portRef CD (instanceRef channel_data_reg_1_8)) + (portRef CD (instanceRef channel_data_reg_1_7)) (portRef CD (instanceRef channel_data_reg_19_7)) - (portRef CD (instanceRef channel_data_reg_19_6)) (portRef CD (instanceRef channel_data_reg_1_6)) - (portRef CD (instanceRef channel_data_reg_19_5)) + (portRef CD (instanceRef channel_data_reg_19_6)) (portRef CD (instanceRef channel_data_reg_1_5)) + (portRef CD (instanceRef channel_data_reg_19_5)) (portRef CD (instanceRef channel_data_reg_19_4)) (portRef CD (instanceRef channel_data_reg_1_4)) - (portRef CD (instanceRef channel_data_reg_1_3)) (portRef CD (instanceRef channel_data_reg_19_3)) + (portRef CD (instanceRef channel_data_reg_1_3)) + (portRef CD (instanceRef channel_data_reg_19_2)) (portRef CD (instanceRef channel_data_reg_1_2)) (portRef CD (instanceRef channel_data_reg_19_1)) (portRef CD (instanceRef channel_data_reg_1_1)) - (portRef CD (instanceRef channel_data_reg_16_0)) - (portRef CD (instanceRef channel_data_reg_19_2)) (portRef CD (instanceRef channel_data_reg_19_0)) (portRef CD (instanceRef channel_data_reg_1_0)) (portRef CD (instanceRef channel_data_reg_20_31)) + (portRef CD (instanceRef channel_data_reg_20_30)) + (portRef CD (instanceRef channel_data_reg_21_31)) (portRef CD (instanceRef channel_data_reg_2_31)) (portRef CD (instanceRef channel_data_reg_2_30)) - (portRef CD (instanceRef channel_data_reg_20_30)) - (portRef CD (instanceRef channel_data_reg_2_29)) (portRef CD (instanceRef channel_data_reg_20_29)) + (portRef CD (instanceRef channel_data_reg_2_29)) (portRef CD (instanceRef channel_data_reg_20_28)) + (portRef CD (instanceRef channel_data_reg_2_28)) + (portRef CD (instanceRef channel_data_reg_2_27)) (portRef CD (instanceRef channel_data_reg_20_27)) + (portRef CD (instanceRef channel_data_reg_2_26)) (portRef CD (instanceRef channel_data_reg_20_26)) (portRef CD (instanceRef channel_data_reg_20_25)) (portRef CD (instanceRef channel_data_reg_20_24)) @@ -909500,45 +910580,43 @@ (portRef CD (instanceRef channel_data_reg_20_12)) (portRef CD (instanceRef channel_data_reg_20_11)) (portRef CD (instanceRef channel_data_reg_20_10)) - (portRef CD (instanceRef channel_data_reg_20_9)) (portRef CD (instanceRef channel_data_reg_20_8)) + (portRef CD (instanceRef channel_data_reg_20_3)) + (portRef CD (instanceRef channel_data_reg_21_30)) + (portRef CD (instanceRef channel_data_reg_21_25)) + (portRef CD (instanceRef channel_data_reg_2_25)) + (portRef CD (instanceRef channel_data_reg_20_9)) (portRef CD (instanceRef channel_data_reg_20_7)) (portRef CD (instanceRef channel_data_reg_20_6)) (portRef CD (instanceRef channel_data_reg_20_5)) (portRef CD (instanceRef channel_data_reg_20_4)) - (portRef CD (instanceRef channel_data_reg_20_3)) (portRef CD (instanceRef channel_data_reg_20_2)) (portRef CD (instanceRef channel_data_reg_20_1)) (portRef CD (instanceRef channel_data_reg_20_0)) - (portRef CD (instanceRef channel_data_reg_21_31)) - (portRef CD (instanceRef channel_data_reg_21_30)) (portRef CD (instanceRef channel_data_reg_21_29)) (portRef CD (instanceRef channel_data_reg_21_28)) (portRef CD (instanceRef channel_data_reg_21_27)) (portRef CD (instanceRef channel_data_reg_21_26)) - (portRef CD (instanceRef channel_data_reg_21_25)) - (portRef CD (instanceRef channel_data_reg_21_22)) - (portRef CD (instanceRef channel_data_reg_21_20)) - (portRef CD (instanceRef channel_data_reg_21_18)) - (portRef CD (instanceRef channel_data_reg_22_31)) - (portRef CD (instanceRef channel_data_reg_2_26)) (portRef CD (instanceRef channel_data_reg_21_24)) (portRef CD (instanceRef channel_data_reg_21_23)) + (portRef CD (instanceRef channel_data_reg_21_22)) (portRef CD (instanceRef channel_data_reg_21_21)) + (portRef CD (instanceRef channel_data_reg_21_20)) + (portRef CD (instanceRef channel_data_reg_21_19)) + (portRef CD (instanceRef channel_data_reg_21_17)) (portRef CD (instanceRef channel_data_reg_21_16)) (portRef CD (instanceRef channel_data_reg_21_15)) - (portRef CD (instanceRef channel_data_reg_21_14)) (portRef CD (instanceRef channel_data_reg_21_13)) + (portRef CD (instanceRef channel_data_reg_21_12)) + (portRef CD (instanceRef channel_data_reg_21_11)) + (portRef CD (instanceRef channel_data_reg_21_10)) + (portRef CD (instanceRef channel_data_reg_22_31)) (portRef CD (instanceRef channel_data_reg_22_30)) (portRef CD (instanceRef channel_data_reg_22_29)) (portRef CD (instanceRef channel_data_reg_22_28)) - (portRef CD (instanceRef channel_data_reg_22_25)) - (portRef CD (instanceRef channel_data_reg_2_23)) - (portRef CD (instanceRef channel_data_reg_21_19)) - (portRef CD (instanceRef channel_data_reg_21_17)) - (portRef CD (instanceRef channel_data_reg_21_12)) (portRef CD (instanceRef channel_data_reg_22_27)) (portRef CD (instanceRef channel_data_reg_22_26)) + (portRef CD (instanceRef channel_data_reg_22_25)) (portRef CD (instanceRef channel_data_reg_22_24)) (portRef CD (instanceRef channel_data_reg_22_23)) (portRef CD (instanceRef channel_data_reg_22_22)) @@ -909557,33 +910635,30 @@ (portRef CD (instanceRef channel_data_reg_22_9)) (portRef CD (instanceRef channel_data_reg_22_8)) (portRef CD (instanceRef channel_data_reg_22_7)) + (portRef CD (instanceRef channel_data_reg_22_6)) (portRef CD (instanceRef channel_data_reg_22_5)) (portRef CD (instanceRef channel_data_reg_22_4)) - (portRef CD (instanceRef channel_data_reg_22_2)) - (portRef CD (instanceRef channel_data_reg_23_31)) - (portRef CD (instanceRef channel_data_reg_23_30)) - (portRef CD (instanceRef channel_data_reg_2_28)) - (portRef CD (instanceRef channel_data_reg_22_6)) (portRef CD (instanceRef channel_data_reg_22_3)) (portRef CD (instanceRef channel_data_reg_22_1)) (portRef CD (instanceRef channel_data_reg_22_0)) + (portRef CD (instanceRef channel_data_reg_23_31)) + (portRef CD (instanceRef channel_data_reg_23_30)) (portRef CD (instanceRef channel_data_reg_23_29)) (portRef CD (instanceRef channel_data_reg_23_28)) (portRef CD (instanceRef channel_data_reg_23_27)) - (portRef CD (instanceRef channel_data_reg_23_26)) - (portRef CD (instanceRef channel_data_reg_23_25)) (portRef CD (instanceRef channel_data_reg_23_24)) - (portRef CD (instanceRef channel_data_reg_2_24)) - (portRef CD (instanceRef channel_data_reg_21_2)) (portRef CD (instanceRef channel_data_reg_23_23)) - (portRef CD (instanceRef channel_data_reg_23_22)) (portRef CD (instanceRef channel_data_reg_23_21)) - (portRef CD (instanceRef channel_data_reg_23_20)) - (portRef CD (instanceRef channel_data_reg_23_19)) - (portRef CD (instanceRef channel_data_reg_23_18)) - (portRef CD (instanceRef channel_data_reg_23_17)) (portRef CD (instanceRef channel_data_reg_23_16)) - (portRef CD (instanceRef channel_data_reg_23_15)) + (portRef CD (instanceRef channel_data_reg_23_1)) + (portRef CD (instanceRef channel_data_reg_24_30)) + (portRef CD (instanceRef channel_data_reg_2_24)) + (portRef CD (instanceRef channel_data_reg_21_18)) + (portRef CD (instanceRef channel_data_reg_21_14)) + (portRef CD (instanceRef channel_data_reg_23_26)) + (portRef CD (instanceRef channel_data_reg_23_25)) + (portRef CD (instanceRef channel_data_reg_23_22)) + (portRef CD (instanceRef channel_data_reg_23_18)) (portRef CD (instanceRef channel_data_reg_23_14)) (portRef CD (instanceRef channel_data_reg_23_13)) (portRef CD (instanceRef channel_data_reg_23_12)) @@ -909597,10 +910672,8 @@ (portRef CD (instanceRef channel_data_reg_23_4)) (portRef CD (instanceRef channel_data_reg_23_3)) (portRef CD (instanceRef channel_data_reg_23_2)) - (portRef CD (instanceRef channel_data_reg_23_1)) (portRef CD (instanceRef channel_data_reg_23_0)) (portRef CD (instanceRef channel_data_reg_24_31)) - (portRef CD (instanceRef channel_data_reg_24_30)) (portRef CD (instanceRef channel_data_reg_24_29)) (portRef CD (instanceRef channel_data_reg_24_28)) (portRef CD (instanceRef channel_data_reg_24_27)) @@ -909611,6 +910684,7 @@ (portRef CD (instanceRef channel_data_reg_24_22)) (portRef CD (instanceRef channel_data_reg_24_21)) (portRef CD (instanceRef channel_data_reg_24_20)) + (portRef CD (instanceRef channel_data_reg_2_20)) (portRef CD (instanceRef channel_data_reg_24_19)) (portRef CD (instanceRef channel_data_reg_24_18)) (portRef CD (instanceRef channel_data_reg_24_17)) @@ -909619,50 +910693,66 @@ (portRef CD (instanceRef channel_data_reg_24_14)) (portRef CD (instanceRef channel_data_reg_24_13)) (portRef CD (instanceRef channel_data_reg_24_12)) - (portRef CD (instanceRef channel_data_reg_24_10)) - (portRef CD (instanceRef channel_data_reg_24_9)) - (portRef CD (instanceRef channel_data_reg_24_6)) + (portRef CD (instanceRef channel_data_reg_24_11)) (portRef CD (instanceRef channel_data_reg_24_4)) (portRef CD (instanceRef channel_data_reg_24_3)) + (portRef CD (instanceRef channel_data_reg_24_2)) (portRef CD (instanceRef channel_data_reg_24_1)) - (portRef CD (instanceRef channel_data_reg_25_31)) (portRef CD (instanceRef channel_data_reg_25_30)) (portRef CD (instanceRef channel_data_reg_25_29)) (portRef CD (instanceRef channel_data_reg_25_28)) (portRef CD (instanceRef channel_data_reg_25_27)) (portRef CD (instanceRef channel_data_reg_25_26)) (portRef CD (instanceRef channel_data_reg_25_25)) - (portRef CD (instanceRef channel_data_reg_26_28)) - (portRef CD (instanceRef channel_data_reg_2_22)) - (portRef CD (instanceRef channel_data_reg_24_5)) - (portRef CD (instanceRef channel_data_reg_24_2)) (portRef CD (instanceRef channel_data_reg_25_24)) (portRef CD (instanceRef channel_data_reg_25_23)) - (portRef CD (instanceRef channel_data_reg_25_22)) - (portRef CD (instanceRef channel_data_reg_2_21)) - (portRef CD (instanceRef channel_data_reg_25_17)) - (portRef CD (instanceRef channel_data_reg_25_16)) - (portRef CD (instanceRef channel_data_reg_2_15)) - (portRef CD (instanceRef channel_data_reg_24_0)) - (portRef CD (instanceRef channel_data_reg_25_20)) - (portRef CD (instanceRef channel_data_reg_2_20)) - (portRef CD (instanceRef channel_data_reg_24_11)) + (portRef CD (instanceRef channel_data_reg_2_23)) (portRef CD (instanceRef channel_data_reg_25_21)) + (portRef CD (instanceRef channel_data_reg_25_18)) + (portRef CD (instanceRef channel_data_reg_25_9)) + (portRef CD (instanceRef channel_data_reg_26_22)) (portRef CD (instanceRef channel_data_reg_2_19)) + (portRef CD (instanceRef channel_data_reg_24_5)) + (portRef CD (instanceRef channel_data_reg_25_22)) + (portRef CD (instanceRef channel_data_reg_25_14)) + (portRef CD (instanceRef channel_data_reg_25_13)) + (portRef CD (instanceRef channel_data_reg_26_20)) + (portRef CD (instanceRef channel_data_reg_2_18)) + (portRef CD (instanceRef channel_data_reg_25_7)) + (portRef CD (instanceRef channel_data_reg_26_28)) + (portRef CD (instanceRef channel_data_reg_2_22)) + (portRef CD (instanceRef channel_data_reg_21_0)) + (portRef CD (instanceRef channel_data_reg_22_2)) + (portRef CD (instanceRef channel_data_reg_23_19)) + (portRef CD (instanceRef channel_data_reg_23_17)) + (portRef CD (instanceRef channel_data_reg_25_31)) + (portRef CD (instanceRef channel_data_reg_25_6)) + (portRef CD (instanceRef channel_data_reg_26_26)) + (portRef CD (instanceRef channel_data_reg_26_23)) + (portRef CD (instanceRef channel_data_reg_2_21)) + (portRef CD (instanceRef channel_data_reg_21_9)) + (portRef CD (instanceRef channel_data_reg_23_20)) + (portRef CD (instanceRef channel_data_reg_23_15)) + (portRef CD (instanceRef channel_data_reg_25_15)) + (portRef CD (instanceRef channel_data_reg_26_17)) + (portRef CD (instanceRef channel_data_reg_28_29)) + (portRef CD (instanceRef channel_data_reg_2_17)) + (portRef CD (instanceRef channel_data_reg_21_6)) + (portRef CD (instanceRef channel_data_reg_21_1)) + (portRef CD (instanceRef channel_data_reg_24_10)) + (portRef CD (instanceRef channel_data_reg_24_9)) (portRef CD (instanceRef channel_data_reg_24_8)) (portRef CD (instanceRef channel_data_reg_24_7)) + (portRef CD (instanceRef channel_data_reg_24_6)) + (portRef CD (instanceRef channel_data_reg_24_0)) + (portRef CD (instanceRef channel_data_reg_25_20)) (portRef CD (instanceRef channel_data_reg_25_19)) - (portRef CD (instanceRef channel_data_reg_25_18)) - (portRef CD (instanceRef channel_data_reg_25_15)) - (portRef CD (instanceRef channel_data_reg_25_14)) - (portRef CD (instanceRef channel_data_reg_25_13)) + (portRef CD (instanceRef channel_data_reg_25_17)) + (portRef CD (instanceRef channel_data_reg_25_16)) (portRef CD (instanceRef channel_data_reg_25_12)) (portRef CD (instanceRef channel_data_reg_25_11)) (portRef CD (instanceRef channel_data_reg_25_10)) - (portRef CD (instanceRef channel_data_reg_25_9)) (portRef CD (instanceRef channel_data_reg_25_8)) - (portRef CD (instanceRef channel_data_reg_25_7)) - (portRef CD (instanceRef channel_data_reg_25_6)) (portRef CD (instanceRef channel_data_reg_25_5)) (portRef CD (instanceRef channel_data_reg_25_4)) (portRef CD (instanceRef channel_data_reg_25_3)) @@ -909673,16 +910763,11 @@ (portRef CD (instanceRef channel_data_reg_26_30)) (portRef CD (instanceRef channel_data_reg_26_29)) (portRef CD (instanceRef channel_data_reg_26_27)) - (portRef CD (instanceRef channel_data_reg_26_26)) (portRef CD (instanceRef channel_data_reg_26_25)) (portRef CD (instanceRef channel_data_reg_26_24)) - (portRef CD (instanceRef channel_data_reg_26_23)) - (portRef CD (instanceRef channel_data_reg_26_22)) (portRef CD (instanceRef channel_data_reg_26_21)) - (portRef CD (instanceRef channel_data_reg_26_20)) (portRef CD (instanceRef channel_data_reg_26_19)) (portRef CD (instanceRef channel_data_reg_26_18)) - (portRef CD (instanceRef channel_data_reg_26_17)) (portRef CD (instanceRef channel_data_reg_26_16)) (portRef CD (instanceRef channel_data_reg_26_15)) (portRef CD (instanceRef channel_data_reg_26_14)) @@ -909697,231 +910782,222 @@ (portRef CD (instanceRef channel_data_reg_26_5)) (portRef CD (instanceRef channel_data_reg_26_4)) (portRef CD (instanceRef channel_data_reg_26_3)) + (portRef CD (instanceRef channel_data_reg_26_2)) + (portRef CD (instanceRef channel_data_reg_26_1)) (portRef CD (instanceRef channel_data_reg_26_0)) (portRef CD (instanceRef channel_data_reg_27_31)) (portRef CD (instanceRef channel_data_reg_27_30)) (portRef CD (instanceRef channel_data_reg_27_29)) (portRef CD (instanceRef channel_data_reg_27_28)) (portRef CD (instanceRef channel_data_reg_27_27)) - (portRef CD (instanceRef channel_data_reg_27_25)) - (portRef CD (instanceRef channel_data_reg_27_22)) - (portRef CD (instanceRef channel_data_reg_27_18)) - (portRef CD (instanceRef channel_data_reg_2_17)) - (portRef CD (instanceRef channel_data_reg_26_2)) - (portRef CD (instanceRef channel_data_reg_26_1)) (portRef CD (instanceRef channel_data_reg_27_26)) + (portRef CD (instanceRef channel_data_reg_27_25)) (portRef CD (instanceRef channel_data_reg_27_24)) (portRef CD (instanceRef channel_data_reg_27_23)) + (portRef CD (instanceRef channel_data_reg_27_22)) (portRef CD (instanceRef channel_data_reg_27_21)) (portRef CD (instanceRef channel_data_reg_27_20)) (portRef CD (instanceRef channel_data_reg_27_19)) + (portRef CD (instanceRef channel_data_reg_27_18)) (portRef CD (instanceRef channel_data_reg_27_17)) (portRef CD (instanceRef channel_data_reg_27_16)) (portRef CD (instanceRef channel_data_reg_27_15)) (portRef CD (instanceRef channel_data_reg_27_14)) - (portRef CD (instanceRef channel_data_reg_27_10)) - (portRef CD (instanceRef channel_data_reg_27_8)) - (portRef CD (instanceRef channel_data_reg_27_5)) - (portRef CD (instanceRef channel_data_reg_27_4)) - (portRef CD (instanceRef channel_data_reg_28_31)) - (portRef CD (instanceRef channel_data_reg_28_30)) - (portRef CD (instanceRef channel_data_reg_28_28)) - (portRef CD (instanceRef channel_data_reg_2_27)) (portRef CD (instanceRef channel_data_reg_27_13)) (portRef CD (instanceRef channel_data_reg_27_12)) (portRef CD (instanceRef channel_data_reg_27_11)) + (portRef CD (instanceRef channel_data_reg_27_10)) (portRef CD (instanceRef channel_data_reg_27_9)) (portRef CD (instanceRef channel_data_reg_27_7)) (portRef CD (instanceRef channel_data_reg_27_6)) + (portRef CD (instanceRef channel_data_reg_27_5)) + (portRef CD (instanceRef channel_data_reg_27_4)) (portRef CD (instanceRef channel_data_reg_27_2)) - (portRef CD (instanceRef channel_data_reg_28_11)) - (portRef CD (instanceRef channel_data_reg_29_29)) - (portRef CD (instanceRef channel_data_reg_2_25)) (portRef CD (instanceRef channel_data_reg_27_1)) - (portRef CD (instanceRef channel_data_reg_28_29)) + (portRef CD (instanceRef channel_data_reg_27_0)) + (portRef CD (instanceRef channel_data_reg_28_31)) + (portRef CD (instanceRef channel_data_reg_28_30)) + (portRef CD (instanceRef channel_data_reg_28_28)) (portRef CD (instanceRef channel_data_reg_28_27)) + (portRef CD (instanceRef channel_data_reg_28_26)) + (portRef CD (instanceRef channel_data_reg_28_25)) (portRef CD (instanceRef channel_data_reg_28_24)) (portRef CD (instanceRef channel_data_reg_28_23)) (portRef CD (instanceRef channel_data_reg_28_22)) - (portRef CD (instanceRef channel_data_reg_2_18)) - (portRef CD (instanceRef channel_data_reg_21_11)) - (portRef CD (instanceRef channel_data_reg_21_1)) - (portRef CD (instanceRef channel_data_reg_27_3)) - (portRef CD (instanceRef channel_data_reg_28_26)) - (portRef CD (instanceRef channel_data_reg_28_25)) - (portRef CD (instanceRef channel_data_reg_28_21)) - (portRef CD (instanceRef channel_data_reg_28_20)) (portRef CD (instanceRef channel_data_reg_28_19)) (portRef CD (instanceRef channel_data_reg_28_18)) (portRef CD (instanceRef channel_data_reg_28_17)) - (portRef CD (instanceRef channel_data_reg_28_10)) - (portRef CD (instanceRef channel_data_reg_29_28)) - (portRef CD (instanceRef channel_data_reg_29_24)) + (portRef CD (instanceRef channel_data_reg_29_31)) + (portRef CD (instanceRef channel_data_reg_2_13)) + (portRef CD (instanceRef channel_data_reg_27_8)) + (portRef CD (instanceRef channel_data_reg_28_21)) + (portRef CD (instanceRef channel_data_reg_28_16)) (portRef CD (instanceRef channel_data_reg_2_16)) - (portRef CD (instanceRef channel_data_reg_28_15)) - (portRef CD (instanceRef channel_data_reg_2_14)) - (portRef CD (instanceRef channel_data_reg_21_10)) - (portRef CD (instanceRef channel_data_reg_21_9)) + (portRef CD (instanceRef channel_data_reg_27_3)) + (portRef CD (instanceRef channel_data_reg_28_20)) (portRef CD (instanceRef channel_data_reg_28_13)) + (portRef CD (instanceRef channel_data_reg_28_12)) + (portRef CD (instanceRef channel_data_reg_2_12)) + (portRef CD (instanceRef channel_data_reg_21_4)) + (portRef CD (instanceRef channel_data_reg_28_15)) + (portRef CD (instanceRef channel_data_reg_28_11)) + (portRef CD (instanceRef channel_data_reg_28_10)) (portRef CD (instanceRef channel_data_reg_28_9)) - (portRef CD (instanceRef channel_data_reg_28_3)) + (portRef CD (instanceRef channel_data_reg_28_5)) (portRef CD (instanceRef channel_data_reg_28_2)) - (portRef CD (instanceRef channel_data_reg_29_31)) + (portRef CD (instanceRef channel_data_reg_28_0)) (portRef CD (instanceRef channel_data_reg_29_30)) + (portRef CD (instanceRef channel_data_reg_29_28)) (portRef CD (instanceRef channel_data_reg_29_27)) - (portRef CD (instanceRef channel_data_reg_29_26)) + (portRef CD (instanceRef channel_data_reg_29_24)) (portRef CD (instanceRef channel_data_reg_29_18)) - (portRef CD (instanceRef channel_data_reg_29_16)) + (portRef CD (instanceRef channel_data_reg_2_15)) + (portRef CD (instanceRef channel_data_reg_28_1)) + (portRef CD (instanceRef channel_data_reg_29_29)) + (portRef CD (instanceRef channel_data_reg_29_26)) + (portRef CD (instanceRef channel_data_reg_29_17)) (portRef CD (instanceRef channel_data_reg_29_15)) - (portRef CD (instanceRef channel_data_reg_2_12)) - (portRef CD (instanceRef channel_data_reg_28_12)) + (portRef CD (instanceRef channel_data_reg_2_8)) + (portRef CD (instanceRef channel_data_reg_21_8)) (portRef CD (instanceRef channel_data_reg_29_25)) (portRef CD (instanceRef channel_data_reg_29_23)) - (portRef CD (instanceRef channel_data_reg_2_11)) - (portRef CD (instanceRef channel_data_reg_28_8)) - (portRef CD (instanceRef channel_data_reg_29_22)) + (portRef CD (instanceRef channel_data_reg_2_14)) + (portRef CD (instanceRef channel_data_reg_21_7)) + (portRef CD (instanceRef channel_data_reg_28_14)) + (portRef CD (instanceRef channel_data_reg_28_6)) (portRef CD (instanceRef channel_data_reg_29_21)) (portRef CD (instanceRef channel_data_reg_29_20)) (portRef CD (instanceRef channel_data_reg_29_19)) - (portRef CD (instanceRef channel_data_reg_2_13)) - (portRef CD (instanceRef channel_data_reg_2_10)) - (portRef CD (instanceRef channel_data_reg_2_8)) - (portRef CD (instanceRef channel_data_reg_21_8)) - (portRef CD (instanceRef channel_data_reg_29_17)) - (portRef CD (instanceRef channel_data_reg_2_7)) - (portRef CD (instanceRef channel_data_reg_21_7)) - (portRef CD (instanceRef channel_data_reg_21_6)) - (portRef CD (instanceRef channel_data_reg_28_7)) + (portRef CD (instanceRef channel_data_reg_29_16)) + (portRef CD (instanceRef channel_data_reg_2_11)) + (portRef CD (instanceRef channel_data_reg_28_3)) + (portRef CD (instanceRef channel_data_reg_29_22)) (portRef CD (instanceRef channel_data_reg_29_14)) (portRef CD (instanceRef channel_data_reg_29_13)) - (portRef CD (instanceRef channel_data_reg_2_9)) - (portRef CD (instanceRef channel_data_reg_2_5)) - (portRef CD (instanceRef channel_data_reg_21_5)) - (portRef CD (instanceRef channel_data_reg_21_4)) - (portRef CD (instanceRef channel_data_reg_21_3)) (portRef CD (instanceRef channel_data_reg_29_12)) - (portRef CD (instanceRef channel_data_reg_2_2)) - (portRef CD (instanceRef channel_data_reg_21_0)) - (portRef CD (instanceRef channel_data_reg_28_16)) - (portRef CD (instanceRef channel_data_reg_28_14)) - (portRef CD (instanceRef channel_data_reg_28_1)) (portRef CD (instanceRef channel_data_reg_29_11)) + (portRef CD (instanceRef channel_data_reg_2_10)) (portRef CD (instanceRef channel_data_reg_29_10)) + (portRef CD (instanceRef channel_data_reg_2_9)) + (portRef CD (instanceRef channel_data_reg_28_8)) + (portRef CD (instanceRef channel_data_reg_29_8)) + (portRef CD (instanceRef channel_data_reg_2_7)) (portRef CD (instanceRef channel_data_reg_2_6)) - (portRef CD (instanceRef channel_data_reg_28_5)) + (portRef CD (instanceRef channel_data_reg_21_5)) + (portRef CD (instanceRef channel_data_reg_28_7)) + (portRef CD (instanceRef channel_data_reg_28_4)) (portRef CD (instanceRef channel_data_reg_29_9)) - (portRef CD (instanceRef channel_data_reg_2_1)) - (portRef CD (instanceRef channel_data_reg_27_0)) - (portRef CD (instanceRef channel_data_reg_28_6)) - (portRef CD (instanceRef channel_data_reg_29_8)) - (portRef CD (instanceRef channel_data_reg_29_5)) + (portRef CD (instanceRef channel_data_reg_2_5)) + (portRef CD (instanceRef channel_data_reg_2_4)) (portRef CD (instanceRef channel_data_reg_2_3)) - (portRef CD (instanceRef channel_data_reg_28_0)) + (portRef CD (instanceRef channel_data_reg_21_3)) + (portRef CD (instanceRef channel_data_reg_21_2)) (portRef CD (instanceRef channel_data_reg_29_7)) (portRef CD (instanceRef channel_data_reg_29_6)) + (portRef CD (instanceRef channel_data_reg_29_5)) (portRef CD (instanceRef channel_data_reg_29_4)) - (portRef CD (instanceRef channel_data_reg_2_4)) - (portRef CD (instanceRef channel_data_reg_28_4)) (portRef CD (instanceRef channel_data_reg_29_3)) + (portRef CD (instanceRef channel_data_reg_2_2)) (portRef CD (instanceRef channel_data_reg_29_2)) (portRef CD (instanceRef channel_data_reg_29_1)) - (portRef CD (instanceRef channel_data_reg_2_0)) + (portRef CD (instanceRef channel_data_reg_2_1)) (portRef CD (instanceRef channel_data_reg_29_0)) + (portRef CD (instanceRef channel_data_reg_2_0)) (portRef CD (instanceRef channel_data_reg_3_31)) (portRef CD (instanceRef channel_data_reg_30_31)) - (portRef CD (instanceRef channel_data_reg_3_30)) (portRef CD (instanceRef channel_data_reg_30_30)) - (portRef CD (instanceRef channel_data_reg_30_29)) + (portRef CD (instanceRef channel_data_reg_3_30)) (portRef CD (instanceRef channel_data_reg_3_29)) + (portRef CD (instanceRef channel_data_reg_30_29)) (portRef CD (instanceRef channel_data_reg_3_28)) (portRef CD (instanceRef channel_data_reg_30_28)) - (portRef CD (instanceRef channel_data_reg_3_27)) (portRef CD (instanceRef channel_data_reg_30_27)) + (portRef CD (instanceRef channel_data_reg_3_27)) (portRef CD (instanceRef channel_data_reg_30_26)) (portRef CD (instanceRef channel_data_reg_30_25)) (portRef CD (instanceRef channel_data_reg_30_24)) (portRef CD (instanceRef channel_data_reg_30_23)) (portRef CD (instanceRef channel_data_reg_30_22)) (portRef CD (instanceRef channel_data_reg_30_21)) - (portRef CD (instanceRef channel_data_reg_30_19)) - (portRef CD (instanceRef channel_data_reg_31_30)) - (portRef CD (instanceRef channel_data_reg_3_19)) + (portRef CD (instanceRef channel_data_reg_3_21)) + (portRef CD (instanceRef channel_data_reg_30_20)) (portRef CD (instanceRef channel_data_reg_30_18)) - (portRef CD (instanceRef channel_data_reg_30_17)) - (portRef CD (instanceRef channel_data_reg_30_8)) - (portRef CD (instanceRef channel_data_reg_31_31)) - (portRef CD (instanceRef channel_data_reg_31_28)) - (portRef CD (instanceRef channel_data_reg_3_26)) - (portRef CD (instanceRef channel_data_reg_31_25)) - (portRef CD (instanceRef channel_data_reg_3_25)) - (portRef CD (instanceRef channel_data_reg_3_24)) + (portRef CD (instanceRef channel_data_reg_30_14)) + (portRef CD (instanceRef channel_data_reg_30_6)) + (portRef CD (instanceRef channel_data_reg_31_26)) (portRef CD (instanceRef channel_data_reg_3_23)) - (portRef CD (instanceRef channel_data_reg_3_22)) (portRef CD (instanceRef channel_data_reg_3_20)) - (portRef CD (instanceRef channel_data_reg_30_20)) + (portRef CD (instanceRef channel_data_reg_30_19)) + (portRef CD (instanceRef channel_data_reg_30_17)) (portRef CD (instanceRef channel_data_reg_30_16)) - (portRef CD (instanceRef channel_data_reg_30_10)) + (portRef CD (instanceRef channel_data_reg_30_15)) + (portRef CD (instanceRef channel_data_reg_30_13)) + (portRef CD (instanceRef channel_data_reg_31_18)) + (portRef CD (instanceRef channel_data_reg_3_13)) + (portRef CD (instanceRef channel_data_reg_30_11)) (portRef CD (instanceRef channel_data_reg_30_7)) + (portRef CD (instanceRef channel_data_reg_30_5)) + (portRef CD (instanceRef channel_data_reg_30_1)) + (portRef CD (instanceRef channel_data_reg_31_31)) + (portRef CD (instanceRef channel_data_reg_31_30)) (portRef CD (instanceRef channel_data_reg_31_29)) + (portRef CD (instanceRef channel_data_reg_31_25)) + (portRef CD (instanceRef channel_data_reg_3_25)) + (portRef CD (instanceRef channel_data_reg_31_24)) + (portRef CD (instanceRef channel_data_reg_31_19)) + (portRef CD (instanceRef channel_data_reg_3_17)) + (portRef CD (instanceRef channel_data_reg_30_9)) (portRef CD (instanceRef channel_data_reg_31_27)) - (portRef CD (instanceRef channel_data_reg_3_21)) - (portRef CD (instanceRef channel_data_reg_30_15)) - (portRef CD (instanceRef channel_data_reg_31_21)) - (portRef CD (instanceRef channel_data_reg_31_20)) - (portRef CD (instanceRef channel_data_reg_3_14)) - (portRef CD (instanceRef channel_data_reg_30_14)) - (portRef CD (instanceRef channel_data_reg_3_13)) - (portRef CD (instanceRef channel_data_reg_30_13)) + (portRef CD (instanceRef channel_data_reg_3_26)) + (portRef CD (instanceRef channel_data_reg_3_24)) + (portRef CD (instanceRef channel_data_reg_3_15)) (portRef CD (instanceRef channel_data_reg_30_12)) - (portRef CD (instanceRef channel_data_reg_3_9)) - (portRef CD (instanceRef channel_data_reg_30_6)) - (portRef CD (instanceRef channel_data_reg_30_4)) - (portRef CD (instanceRef channel_data_reg_31_23)) + (portRef CD (instanceRef channel_data_reg_30_8)) + (portRef CD (instanceRef channel_data_reg_30_0)) (portRef CD (instanceRef channel_data_reg_31_22)) - (portRef CD (instanceRef channel_data_reg_31_18)) + (portRef CD (instanceRef channel_data_reg_3_22)) (portRef CD (instanceRef channel_data_reg_3_18)) - (portRef CD (instanceRef channel_data_reg_3_17)) - (portRef CD (instanceRef channel_data_reg_30_9)) - (portRef CD (instanceRef channel_data_reg_30_5)) - (portRef CD (instanceRef channel_data_reg_31_19)) + (portRef CD (instanceRef channel_data_reg_30_4)) + (portRef CD (instanceRef channel_data_reg_31_28)) + (portRef CD (instanceRef channel_data_reg_31_23)) + (portRef CD (instanceRef channel_data_reg_31_21)) + (portRef CD (instanceRef channel_data_reg_31_20)) + (portRef CD (instanceRef channel_data_reg_3_19)) + (portRef CD (instanceRef channel_data_reg_31_17)) (portRef CD (instanceRef channel_data_reg_31_16)) (portRef CD (instanceRef channel_data_reg_3_16)) - (portRef CD (instanceRef channel_data_reg_31_15)) - (portRef CD (instanceRef channel_data_reg_3_15)) - (portRef CD (instanceRef channel_data_reg_3_12)) - (portRef CD (instanceRef channel_data_reg_30_3)) - (portRef CD (instanceRef channel_data_reg_31_24)) (portRef CD (instanceRef channel_data_reg_31_14)) - (portRef CD (instanceRef channel_data_reg_31_12)) - (portRef CD (instanceRef channel_data_reg_3_11)) - (portRef CD (instanceRef channel_data_reg_30_11)) - (portRef CD (instanceRef channel_data_reg_31_17)) - (portRef CD (instanceRef channel_data_reg_3_10)) - (portRef CD (instanceRef channel_data_reg_3_8)) - (portRef CD (instanceRef channel_data_reg_30_1)) + (portRef CD (instanceRef channel_data_reg_3_14)) + (portRef CD (instanceRef channel_data_reg_3_12)) + (portRef CD (instanceRef channel_data_reg_30_10)) + (portRef CD (instanceRef channel_data_reg_31_15)) (portRef CD (instanceRef channel_data_reg_31_13)) (portRef CD (instanceRef channel_data_reg_31_11)) - (portRef CD (instanceRef channel_data_reg_31_10)) + (portRef CD (instanceRef channel_data_reg_3_11)) + (portRef CD (instanceRef channel_data_reg_3_10)) (portRef CD (instanceRef channel_data_reg_31_9)) (portRef CD (instanceRef channel_data_reg_31_8)) + (portRef CD (instanceRef channel_data_reg_3_8)) (portRef CD (instanceRef channel_data_reg_3_7)) + (portRef CD (instanceRef channel_data_reg_3_4)) + (portRef CD (instanceRef channel_data_reg_30_3)) + (portRef CD (instanceRef channel_data_reg_31_12)) + (portRef CD (instanceRef channel_data_reg_31_10)) + (portRef CD (instanceRef channel_data_reg_3_9)) (portRef CD (instanceRef channel_data_reg_31_7)) (portRef CD (instanceRef channel_data_reg_31_6)) (portRef CD (instanceRef channel_data_reg_3_6)) - (portRef CD (instanceRef channel_data_reg_31_5)) (portRef CD (instanceRef channel_data_reg_3_5)) - (portRef CD (instanceRef channel_data_reg_31_4)) - (portRef CD (instanceRef channel_data_reg_3_4)) + (portRef CD (instanceRef channel_data_reg_31_3)) (portRef CD (instanceRef channel_data_reg_3_3)) + (portRef CD (instanceRef channel_data_reg_3_2)) (portRef CD (instanceRef channel_data_reg_30_2)) - (portRef CD (instanceRef channel_data_reg_31_26)) + (portRef CD (instanceRef channel_data_reg_31_5)) + (portRef CD (instanceRef channel_data_reg_31_4)) (portRef CD (instanceRef channel_data_reg_31_2)) + (portRef CD (instanceRef channel_data_reg_31_1)) (portRef CD (instanceRef channel_data_reg_3_1)) (portRef CD (instanceRef channel_data_reg_3_0)) - (portRef CD (instanceRef channel_data_reg_30_0)) - (portRef CD (instanceRef channel_data_reg_31_3)) - (portRef CD (instanceRef channel_data_reg_3_2)) - (portRef CD (instanceRef channel_data_reg_31_1)) (portRef CD (instanceRef channel_data_reg_31_0)) (portRef CD (instanceRef channel_data_reg_4_31)) (portRef CD (instanceRef channel_data_reg_4_30)) @@ -910216,28 +911292,29 @@ (portRef PD (instanceRef empty_channels_0)) (portRef PD (instanceRef fifo_nr_5)) (portRef CD (instanceRef fifo_nr_1_4)) - (portRef CD (instanceRef fifo_nr_1_3)) (portRef CD (instanceRef fifo_nr_1_2)) + (portRef CD (instanceRef fifo_nr_1_1)) + (portRef CD (instanceRef fifo_nr_1_0)) (portRef CD (instanceRef fifo_nr_2_4)) + (portRef CD (instanceRef fifo_nr_4)) + (portRef CD (instanceRef fifo_nr_1_3)) (portRef CD (instanceRef fifo_nr_2_3)) (portRef CD (instanceRef fifo_nr_3_4)) - (portRef CD (instanceRef fifo_nr_5_4)) - (portRef CD (instanceRef fifo_nr_next_4)) - (portRef CD (instanceRef fifo_nr_4)) + (portRef CD (instanceRef fifo_nr_3_3)) (portRef CD (instanceRef fifo_nr_4_4)) - (portRef CD (instanceRef fifo_nr_4_3)) + (portRef CD (instanceRef fifo_nr_5_4)) (portRef CD (instanceRef fifo_nr_6_4)) + (portRef CD (instanceRef fifo_nr_7_4)) (portRef PD (instanceRef fifo_nr_next_5)) + (portRef CD (instanceRef fifo_nr_next_4)) (portRef CD (instanceRef fifo_nr_next_3)) (portRef CD (instanceRef fifo_nr_3)) - (portRef CD (instanceRef fifo_nr_3_3)) - (portRef CD (instanceRef fifo_nr_7_4)) - (portRef CD (instanceRef fifo_nr_2)) (portRef CD (instanceRef fifo_nr_next_2)) + (portRef CD (instanceRef fifo_nr_2)) (portRef CD (instanceRef fifo_nr_1)) (portRef CD (instanceRef fifo_nr_next_1)) - (portRef CD (instanceRef fifo_nr_0)) (portRef CD (instanceRef fifo_nr_next_0)) + (portRef CD (instanceRef fifo_nr_0)) (portRef CD (instanceRef fsm_debug_reg_4)) (portRef CD (instanceRef fsm_debug_reg_3)) (portRef CD (instanceRef fsm_debug_reg_2)) @@ -910441,6 +911518,7 @@ (portRef CD (instanceRef spurious_trig_number_1)) (portRef CD (instanceRef spurious_trig_number_0)) (portRef CD (instanceRef start_trg_win_cnt_i)) + (portRef CD (instanceRef stop_status_i)) (portRef CD (instanceRef total_empty_channel_23)) (portRef CD (instanceRef total_empty_channel_22)) (portRef CD (instanceRef total_empty_channel_21)) @@ -910571,6 +911649,7 @@ (portRef CD (instanceRef wr_ch_data_i)) (portRef CD (instanceRef wr_ch_data_reg)) (portRef CD (instanceRef wr_header_i)) + (portRef CD (instanceRef wr_status_i)) (portRef CD (instanceRef wrong_readout_i)) (portRef CD (instanceRef wrong_readout_number_23)) (portRef CD (instanceRef wrong_readout_number_22)) @@ -910604,38 +911683,38 @@ )) (net trg_win_end_i_r3 (joined (portRef Q (instanceRef trg_win_end_i_r3)) - (portRef SP (instanceRef empty_channels_31)) - (portRef SP (instanceRef empty_channels_30)) - (portRef SP (instanceRef empty_channels_29)) - (portRef SP (instanceRef empty_channels_28)) - (portRef SP (instanceRef empty_channels_27)) - (portRef SP (instanceRef empty_channels_26)) - (portRef SP (instanceRef empty_channels_25)) - (portRef SP (instanceRef empty_channels_24)) - (portRef SP (instanceRef empty_channels_23)) - (portRef SP (instanceRef empty_channels_22)) - (portRef SP (instanceRef empty_channels_21)) - (portRef SP (instanceRef empty_channels_20)) - (portRef SP (instanceRef empty_channels_19)) - (portRef SP (instanceRef empty_channels_18)) - (portRef SP (instanceRef empty_channels_17)) - (portRef SP (instanceRef empty_channels_16)) - (portRef SP (instanceRef empty_channels_15)) - (portRef SP (instanceRef empty_channels_14)) - (portRef SP (instanceRef empty_channels_13)) - (portRef SP (instanceRef empty_channels_12)) - (portRef SP (instanceRef empty_channels_11)) - (portRef SP (instanceRef empty_channels_10)) - (portRef SP (instanceRef empty_channels_9)) - (portRef SP (instanceRef empty_channels_8)) - (portRef SP (instanceRef empty_channels_7)) - (portRef SP (instanceRef empty_channels_6)) - (portRef SP (instanceRef empty_channels_5)) - (portRef SP (instanceRef empty_channels_4)) - (portRef SP (instanceRef empty_channels_3)) - (portRef SP (instanceRef empty_channels_2)) - (portRef SP (instanceRef empty_channels_1)) - (portRef SP (instanceRef empty_channels_0)) + (portRef C (instanceRef mask_i_4_0_0_0)) + (portRef C (instanceRef mask_i_4_0_0_1)) + (portRef C (instanceRef mask_i_4_0_0_2)) + (portRef C (instanceRef mask_i_4_0_0_3)) + (portRef C (instanceRef mask_i_4_0_0_4)) + (portRef C (instanceRef mask_i_4_0_0_5)) + (portRef C (instanceRef mask_i_4_0_0_6)) + (portRef C (instanceRef mask_i_4_0_0_7)) + (portRef C (instanceRef mask_i_4_0_0_8)) + (portRef C (instanceRef mask_i_4_0_0_9)) + (portRef C (instanceRef mask_i_4_0_0_11)) + (portRef C (instanceRef mask_i_4_0_0_12)) + (portRef C (instanceRef mask_i_4_0_0_13)) + (portRef C (instanceRef mask_i_4_0_0_14)) + (portRef C (instanceRef mask_i_4_0_0_19)) + (portRef C (instanceRef mask_i_4_0_0_25)) + (portRef C (instanceRef mask_i_4_0_0_26)) + (portRef C (instanceRef mask_i_4_0_0_27)) + (portRef C (instanceRef mask_i_4_0_0_30)) + (portRef C (instanceRef mask_i_4_0_0_10)) + (portRef C (instanceRef mask_i_4_0_0_16)) + (portRef C (instanceRef mask_i_4_0_0_17)) + (portRef C (instanceRef mask_i_4_0_0_18)) + (portRef C (instanceRef mask_i_4_0_0_20)) + (portRef C (instanceRef mask_i_4_0_0_21)) + (portRef C (instanceRef mask_i_4_0_0_22)) + (portRef C (instanceRef mask_i_4_0_0_23)) + (portRef C (instanceRef mask_i_4_0_0_28)) + (portRef C (instanceRef mask_i_4_0_0_29)) + (portRef C (instanceRef mask_i_4_0_0_15)) + (portRef C (instanceRef mask_i_4_0_0_31)) + (portRef C (instanceRef mask_i_4_0_0_24)) )) (net VCC (joined (portRef VCC) @@ -910646,41 +911725,44 @@ (portRef VCC (instanceRef edge_to_pulse_2)) (portRef VCC (instanceRef edge_to_pulse_1)) (portRef VCC (instanceRef GEN_2_ROM)) - (portRef VCC (instanceRef GEN_1_ROM)) - (portRef VCC (instanceRef GEN_0_ROM)) (portRef VCC (instanceRef GEN_3_ROM)) + (portRef VCC (instanceRef GEN_0_ROM)) + (portRef VCC (instanceRef GEN_1_ROM)) + (portRef VCC (instanceRef Valid_timing_trigger_pulse)) + (portRef VCC (instanceRef Valid_timing_trigger_sync)) + (portRef VCC (instanceRef Readout_trigger_mode_sync)) (portRef VCC (instanceRef The_Coarse_Counter)) - (portRef VCC (instanceRef GEN_Channels_16_Channels)) (portRef VCC (instanceRef GEN_Channels_29_Channels)) + (portRef VCC (instanceRef GEN_Channels_14_Channels)) + (portRef VCC (instanceRef GEN_Channels_31_Channels)) (portRef VCC (instanceRef GEN_Channels_24_Channels)) - (portRef VCC (instanceRef GEN_Channels_12_Channels)) - (portRef VCC (instanceRef GEN_Channels_27_Channels)) - (portRef VCC (instanceRef GEN_Channels_22_Channels)) (portRef VCC (instanceRef GEN_Channels_10_Channels)) + (portRef VCC (instanceRef GEN_Channels_22_Channels)) + (portRef VCC (instanceRef GEN_Channels_27_Channels)) + (portRef VCC (instanceRef GEN_Channels_12_Channels)) (portRef VCC (instanceRef GEN_Channels_25_Channels)) + (portRef VCC (instanceRef GEN_Channels_15_Channels)) + (portRef VCC (instanceRef GEN_Channels_30_Channels)) (portRef VCC (instanceRef GEN_Channels_13_Channels)) - (portRef VCC (instanceRef GEN_Channels_28_Channels)) + (portRef VCC (instanceRef GEN_Channels_16_Channels)) (portRef VCC (instanceRef GEN_Channels_23_Channels)) - (portRef VCC (instanceRef GEN_Channels_11_Channels)) + (portRef VCC (instanceRef GEN_Channels_28_Channels)) (portRef VCC (instanceRef GEN_Channels_26_Channels)) - (portRef VCC (instanceRef GEN_Channels_31_Channels)) - (portRef VCC (instanceRef GEN_Channels_14_Channels)) - (portRef VCC (instanceRef GEN_Channels_30_Channels)) - (portRef VCC (instanceRef GEN_Channels_15_Channels)) - (portRef VCC (instanceRef GEN_Channels_7_Channels)) - (portRef VCC (instanceRef GEN_Channels_2_Channels)) + (portRef VCC (instanceRef GEN_Channels_11_Channels)) + (portRef VCC (instanceRef GEN_Channels_21_Channels)) (portRef VCC (instanceRef GEN_Channels_17_Channels)) + (portRef VCC (instanceRef GEN_Channels_2_Channels)) + (portRef VCC (instanceRef GEN_Channels_7_Channels)) (portRef VCC (instanceRef GEN_Channels_5_Channels)) - (portRef VCC (instanceRef GEN_Channels_20_Channels)) - (portRef VCC (instanceRef GEN_Channels_8_Channels)) - (portRef VCC (instanceRef GEN_Channels_3_Channels)) - (portRef VCC (instanceRef GEN_Channels_18_Channels)) - (portRef VCC (instanceRef GEN_Channels_6_Channels)) - (portRef VCC (instanceRef GEN_Channels_1_Channels)) - (portRef VCC (instanceRef GEN_Channels_21_Channels)) (portRef VCC (instanceRef GEN_Channels_4_Channels)) - (portRef VCC (instanceRef GEN_Channels_19_Channels)) (portRef VCC (instanceRef GEN_Channels_9_Channels)) + (portRef VCC (instanceRef GEN_Channels_1_Channels)) + (portRef VCC (instanceRef GEN_Channels_6_Channels)) + (portRef VCC (instanceRef GEN_Channels_18_Channels)) + (portRef VCC (instanceRef GEN_Channels_3_Channels)) + (portRef VCC (instanceRef GEN_Channels_8_Channels)) + (portRef VCC (instanceRef GEN_Channels_20_Channels)) + (portRef VCC (instanceRef GEN_Channels_19_Channels)) (portRef VCC (instanceRef The_Reference_Time)) (portRef D1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) (portRef D0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) @@ -910759,6 +911841,84 @@ (portRef D0 (instanceRef un1_empty_channels_cry_1_0)) (portRef D1 (instanceRef un1_empty_channels_cry_0_0)) (portRef D0 (instanceRef un1_empty_channels_cry_0_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef D1 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef D0 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef D1 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef D0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef D1 (instanceRef un1_idle_i_s_23_0)) + (portRef D0 (instanceRef un1_idle_i_s_23_0)) + (portRef D1 (instanceRef un1_idle_i_cry_21_0)) + (portRef D0 (instanceRef un1_idle_i_cry_21_0)) + (portRef D1 (instanceRef un1_idle_i_cry_19_0)) + (portRef D0 (instanceRef un1_idle_i_cry_19_0)) + (portRef D1 (instanceRef un1_idle_i_cry_17_0)) + (portRef D0 (instanceRef un1_idle_i_cry_17_0)) + (portRef D1 (instanceRef un1_idle_i_cry_15_0)) + (portRef D0 (instanceRef un1_idle_i_cry_15_0)) + (portRef D1 (instanceRef un1_idle_i_cry_13_0)) + (portRef D0 (instanceRef un1_idle_i_cry_13_0)) + (portRef D1 (instanceRef un1_idle_i_cry_11_0)) + (portRef D0 (instanceRef un1_idle_i_cry_11_0)) + (portRef D1 (instanceRef un1_idle_i_cry_9_0)) + (portRef D0 (instanceRef un1_idle_i_cry_9_0)) + (portRef D1 (instanceRef un1_idle_i_cry_7_0)) + (portRef D0 (instanceRef un1_idle_i_cry_7_0)) + (portRef D1 (instanceRef un1_idle_i_cry_5_0)) + (portRef D0 (instanceRef un1_idle_i_cry_5_0)) + (portRef D1 (instanceRef un1_idle_i_cry_3_0)) + (portRef D0 (instanceRef un1_idle_i_cry_3_0)) + (portRef D1 (instanceRef un1_idle_i_cry_1_0)) + (portRef D0 (instanceRef un1_idle_i_cry_1_0)) + (portRef D1 (instanceRef un1_idle_i_cry_0_0)) + (portRef D0 (instanceRef un1_idle_i_cry_0_0)) (portRef D1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) (portRef D0 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) (portRef D1 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) @@ -910823,40 +911983,40 @@ (portRef D0 (instanceRef tw_post_cry_1_0)) (portRef D1 (instanceRef tw_post_cry_0_0)) (portRef D0 (instanceRef tw_post_cry_0_0)) - (portRef D1 (instanceRef un1_i_2_s_31_0)) - (portRef D0 (instanceRef un1_i_2_s_31_0)) - (portRef D1 (instanceRef un1_i_2_cry_29_0)) - (portRef D0 (instanceRef un1_i_2_cry_29_0)) - (portRef D1 (instanceRef un1_i_2_cry_27_0)) - (portRef D0 (instanceRef un1_i_2_cry_27_0)) - (portRef D1 (instanceRef un1_i_2_cry_25_0)) - (portRef D0 (instanceRef un1_i_2_cry_25_0)) - (portRef D1 (instanceRef un1_i_2_cry_23_0)) - (portRef D0 (instanceRef un1_i_2_cry_23_0)) - (portRef D1 (instanceRef un1_i_2_cry_21_0)) - (portRef D0 (instanceRef un1_i_2_cry_21_0)) - (portRef D1 (instanceRef un1_i_2_cry_19_0)) - (portRef D0 (instanceRef un1_i_2_cry_19_0)) - (portRef D1 (instanceRef un1_i_2_cry_17_0)) - (portRef D0 (instanceRef un1_i_2_cry_17_0)) - (portRef D1 (instanceRef un1_i_2_cry_15_0)) - (portRef D0 (instanceRef un1_i_2_cry_15_0)) - (portRef D1 (instanceRef un1_i_2_cry_13_0)) - (portRef D0 (instanceRef un1_i_2_cry_13_0)) - (portRef D1 (instanceRef un1_i_2_cry_11_0)) - (portRef D0 (instanceRef un1_i_2_cry_11_0)) - (portRef D1 (instanceRef un1_i_2_cry_9_0)) - (portRef D0 (instanceRef un1_i_2_cry_9_0)) - (portRef D1 (instanceRef un1_i_2_cry_7_0)) - (portRef D0 (instanceRef un1_i_2_cry_7_0)) - (portRef D1 (instanceRef un1_i_2_cry_5_0)) - (portRef D0 (instanceRef un1_i_2_cry_5_0)) - (portRef D1 (instanceRef un1_i_2_cry_3_0)) - (portRef D0 (instanceRef un1_i_2_cry_3_0)) - (portRef D1 (instanceRef un1_i_2_cry_1_0)) - (portRef D0 (instanceRef un1_i_2_cry_1_0)) - (portRef D1 (instanceRef un1_i_2_cry_0_0)) - (portRef D0 (instanceRef un1_i_2_cry_0_0)) + (portRef D1 (instanceRef un1_i_3_s_31_0)) + (portRef D0 (instanceRef un1_i_3_s_31_0)) + (portRef D1 (instanceRef un1_i_3_cry_29_0)) + (portRef D0 (instanceRef un1_i_3_cry_29_0)) + (portRef D1 (instanceRef un1_i_3_cry_27_0)) + (portRef D0 (instanceRef un1_i_3_cry_27_0)) + (portRef D1 (instanceRef un1_i_3_cry_25_0)) + (portRef D0 (instanceRef un1_i_3_cry_25_0)) + (portRef D1 (instanceRef un1_i_3_cry_23_0)) + (portRef D0 (instanceRef un1_i_3_cry_23_0)) + (portRef D1 (instanceRef un1_i_3_cry_21_0)) + (portRef D0 (instanceRef un1_i_3_cry_21_0)) + (portRef D1 (instanceRef un1_i_3_cry_19_0)) + (portRef D0 (instanceRef un1_i_3_cry_19_0)) + (portRef D1 (instanceRef un1_i_3_cry_17_0)) + (portRef D0 (instanceRef un1_i_3_cry_17_0)) + (portRef D1 (instanceRef un1_i_3_cry_15_0)) + (portRef D0 (instanceRef un1_i_3_cry_15_0)) + (portRef D1 (instanceRef un1_i_3_cry_13_0)) + (portRef D0 (instanceRef un1_i_3_cry_13_0)) + (portRef D1 (instanceRef un1_i_3_cry_11_0)) + (portRef D0 (instanceRef un1_i_3_cry_11_0)) + (portRef D1 (instanceRef un1_i_3_cry_9_0)) + (portRef D0 (instanceRef un1_i_3_cry_9_0)) + (portRef D1 (instanceRef un1_i_3_cry_7_0)) + (portRef D0 (instanceRef un1_i_3_cry_7_0)) + (portRef D1 (instanceRef un1_i_3_cry_5_0)) + (portRef D0 (instanceRef un1_i_3_cry_5_0)) + (portRef D1 (instanceRef un1_i_3_cry_3_0)) + (portRef D0 (instanceRef un1_i_3_cry_3_0)) + (portRef D1 (instanceRef un1_i_3_cry_1_0)) + (portRef D0 (instanceRef un1_i_3_cry_1_0)) + (portRef D1 (instanceRef un1_i_3_cry_0_0)) + (portRef D0 (instanceRef un1_i_3_cry_0_0)) (portRef D1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) (portRef D0 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) (portRef D1 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) @@ -911005,100 +912165,82 @@ (portRef D0 (instanceRef un1_spurious_trg_pulse_cry_1_0)) (portRef D1 (instanceRef un1_spurious_trg_pulse_cry_0_0)) (portRef D0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef D1 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef D0 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef D1 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef D0 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef D1 (instanceRef un1_idle_i_s_23_0)) - (portRef D0 (instanceRef un1_idle_i_s_23_0)) - (portRef D1 (instanceRef un1_idle_i_cry_21_0)) - (portRef D0 (instanceRef un1_idle_i_cry_21_0)) - (portRef D1 (instanceRef un1_idle_i_cry_19_0)) - (portRef D0 (instanceRef un1_idle_i_cry_19_0)) - (portRef D1 (instanceRef un1_idle_i_cry_17_0)) - (portRef D0 (instanceRef un1_idle_i_cry_17_0)) - (portRef D1 (instanceRef un1_idle_i_cry_15_0)) - (portRef D0 (instanceRef un1_idle_i_cry_15_0)) - (portRef D1 (instanceRef un1_idle_i_cry_13_0)) - (portRef D0 (instanceRef un1_idle_i_cry_13_0)) - (portRef D1 (instanceRef un1_idle_i_cry_11_0)) - (portRef D0 (instanceRef un1_idle_i_cry_11_0)) - (portRef D1 (instanceRef un1_idle_i_cry_9_0)) - (portRef D0 (instanceRef un1_idle_i_cry_9_0)) - (portRef D1 (instanceRef un1_idle_i_cry_7_0)) - (portRef D0 (instanceRef un1_idle_i_cry_7_0)) - (portRef D1 (instanceRef un1_idle_i_cry_5_0)) - (portRef D0 (instanceRef un1_idle_i_cry_5_0)) - (portRef D1 (instanceRef un1_idle_i_cry_3_0)) - (portRef D0 (instanceRef un1_idle_i_cry_3_0)) - (portRef D1 (instanceRef un1_idle_i_cry_1_0)) - (portRef D0 (instanceRef un1_idle_i_cry_1_0)) - (portRef D1 (instanceRef un1_idle_i_cry_0_0)) - (portRef D0 (instanceRef un1_idle_i_cry_0_0)) + (portRef D1 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef D0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef D1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef D0 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_0)) )) (net trg_win_end_i_r2 (joined (portRef Q (instanceRef trg_win_end_i_r2)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2)) - (portRef A (instanceRef updt_mask_i_RNID6SI)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_4)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_5)) - (portRef B (instanceRef mask_i_4_0_a2_2_20)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) - (portRef B (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef SP (instanceRef empty_channels_31)) + (portRef SP (instanceRef empty_channels_30)) + (portRef SP (instanceRef empty_channels_29)) + (portRef SP (instanceRef empty_channels_28)) + (portRef SP (instanceRef empty_channels_27)) + (portRef SP (instanceRef empty_channels_26)) + (portRef SP (instanceRef empty_channels_25)) + (portRef SP (instanceRef empty_channels_24)) + (portRef SP (instanceRef empty_channels_23)) + (portRef SP (instanceRef empty_channels_22)) + (portRef SP (instanceRef empty_channels_21)) + (portRef SP (instanceRef empty_channels_20)) + (portRef SP (instanceRef empty_channels_19)) + (portRef SP (instanceRef empty_channels_18)) + (portRef SP (instanceRef empty_channels_17)) + (portRef SP (instanceRef empty_channels_16)) + (portRef SP (instanceRef empty_channels_15)) + (portRef SP (instanceRef empty_channels_14)) + (portRef SP (instanceRef empty_channels_13)) + (portRef SP (instanceRef empty_channels_12)) + (portRef SP (instanceRef empty_channels_11)) + (portRef SP (instanceRef empty_channels_10)) + (portRef SP (instanceRef empty_channels_9)) + (portRef SP (instanceRef empty_channels_8)) + (portRef SP (instanceRef empty_channels_7)) + (portRef SP (instanceRef empty_channels_6)) + (portRef SP (instanceRef empty_channels_5)) + (portRef SP (instanceRef empty_channels_4)) + (portRef SP (instanceRef empty_channels_3)) + (portRef SP (instanceRef empty_channels_2)) + (portRef SP (instanceRef empty_channels_1)) + (portRef SP (instanceRef empty_channels_0)) )) (net trg_win_end_i_r1 (joined (portRef Q (instanceRef trg_win_end_i_r1)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_0)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_3)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_4)) )) @@ -911109,38 +912251,37 @@ (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_8)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_10)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_9)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_17)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_18)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_22)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_21)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_23)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_24)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_26)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_25)) - (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) + (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_30)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_29)) (portRef C (instanceRef Statistics_Empty_Channel_Number_i_RNO_31)) )) - (net reset_tdc_rep2_r20 (joined - (portRef Q (instanceRef reset_tdc_rep2_r20)) - (portRef reset_tdc_rep2_r20 (instanceRef GEN_Channels_31_Channels)) + (net reset_tdc_rep2_r19 (joined + (portRef Q (instanceRef reset_tdc_rep2_r19)) + (portRef reset_tdc_rep2_r19 (instanceRef GEN_Channels_30_Channels)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1) - (portRef reset_i_1_1 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_i_1_1 (instanceRef The_Reference_Time)) + (net reset_i_2 (joined + (portRef reset_i_2) + (portRef reset_i_2 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_i_2 (instanceRef The_Reference_Time)) (portRef D (instanceRef reset_tdc)) - (portRef D (instanceRef reset_tdc_1)) (portRef D (instanceRef reset_tdc_fast)) (portRef D (instanceRef reset_tdc_iso)) (portRef D (instanceRef reset_tdc_rep1)) @@ -911176,43 +912317,46 @@ (portRef D (instanceRef reset_tdc_rep2_r17)) (portRef D (instanceRef reset_tdc_rep2_r18)) (portRef D (instanceRef reset_tdc_rep2_r19)) - (portRef D (instanceRef reset_tdc_rep2_r20)) )) (net CLK_PCLK_LEFT_c (joined (portRef CLK_PCLK_LEFT_c) + (portRef CLK_PCLK_LEFT_c (instanceRef Valid_timing_trigger_pulse)) + (portRef CLK_PCLK_LEFT_c (instanceRef Valid_timing_trigger_sync)) + (portRef CLK_PCLK_LEFT_c (instanceRef Readout_trigger_mode_sync)) (portRef CLK_PCLK_LEFT_c (instanceRef The_Coarse_Counter)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_16_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_29_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_14_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_31_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_24_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_12_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_27_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_22_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_10_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_22_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_27_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_12_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_25_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_15_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_30_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_13_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_28_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_16_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_23_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_11_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_28_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_26_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_31_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_14_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_30_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_15_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_7_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_2_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_11_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_21_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_17_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_2_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_7_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_5_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_20_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_8_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_3_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_18_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_6_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_1_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_21_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_4_Channels)) - (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_19_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_9_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_1_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_6_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_18_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_3_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_8_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_20_Channels)) + (portRef CLK_PCLK_LEFT_c (instanceRef GEN_Channels_19_Channels)) (portRef CLK_PCLK_LEFT_c (instanceRef The_Reference_Time)) + (portRef CK (instanceRef reset_coarse_cnt)) (portRef CK (instanceRef reset_tdc)) (portRef CK (instanceRef reset_tdc_1)) (portRef CK (instanceRef reset_tdc_10)) @@ -911496,23 +912640,18 @@ (portRef CK (instanceRef reset_tdc_rep2_r17)) (portRef CK (instanceRef reset_tdc_rep2_r18)) (portRef CK (instanceRef reset_tdc_rep2_r19)) - (portRef CK (instanceRef reset_tdc_rep2_r20)) - )) - (net reset_tdc_rep2_r19 (joined - (portRef Q (instanceRef reset_tdc_rep2_r19)) - (portRef reset_tdc_rep2_r19 (instanceRef GEN_Channels_30_Channels)) )) (net reset_tdc_rep2_r18 (joined (portRef Q (instanceRef reset_tdc_rep2_r18)) - (portRef reset_tdc_rep2_r18 (instanceRef GEN_Channels_26_Channels)) + (portRef reset_tdc_rep2_r18 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_r17 (joined (portRef Q (instanceRef reset_tdc_rep2_r17)) - (portRef reset_tdc_rep2_r17 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_r17 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_r16 (joined (portRef Q (instanceRef reset_tdc_rep2_r16)) - (portRef reset_tdc_rep2_r16) + (portRef reset_tdc_rep2_r16 (instanceRef GEN_Channels_23_Channels)) )) (net reset_tdc_rep2_r15 (joined (portRef Q (instanceRef reset_tdc_rep2_r15)) @@ -911546,6 +912685,10 @@ (portRef Q (instanceRef reset_tdc_rep2_r8)) (portRef reset_tdc_rep2_r8) )) + (net reset_tdc_rep2_r7 (joined + (portRef Q (instanceRef reset_tdc_rep2_r7)) + (portRef reset_tdc_rep2_r7) + )) (net reset_tdc_rep2_r6 (joined (portRef Q (instanceRef reset_tdc_rep2_r6)) (portRef reset_tdc_rep2_r6) @@ -911572,6 +912715,7 @@ )) (net reset_tdc_rep2_r0 (joined (portRef Q (instanceRef reset_tdc_rep2)) + (portRef reset_tdc_rep2_r0 (instanceRef GEN_Channels_25_Channels)) (portRef A (instanceRef reset_tdc_rep2_RNIGVH8)) (portRef reset_tdc_rep2_r0) )) @@ -911579,8 +912723,8 @@ (portRef Q (instanceRef reset_tdc_fast_36_r33)) (portRef reset_tdc_fast_36_r33 (instanceRef GEN_Channels_24_Channels)) )) - (net reset_i (joined - (portRef reset_i) + (net reset_i_1 (joined + (portRef reset_i_1) (portRef D (instanceRef reset_tdc_fast_1)) (portRef D (instanceRef reset_tdc_fast_10)) (portRef D (instanceRef reset_tdc_fast_11)) @@ -911784,6 +912928,7 @@ (portRef Q (instanceRef reset_tdc_fast_36_r20)) (portRef reset_tdc_fast_36_r20 (instanceRef GEN_Channels_10_Channels)) (portRef reset_tdc_fast_36_r20 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast_36_r20 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_36_r19 (joined (portRef Q (instanceRef reset_tdc_fast_36_r19)) @@ -911792,7 +912937,6 @@ (net reset_tdc_fast_36_r18 (joined (portRef Q (instanceRef reset_tdc_fast_36_r18)) (portRef reset_tdc_fast_36_r18 (instanceRef GEN_Channels_10_Channels)) - (portRef reset_tdc_fast_36_r18 (instanceRef GEN_Channels_8_Channels)) (portRef reset_tdc_fast_36_r18 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_36_r17 (joined @@ -911801,12 +912945,12 @@ )) (net reset_tdc_fast_36_r16 (joined (portRef Q (instanceRef reset_tdc_fast_36_r16)) - (portRef reset_tdc_fast_36_r16 (instanceRef GEN_Channels_8_Channels)) (portRef reset_tdc_fast_36_r16 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_36_r15 (joined (portRef Q (instanceRef reset_tdc_fast_36_r15)) - (portRef reset_tdc_fast_36_r15 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_36_r15 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_36_r15 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_36_r14 (joined (portRef Q (instanceRef reset_tdc_fast_36_r14)) @@ -911815,7 +912959,6 @@ (net reset_tdc_fast_36_r13 (joined (portRef Q (instanceRef reset_tdc_fast_36_r13)) (portRef reset_tdc_fast_36_r13 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_fast_36_r13 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_36_r12 (joined (portRef Q (instanceRef reset_tdc_fast_36_r12)) @@ -911828,13 +912971,13 @@ )) (net reset_tdc_fast_36_r10 (joined (portRef Q (instanceRef reset_tdc_fast_36_r10)) + (portRef reset_tdc_fast_36_r10 (instanceRef GEN_Channels_5_Channels)) + (portRef reset_tdc_fast_36_r10 (instanceRef GEN_Channels_4_Channels)) (portRef reset_tdc_fast_36_r10 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_36_r9 (joined (portRef Q (instanceRef reset_tdc_fast_36_r9)) - (portRef reset_tdc_fast_36_r9 (instanceRef GEN_Channels_2_Channels)) - (portRef reset_tdc_fast_36_r9 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast_36_r9 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_36_r9 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_36_r8 (joined (portRef Q (instanceRef reset_tdc_fast_36_r8)) @@ -911842,8 +912985,8 @@ )) (net reset_tdc_fast_36_r7 (joined (portRef Q (instanceRef reset_tdc_fast_36_r7)) - (portRef reset_tdc_fast_36_r7 (instanceRef GEN_Channels_3_Channels)) (portRef reset_tdc_fast_36_r7 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_36_r7 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_36_r6 (joined (portRef Q (instanceRef reset_tdc_fast_36_r6)) @@ -911861,10 +913004,11 @@ (net reset_tdc_fast_36_r3 (joined (portRef Q (instanceRef reset_tdc_fast_36_r3)) (portRef reset_tdc_fast_36_r3 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_36_r3 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_36_r2 (joined (portRef Q (instanceRef reset_tdc_fast_36_r2)) - (portRef reset_tdc_fast_36_r2 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_36_r2 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_36_r1 (joined (portRef Q (instanceRef reset_tdc_fast_36_r1)) @@ -911878,9 +913022,9 @@ (net reset_tdc_fast_37_r20 (joined (portRef Q (instanceRef reset_tdc_fast_37_r20)) (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_29_Channels)) - (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_11_Channels)) (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_fast_37_r20 (instanceRef GEN_Channels_11_Channels)) (portRef reset_tdc_fast_37_r20 (instanceRef The_Reference_Time)) )) (net reset_tdc_fast_37_r19 (joined @@ -911927,12 +913071,12 @@ )) (net reset_tdc_fast_37_r9 (joined (portRef Q (instanceRef reset_tdc_fast_37_r9)) - (portRef reset_tdc_fast_37_r9 (instanceRef GEN_Channels_8_Channels)) (portRef reset_tdc_fast_37_r9 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_37_r8 (joined (portRef Q (instanceRef reset_tdc_fast_37_r8)) (portRef reset_tdc_fast_37_r8 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_37_r8 (instanceRef GEN_Channels_6_Channels)) (portRef reset_tdc_fast_37_r8 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_37_r7 (joined @@ -911942,25 +913086,30 @@ )) (net reset_tdc_fast_37_r6 (joined (portRef Q (instanceRef reset_tdc_fast_37_r6)) + (portRef reset_tdc_fast_37_r6 (instanceRef GEN_Channels_5_Channels)) (portRef reset_tdc_fast_37_r6 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_37_r5 (joined (portRef Q (instanceRef reset_tdc_fast_37_r5)) (portRef reset_tdc_fast_37_r5 (instanceRef GEN_Channels_5_Channels)) + (portRef reset_tdc_fast_37_r5 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_37_r5 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_37_r4 (joined (portRef Q (instanceRef reset_tdc_fast_37_r4)) (portRef reset_tdc_fast_37_r4 (instanceRef GEN_Channels_2_Channels)) - (portRef reset_tdc_fast_37_r4 (instanceRef GEN_Channels_5_Channels)) (portRef reset_tdc_fast_37_r4 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_37_r4 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_37_r3 (joined (portRef Q (instanceRef reset_tdc_fast_37_r3)) + (portRef reset_tdc_fast_37_r3 (instanceRef GEN_Channels_2_Channels)) (portRef reset_tdc_fast_37_r3 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_37_r2 (joined (portRef Q (instanceRef reset_tdc_fast_37_r2)) (portRef reset_tdc_fast_37_r2 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_37_r2 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_37_r1 (joined (portRef Q (instanceRef reset_tdc_fast_37_r1)) @@ -911974,8 +913123,8 @@ (portRef reset_tdc_fast_37_r0 (instanceRef GEN_Channels_26_Channels)) (portRef reset_tdc_fast_37_r0 (instanceRef GEN_Channels_1_Channels)) )) - (net un1_i_2_s_31_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_s_31_0)) + (net un1_i_3_s_31_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_s_31_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_31)) )) (net GND (joined @@ -911987,41 +913136,42 @@ (portRef GND (instanceRef edge_to_pulse_2)) (portRef GND (instanceRef edge_to_pulse_1)) (portRef GND (instanceRef GEN_2_ROM)) - (portRef GND (instanceRef GEN_1_ROM)) - (portRef GND (instanceRef GEN_0_ROM)) (portRef GND (instanceRef GEN_3_ROM)) + (portRef GND (instanceRef GEN_0_ROM)) + (portRef GND (instanceRef GEN_1_ROM)) + (portRef GND (instanceRef Valid_timing_trigger_pulse)) (portRef GND (instanceRef The_Coarse_Counter)) - (portRef GND (instanceRef GEN_Channels_16_Channels)) (portRef GND (instanceRef GEN_Channels_29_Channels)) + (portRef GND (instanceRef GEN_Channels_14_Channels)) + (portRef GND (instanceRef GEN_Channels_31_Channels)) (portRef GND (instanceRef GEN_Channels_24_Channels)) - (portRef GND (instanceRef GEN_Channels_12_Channels)) - (portRef GND (instanceRef GEN_Channels_27_Channels)) - (portRef GND (instanceRef GEN_Channels_22_Channels)) (portRef GND (instanceRef GEN_Channels_10_Channels)) + (portRef GND (instanceRef GEN_Channels_22_Channels)) + (portRef GND (instanceRef GEN_Channels_27_Channels)) + (portRef GND (instanceRef GEN_Channels_12_Channels)) (portRef GND (instanceRef GEN_Channels_25_Channels)) + (portRef GND (instanceRef GEN_Channels_15_Channels)) + (portRef GND (instanceRef GEN_Channels_30_Channels)) (portRef GND (instanceRef GEN_Channels_13_Channels)) - (portRef GND (instanceRef GEN_Channels_28_Channels)) + (portRef GND (instanceRef GEN_Channels_16_Channels)) (portRef GND (instanceRef GEN_Channels_23_Channels)) - (portRef GND (instanceRef GEN_Channels_11_Channels)) + (portRef GND (instanceRef GEN_Channels_28_Channels)) (portRef GND (instanceRef GEN_Channels_26_Channels)) - (portRef GND (instanceRef GEN_Channels_31_Channels)) - (portRef GND (instanceRef GEN_Channels_14_Channels)) - (portRef GND (instanceRef GEN_Channels_30_Channels)) - (portRef GND (instanceRef GEN_Channels_15_Channels)) - (portRef GND (instanceRef GEN_Channels_7_Channels)) - (portRef GND (instanceRef GEN_Channels_2_Channels)) + (portRef GND (instanceRef GEN_Channels_11_Channels)) + (portRef GND (instanceRef GEN_Channels_21_Channels)) (portRef GND (instanceRef GEN_Channels_17_Channels)) + (portRef GND (instanceRef GEN_Channels_2_Channels)) + (portRef GND (instanceRef GEN_Channels_7_Channels)) (portRef GND (instanceRef GEN_Channels_5_Channels)) - (portRef GND (instanceRef GEN_Channels_20_Channels)) - (portRef GND (instanceRef GEN_Channels_8_Channels)) - (portRef GND (instanceRef GEN_Channels_3_Channels)) - (portRef GND (instanceRef GEN_Channels_18_Channels)) - (portRef GND (instanceRef GEN_Channels_6_Channels)) - (portRef GND (instanceRef GEN_Channels_1_Channels)) - (portRef GND (instanceRef GEN_Channels_21_Channels)) (portRef GND (instanceRef GEN_Channels_4_Channels)) - (portRef GND (instanceRef GEN_Channels_19_Channels)) (portRef GND (instanceRef GEN_Channels_9_Channels)) + (portRef GND (instanceRef GEN_Channels_1_Channels)) + (portRef GND (instanceRef GEN_Channels_6_Channels)) + (portRef GND (instanceRef GEN_Channels_18_Channels)) + (portRef GND (instanceRef GEN_Channels_3_Channels)) + (portRef GND (instanceRef GEN_Channels_8_Channels)) + (portRef GND (instanceRef GEN_Channels_20_Channels)) + (portRef GND (instanceRef GEN_Channels_19_Channels)) (portRef GND (instanceRef The_Reference_Time)) (portRef C1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) (portRef B1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_21_0)) @@ -912146,6 +913296,168 @@ (portRef B1 (instanceRef un1_empty_channels_cry_0_0)) (portRef C0 (instanceRef un1_empty_channels_cry_0_0)) (portRef A0 (instanceRef un1_empty_channels_cry_0_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef A1 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef B0 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef C1 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef B1 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef C0 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef A1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef C1 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef B1 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef C0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef C1 (instanceRef un1_idle_i_s_23_0)) + (portRef B1 (instanceRef un1_idle_i_s_23_0)) + (portRef A1 (instanceRef un1_idle_i_s_23_0)) + (portRef C0 (instanceRef un1_idle_i_s_23_0)) + (portRef B0 (instanceRef un1_idle_i_s_23_0)) + (portRef C1 (instanceRef un1_idle_i_cry_21_0)) + (portRef B1 (instanceRef un1_idle_i_cry_21_0)) + (portRef C0 (instanceRef un1_idle_i_cry_21_0)) + (portRef B0 (instanceRef un1_idle_i_cry_21_0)) + (portRef C1 (instanceRef un1_idle_i_cry_19_0)) + (portRef B1 (instanceRef un1_idle_i_cry_19_0)) + (portRef C0 (instanceRef un1_idle_i_cry_19_0)) + (portRef B0 (instanceRef un1_idle_i_cry_19_0)) + (portRef C1 (instanceRef un1_idle_i_cry_17_0)) + (portRef B1 (instanceRef un1_idle_i_cry_17_0)) + (portRef C0 (instanceRef un1_idle_i_cry_17_0)) + (portRef B0 (instanceRef un1_idle_i_cry_17_0)) + (portRef C1 (instanceRef un1_idle_i_cry_15_0)) + (portRef B1 (instanceRef un1_idle_i_cry_15_0)) + (portRef C0 (instanceRef un1_idle_i_cry_15_0)) + (portRef B0 (instanceRef un1_idle_i_cry_15_0)) + (portRef C1 (instanceRef un1_idle_i_cry_13_0)) + (portRef B1 (instanceRef un1_idle_i_cry_13_0)) + (portRef C0 (instanceRef un1_idle_i_cry_13_0)) + (portRef B0 (instanceRef un1_idle_i_cry_13_0)) + (portRef C1 (instanceRef un1_idle_i_cry_11_0)) + (portRef B1 (instanceRef un1_idle_i_cry_11_0)) + (portRef C0 (instanceRef un1_idle_i_cry_11_0)) + (portRef B0 (instanceRef un1_idle_i_cry_11_0)) + (portRef C1 (instanceRef un1_idle_i_cry_9_0)) + (portRef B1 (instanceRef un1_idle_i_cry_9_0)) + (portRef C0 (instanceRef un1_idle_i_cry_9_0)) + (portRef B0 (instanceRef un1_idle_i_cry_9_0)) + (portRef C1 (instanceRef un1_idle_i_cry_7_0)) + (portRef B1 (instanceRef un1_idle_i_cry_7_0)) + (portRef C0 (instanceRef un1_idle_i_cry_7_0)) + (portRef B0 (instanceRef un1_idle_i_cry_7_0)) + (portRef C1 (instanceRef un1_idle_i_cry_5_0)) + (portRef B1 (instanceRef un1_idle_i_cry_5_0)) + (portRef C0 (instanceRef un1_idle_i_cry_5_0)) + (portRef B0 (instanceRef un1_idle_i_cry_5_0)) + (portRef C1 (instanceRef un1_idle_i_cry_3_0)) + (portRef B1 (instanceRef un1_idle_i_cry_3_0)) + (portRef C0 (instanceRef un1_idle_i_cry_3_0)) + (portRef B0 (instanceRef un1_idle_i_cry_3_0)) + (portRef C1 (instanceRef un1_idle_i_cry_1_0)) + (portRef B1 (instanceRef un1_idle_i_cry_1_0)) + (portRef C0 (instanceRef un1_idle_i_cry_1_0)) + (portRef B0 (instanceRef un1_idle_i_cry_1_0)) + (portRef CIN (instanceRef un1_idle_i_cry_0_0)) + (portRef C1 (instanceRef un1_idle_i_cry_0_0)) + (portRef B1 (instanceRef un1_idle_i_cry_0_0)) + (portRef C0 (instanceRef un1_idle_i_cry_0_0)) + (portRef A0 (instanceRef un1_idle_i_cry_0_0)) (portRef C1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) (portRef B1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) @@ -912269,76 +913581,76 @@ (portRef C0 (instanceRef tw_post_cry_0_0)) (portRef B0 (instanceRef tw_post_cry_0_0)) (portRef A0 (instanceRef tw_post_cry_0_0)) - (portRef C1 (instanceRef un1_i_2_s_31_0)) - (portRef B1 (instanceRef un1_i_2_s_31_0)) - (portRef A1 (instanceRef un1_i_2_s_31_0)) - (portRef C0 (instanceRef un1_i_2_s_31_0)) - (portRef B0 (instanceRef un1_i_2_s_31_0)) - (portRef C1 (instanceRef un1_i_2_cry_29_0)) - (portRef B1 (instanceRef un1_i_2_cry_29_0)) - (portRef C0 (instanceRef un1_i_2_cry_29_0)) - (portRef B0 (instanceRef un1_i_2_cry_29_0)) - (portRef C1 (instanceRef un1_i_2_cry_27_0)) - (portRef B1 (instanceRef un1_i_2_cry_27_0)) - (portRef C0 (instanceRef un1_i_2_cry_27_0)) - (portRef B0 (instanceRef un1_i_2_cry_27_0)) - (portRef C1 (instanceRef un1_i_2_cry_25_0)) - (portRef B1 (instanceRef un1_i_2_cry_25_0)) - (portRef C0 (instanceRef un1_i_2_cry_25_0)) - (portRef B0 (instanceRef un1_i_2_cry_25_0)) - (portRef C1 (instanceRef un1_i_2_cry_23_0)) - (portRef B1 (instanceRef un1_i_2_cry_23_0)) - (portRef C0 (instanceRef un1_i_2_cry_23_0)) - (portRef B0 (instanceRef un1_i_2_cry_23_0)) - (portRef C1 (instanceRef un1_i_2_cry_21_0)) - (portRef B1 (instanceRef un1_i_2_cry_21_0)) - (portRef C0 (instanceRef un1_i_2_cry_21_0)) - (portRef B0 (instanceRef un1_i_2_cry_21_0)) - (portRef C1 (instanceRef un1_i_2_cry_19_0)) - (portRef B1 (instanceRef un1_i_2_cry_19_0)) - (portRef C0 (instanceRef un1_i_2_cry_19_0)) - (portRef B0 (instanceRef un1_i_2_cry_19_0)) - (portRef C1 (instanceRef un1_i_2_cry_17_0)) - (portRef B1 (instanceRef un1_i_2_cry_17_0)) - (portRef C0 (instanceRef un1_i_2_cry_17_0)) - (portRef B0 (instanceRef un1_i_2_cry_17_0)) - (portRef C1 (instanceRef un1_i_2_cry_15_0)) - (portRef B1 (instanceRef un1_i_2_cry_15_0)) - (portRef C0 (instanceRef un1_i_2_cry_15_0)) - (portRef B0 (instanceRef un1_i_2_cry_15_0)) - (portRef C1 (instanceRef un1_i_2_cry_13_0)) - (portRef B1 (instanceRef un1_i_2_cry_13_0)) - (portRef C0 (instanceRef un1_i_2_cry_13_0)) - (portRef B0 (instanceRef un1_i_2_cry_13_0)) - (portRef C1 (instanceRef un1_i_2_cry_11_0)) - (portRef B1 (instanceRef un1_i_2_cry_11_0)) - (portRef C0 (instanceRef un1_i_2_cry_11_0)) - (portRef B0 (instanceRef un1_i_2_cry_11_0)) - (portRef C1 (instanceRef un1_i_2_cry_9_0)) - (portRef B1 (instanceRef un1_i_2_cry_9_0)) - (portRef C0 (instanceRef un1_i_2_cry_9_0)) - (portRef B0 (instanceRef un1_i_2_cry_9_0)) - (portRef C1 (instanceRef un1_i_2_cry_7_0)) - (portRef B1 (instanceRef un1_i_2_cry_7_0)) - (portRef C0 (instanceRef un1_i_2_cry_7_0)) - (portRef B0 (instanceRef un1_i_2_cry_7_0)) - (portRef C1 (instanceRef un1_i_2_cry_5_0)) - (portRef B1 (instanceRef un1_i_2_cry_5_0)) - (portRef C0 (instanceRef un1_i_2_cry_5_0)) - (portRef B0 (instanceRef un1_i_2_cry_5_0)) - (portRef C1 (instanceRef un1_i_2_cry_3_0)) - (portRef B1 (instanceRef un1_i_2_cry_3_0)) - (portRef C0 (instanceRef un1_i_2_cry_3_0)) - (portRef B0 (instanceRef un1_i_2_cry_3_0)) - (portRef C1 (instanceRef un1_i_2_cry_1_0)) - (portRef B1 (instanceRef un1_i_2_cry_1_0)) - (portRef C0 (instanceRef un1_i_2_cry_1_0)) - (portRef B0 (instanceRef un1_i_2_cry_1_0)) - (portRef CIN (instanceRef un1_i_2_cry_0_0)) - (portRef C1 (instanceRef un1_i_2_cry_0_0)) - (portRef B1 (instanceRef un1_i_2_cry_0_0)) - (portRef C0 (instanceRef un1_i_2_cry_0_0)) - (portRef A0 (instanceRef un1_i_2_cry_0_0)) + (portRef C1 (instanceRef un1_i_3_s_31_0)) + (portRef B1 (instanceRef un1_i_3_s_31_0)) + (portRef A1 (instanceRef un1_i_3_s_31_0)) + (portRef C0 (instanceRef un1_i_3_s_31_0)) + (portRef B0 (instanceRef un1_i_3_s_31_0)) + (portRef C1 (instanceRef un1_i_3_cry_29_0)) + (portRef B1 (instanceRef un1_i_3_cry_29_0)) + (portRef C0 (instanceRef un1_i_3_cry_29_0)) + (portRef B0 (instanceRef un1_i_3_cry_29_0)) + (portRef C1 (instanceRef un1_i_3_cry_27_0)) + (portRef B1 (instanceRef un1_i_3_cry_27_0)) + (portRef C0 (instanceRef un1_i_3_cry_27_0)) + (portRef B0 (instanceRef un1_i_3_cry_27_0)) + (portRef C1 (instanceRef un1_i_3_cry_25_0)) + (portRef B1 (instanceRef un1_i_3_cry_25_0)) + (portRef C0 (instanceRef un1_i_3_cry_25_0)) + (portRef B0 (instanceRef un1_i_3_cry_25_0)) + (portRef C1 (instanceRef un1_i_3_cry_23_0)) + (portRef B1 (instanceRef un1_i_3_cry_23_0)) + (portRef C0 (instanceRef un1_i_3_cry_23_0)) + (portRef B0 (instanceRef un1_i_3_cry_23_0)) + (portRef C1 (instanceRef un1_i_3_cry_21_0)) + (portRef B1 (instanceRef un1_i_3_cry_21_0)) + (portRef C0 (instanceRef un1_i_3_cry_21_0)) + (portRef B0 (instanceRef un1_i_3_cry_21_0)) + (portRef C1 (instanceRef un1_i_3_cry_19_0)) + (portRef B1 (instanceRef un1_i_3_cry_19_0)) + (portRef C0 (instanceRef un1_i_3_cry_19_0)) + (portRef B0 (instanceRef un1_i_3_cry_19_0)) + (portRef C1 (instanceRef un1_i_3_cry_17_0)) + (portRef B1 (instanceRef un1_i_3_cry_17_0)) + (portRef C0 (instanceRef un1_i_3_cry_17_0)) + (portRef B0 (instanceRef un1_i_3_cry_17_0)) + (portRef C1 (instanceRef un1_i_3_cry_15_0)) + (portRef B1 (instanceRef un1_i_3_cry_15_0)) + (portRef C0 (instanceRef un1_i_3_cry_15_0)) + (portRef B0 (instanceRef un1_i_3_cry_15_0)) + (portRef C1 (instanceRef un1_i_3_cry_13_0)) + (portRef B1 (instanceRef un1_i_3_cry_13_0)) + (portRef C0 (instanceRef un1_i_3_cry_13_0)) + (portRef B0 (instanceRef un1_i_3_cry_13_0)) + (portRef C1 (instanceRef un1_i_3_cry_11_0)) + (portRef B1 (instanceRef un1_i_3_cry_11_0)) + (portRef C0 (instanceRef un1_i_3_cry_11_0)) + (portRef B0 (instanceRef un1_i_3_cry_11_0)) + (portRef C1 (instanceRef un1_i_3_cry_9_0)) + (portRef B1 (instanceRef un1_i_3_cry_9_0)) + (portRef C0 (instanceRef un1_i_3_cry_9_0)) + (portRef B0 (instanceRef un1_i_3_cry_9_0)) + (portRef C1 (instanceRef un1_i_3_cry_7_0)) + (portRef B1 (instanceRef un1_i_3_cry_7_0)) + (portRef C0 (instanceRef un1_i_3_cry_7_0)) + (portRef B0 (instanceRef un1_i_3_cry_7_0)) + (portRef C1 (instanceRef un1_i_3_cry_5_0)) + (portRef B1 (instanceRef un1_i_3_cry_5_0)) + (portRef C0 (instanceRef un1_i_3_cry_5_0)) + (portRef B0 (instanceRef un1_i_3_cry_5_0)) + (portRef C1 (instanceRef un1_i_3_cry_3_0)) + (portRef B1 (instanceRef un1_i_3_cry_3_0)) + (portRef C0 (instanceRef un1_i_3_cry_3_0)) + (portRef B0 (instanceRef un1_i_3_cry_3_0)) + (portRef C1 (instanceRef un1_i_3_cry_1_0)) + (portRef B1 (instanceRef un1_i_3_cry_1_0)) + (portRef C0 (instanceRef un1_i_3_cry_1_0)) + (portRef B0 (instanceRef un1_i_3_cry_1_0)) + (portRef CIN (instanceRef un1_i_3_cry_0_0)) + (portRef C1 (instanceRef un1_i_3_cry_0_0)) + (portRef B1 (instanceRef un1_i_3_cry_0_0)) + (portRef C0 (instanceRef un1_i_3_cry_0_0)) + (portRef A0 (instanceRef un1_i_3_cry_0_0)) (portRef C1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) (portRef B1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) (portRef A1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) @@ -912647,175 +913959,83 @@ (portRef B1 (instanceRef un1_spurious_trg_pulse_cry_0_0)) (portRef C0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef A1 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef B0 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef C1 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef B1 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef C0 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef A1 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef C1 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef B1 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef C0 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef C1 (instanceRef un1_idle_i_s_23_0)) - (portRef B1 (instanceRef un1_idle_i_s_23_0)) - (portRef A1 (instanceRef un1_idle_i_s_23_0)) - (portRef C0 (instanceRef un1_idle_i_s_23_0)) - (portRef B0 (instanceRef un1_idle_i_s_23_0)) - (portRef C1 (instanceRef un1_idle_i_cry_21_0)) - (portRef B1 (instanceRef un1_idle_i_cry_21_0)) - (portRef C0 (instanceRef un1_idle_i_cry_21_0)) - (portRef B0 (instanceRef un1_idle_i_cry_21_0)) - (portRef C1 (instanceRef un1_idle_i_cry_19_0)) - (portRef B1 (instanceRef un1_idle_i_cry_19_0)) - (portRef C0 (instanceRef un1_idle_i_cry_19_0)) - (portRef B0 (instanceRef un1_idle_i_cry_19_0)) - (portRef C1 (instanceRef un1_idle_i_cry_17_0)) - (portRef B1 (instanceRef un1_idle_i_cry_17_0)) - (portRef C0 (instanceRef un1_idle_i_cry_17_0)) - (portRef B0 (instanceRef un1_idle_i_cry_17_0)) - (portRef C1 (instanceRef un1_idle_i_cry_15_0)) - (portRef B1 (instanceRef un1_idle_i_cry_15_0)) - (portRef C0 (instanceRef un1_idle_i_cry_15_0)) - (portRef B0 (instanceRef un1_idle_i_cry_15_0)) - (portRef C1 (instanceRef un1_idle_i_cry_13_0)) - (portRef B1 (instanceRef un1_idle_i_cry_13_0)) - (portRef C0 (instanceRef un1_idle_i_cry_13_0)) - (portRef B0 (instanceRef un1_idle_i_cry_13_0)) - (portRef C1 (instanceRef un1_idle_i_cry_11_0)) - (portRef B1 (instanceRef un1_idle_i_cry_11_0)) - (portRef C0 (instanceRef un1_idle_i_cry_11_0)) - (portRef B0 (instanceRef un1_idle_i_cry_11_0)) - (portRef C1 (instanceRef un1_idle_i_cry_9_0)) - (portRef B1 (instanceRef un1_idle_i_cry_9_0)) - (portRef C0 (instanceRef un1_idle_i_cry_9_0)) - (portRef B0 (instanceRef un1_idle_i_cry_9_0)) - (portRef C1 (instanceRef un1_idle_i_cry_7_0)) - (portRef B1 (instanceRef un1_idle_i_cry_7_0)) - (portRef C0 (instanceRef un1_idle_i_cry_7_0)) - (portRef B0 (instanceRef un1_idle_i_cry_7_0)) - (portRef C1 (instanceRef un1_idle_i_cry_5_0)) - (portRef B1 (instanceRef un1_idle_i_cry_5_0)) - (portRef C0 (instanceRef un1_idle_i_cry_5_0)) - (portRef B0 (instanceRef un1_idle_i_cry_5_0)) - (portRef C1 (instanceRef un1_idle_i_cry_3_0)) - (portRef B1 (instanceRef un1_idle_i_cry_3_0)) - (portRef C0 (instanceRef un1_idle_i_cry_3_0)) - (portRef B0 (instanceRef un1_idle_i_cry_3_0)) - (portRef C1 (instanceRef un1_idle_i_cry_1_0)) - (portRef B1 (instanceRef un1_idle_i_cry_1_0)) - (portRef C0 (instanceRef un1_idle_i_cry_1_0)) - (portRef B0 (instanceRef un1_idle_i_cry_1_0)) - (portRef CIN (instanceRef un1_idle_i_cry_0_0)) - (portRef C1 (instanceRef un1_idle_i_cry_0_0)) - (portRef B1 (instanceRef un1_idle_i_cry_0_0)) - (portRef C0 (instanceRef un1_idle_i_cry_0_0)) - (portRef A0 (instanceRef un1_idle_i_cry_0_0)) + (portRef C1 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef B1 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef A1 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef C0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef B0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef B0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef C1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef B1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef C0 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_0)) )) (net id_0_31 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_31)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_31)) )) - (net un1_i_2_cry_29_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_29_0)) + (net un1_i_3_cry_29_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_29_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_29)) )) (net reset_i_fast_r0 (joined @@ -912825,24 +914045,24 @@ (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_8)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_10)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_9)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_17)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_18)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_22)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_21)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_23)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_24)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_26)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_25)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_30)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_29)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_31)) @@ -912851,215 +914071,215 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_29)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_29)) )) - (net un1_i_2_cry_29_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_29_0)) + (net un1_i_3_cry_29_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_29_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_30)) )) (net id_0_30 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_30)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_30)) )) - (net un1_i_2_cry_27_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_27_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) - )) - (net id_0_28 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_28)) - )) - (net un1_i_2_cry_27_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_27_0)) + (net un1_i_3_cry_27_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_27_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) )) (net id_0_27 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_27)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_27)) )) - (net un1_i_2_cry_25_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_25_0)) + (net un1_i_3_cry_27_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_27_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) + )) + (net id_0_28 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_28)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_28)) + )) + (net un1_i_3_cry_25_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_25_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_25)) )) (net id_0_25 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_25)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_25)) )) - (net un1_i_2_cry_25_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_25_0)) + (net un1_i_3_cry_25_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_25_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_26)) )) (net id_0_26 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_26)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_26)) )) - (net un1_i_2_cry_23_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_23_0)) + (net un1_i_3_cry_23_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_23_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_24)) )) (net id_0_24 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_24)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_24)) )) - (net un1_i_2_cry_23_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_23_0)) + (net un1_i_3_cry_23_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_23_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_23)) )) (net id_0_23 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_23)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_23)) )) - (net un1_i_2_cry_21_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_21_0)) + (net un1_i_3_cry_21_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_21_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_21)) )) (net id_0_21 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_21)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_21)) )) - (net un1_i_2_cry_21_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_21_0)) + (net un1_i_3_cry_21_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_21_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_22)) )) (net id_0_22 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_22)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_22)) )) - (net un1_i_2_cry_19_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_19_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) - )) - (net id_0_20 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_20)) - )) - (net un1_i_2_cry_19_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_19_0)) + (net un1_i_3_cry_19_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_19_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) )) (net id_0_19 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_19)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_19)) )) - (net un1_i_2_cry_17_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_17_0)) + (net un1_i_3_cry_19_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_19_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) + )) + (net id_0_20 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_20)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_20)) + )) + (net un1_i_3_cry_17_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_17_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_18)) )) (net id_0_18 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_18)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_18)) )) - (net un1_i_2_cry_17_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_17_0)) + (net un1_i_3_cry_17_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_17_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_17)) )) (net id_0_17 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_17)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_17)) )) - (net un1_i_2_cry_15_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_15_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) - )) - (net id_0_15 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_15)) - )) - (net un1_i_2_cry_15_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_15_0)) + (net un1_i_3_cry_15_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_15_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) )) (net id_0_16 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_16)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_16)) )) - (net un1_i_2_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_13_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) + (net un1_i_3_cry_15_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_15_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) )) - (net id_0_13 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_13)) + (net id_0_15 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_15)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_15)) )) - (net un1_i_2_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_13_0)) + (net un1_i_3_cry_13_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_13_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) )) (net id_0_14 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_14)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_14)) )) - (net un1_i_2_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_11_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) + (net un1_i_3_cry_13_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_13_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) )) - (net id_0_12 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_12)) + (net id_0_13 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_13)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_13)) )) - (net un1_i_2_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_11_0)) + (net un1_i_3_cry_11_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_11_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) )) (net id_0_11 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_11)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_11)) )) - (net un1_i_2_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_9_0)) + (net un1_i_3_cry_11_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_11_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) + )) + (net id_0_12 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_12)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_12)) + )) + (net un1_i_3_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_9_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_9)) )) (net id_0_9 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_9)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_9)) )) - (net un1_i_2_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_9_0)) + (net un1_i_3_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_9_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_10)) )) (net id_0_10 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_10)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_10)) )) - (net un1_i_2_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_7_0)) + (net un1_i_3_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_7_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_8)) )) (net id_0_8 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_8)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_8)) )) - (net un1_i_2_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_7_0)) + (net un1_i_3_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_7_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_7)) )) (net id_0_7 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_7)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_7)) )) - (net un1_i_2_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_5_0)) + (net un1_i_3_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_5_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_6)) )) (net id_0_6 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_6)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_6)) )) - (net un1_i_2_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_3_0)) + (net un1_i_3_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_3_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_4)) )) (net id_0_4 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_4)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_4)) )) - (net un1_i_2_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_3_0)) + (net un1_i_3_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_3_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_3)) )) (net reset_i_fast_r1 (joined (portRef reset_i_fast_r1) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_0)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_3)) (portRef B (instanceRef Statistics_Empty_Channel_Number_i_RNO_4)) )) @@ -913067,94 +914287,348 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_3)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_3)) )) - (net un1_i_2_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_1_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) - )) - (net id_0_2 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) - (portRef D (instanceRef Statistics_Empty_Channel_Number_i_2)) - )) - (net un1_i_2_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_1_0)) + (net un1_i_3_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_1_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) )) (net id_0_1 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_1)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_1)) )) - (net un1_i_2_cry_0_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_cry_0_0)) + (net un1_i_3_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_1_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) + )) + (net id_0_2 (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_2)) + (portRef D (instanceRef Statistics_Empty_Channel_Number_i_2)) + )) + (net un1_i_3_cry_0_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_cry_0_0)) (portRef A (instanceRef Statistics_Empty_Channel_Number_i_RNO_0)) )) (net id_0_0 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_RNO_0)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_0)) )) - (net FSM_CURRENT_11 (joined - (portRef Q (instanceRef FSM_CURRENT_11)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) - (portRef B (instanceRef wait_i_RNO)) - (portRef D (instanceRef FSM_CURRENT_10)) - (portRef A (instanceRef fsm_debug_fsm_i_0_RNO_2)) + (net wr_header_i (joined + (portRef Q (instanceRef wr_header_i)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) + (portRef C (instanceRef un1_wr_header_i_2_i_2)) + (portRef C (instanceRef un1_wr_header_i_2_i_a3_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_23)) + (portRef B (instanceRef wr_header_i_RNI41LN)) + (portRef B (instanceRef data_wr_reg_RNO)) + (portRef B (instanceRef data_out_reg_RNO_0_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + (portRef A (instanceRef data_out_reg_RNO_29)) )) - (net FSM_CURRENT_10 (joined - (portRef Q (instanceRef FSM_CURRENT_10)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_8)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) - (portRef A (instanceRef wait_i_RNO)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) - (portRef A (instanceRef wrong_readout_fsm_0_sqmuxa_i_i_a2)) - (portRef B (instanceRef fsm_debug_fsm_i_0_RNO_2)) + (net data_out_reg_3_29 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) + (portRef B (instanceRef data_out_reg_RNO_29)) )) - (net FSM_CURRENT_12 (joined - (portRef Q (instanceRef FSM_CURRENT_12)) - (portRef B (instanceRef fsm_debug_reg_RNO_0)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_0)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) + (net wr_ch_data_reg (joined + (portRef Q (instanceRef wr_ch_data_reg)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) + (portRef B (instanceRef un1_wr_header_i_2_i_2)) + (portRef B (instanceRef un1_wr_header_i_2_i_a3_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_23)) + (portRef A (instanceRef i_1_sqmuxa_0_a2)) + (portRef A (instanceRef wr_header_i_RNI41LN)) + (portRef C (instanceRef data_wr_reg_RNO)) + (portRef C (instanceRef data_out_reg_RNO_0_28)) + (portRef C (instanceRef wr_status_i_RNI6G671)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + (portRef C (instanceRef data_out_reg_RNO_29)) + )) + (net data_out_reg_22_0_i_29 (joined + (portRef Z (instanceRef data_out_reg_RNO_29)) + (portRef D (instanceRef data_out_reg_29)) + )) + (net data_out_reg_5_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + )) + (net data_out_reg_3_26 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + )) + (net data_out_reg_22_1_iv_0_a13_0_26 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) + )) + (net FSM_CURRENT_3 (joined + (portRef Q (instanceRef FSM_CURRENT_3)) + (portRef A (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef B (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) - (portRef A (instanceRef fsm_debug_fsm_i_0_a2_0_2)) - (portRef D (instanceRef fsm_debug_fsm_i_0_RNO_2)) + (portRef C (instanceRef FSM_CURRENT_RNIRSKJ_5)) + (portRef A (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef A (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) )) - (net fsm_debug_fsm_i_0_2_2 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_0_RNO_2)) - (portRef C (instanceRef fsm_debug_fsm_i_0_2)) + (net un1_FSM_CURRENT_i_a2_3 (joined + (portRef Z (instanceRef un1_FSM_CURRENT_i_a2_3)) + (portRef C (instanceRef un1_FSM_CURRENT_i_a2)) + (portRef B (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef B (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) + )) + (net fifo_nr_next_1 (joined + (portRef Q (instanceRef fifo_nr_next_1)) + (portRef B (instanceRef un1_FSM_CURRENT_i_a2)) + (portRef D (instanceRef fifo_nr_1_1)) + (portRef D (instanceRef fifo_nr_1)) + (portRef C (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef C (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) + )) + (net fifo_nr_next_0 (joined + (portRef Q (instanceRef fifo_nr_next_0)) + (portRef A (instanceRef un1_FSM_CURRENT_i_a2)) + (portRef D (instanceRef fifo_nr_1_0)) + (portRef D (instanceRef fifo_nr_0)) + (portRef D (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef D (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) + )) + (net N_768 (joined + (portRef Z (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1_0)) + (portRef C (instanceRef fsm_debug_fsm_i_0_0)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_12)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) + (portRef B (instanceRef data_finished_fsm_0_i_s_0)) + )) + (net N_678_0 (joined + (portRef Z (instanceRef un1_FSM_CURRENT_i_a2_3_RNIHSSC1)) + (portRef D (instanceRef FSM_CURRENT_2)) + (portRef D (instanceRef updt_mask_i)) + )) + (net FSM_CURRENT_4 (joined + (portRef Q (instanceRef FSM_CURRENT_4)) + (portRef B (instanceRef readout_fsm_i_a3_0_a3_0_a2_0)) + (portRef D (instanceRef FSM_CURRENT_6)) + (portRef C (instanceRef readout_i_RNO)) + (portRef A (instanceRef wr_header_i_RNO)) )) (net FSM_CURRENT_14 (joined (portRef Q (instanceRef FSM_CURRENT_14)) (portRef A (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) - (portRef C (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) - (portRef B (instanceRef wr_header_fsm_0_i_s_0)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef A (instanceRef start_trg_win_cnt_i_RNO)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_1_8)) + (portRef A (instanceRef FSM_CURRENT_RNO_13)) + (portRef A (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + (portRef B (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_2_8)) + (portRef A (instanceRef wr_header_fsm_0_i_s_0_a2)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_2_8)) (portRef D (instanceRef idle_i)) + (portRef B (instanceRef start_trg_win_cnt_i_RNO)) (portRef D (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) - (portRef A (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef C (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef D (instanceRef wr_header_i_RNO)) + )) + (net wr_header_i_RNO (joined + (portRef Z (instanceRef wr_header_i_RNO)) + (portRef D (instanceRef wr_header_i)) + )) + (net FSM_CURRENT_1 (joined + (portRef Q (instanceRef FSM_CURRENT_1)) + (portRef A (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_0_19)) + (portRef B (instanceRef FSM_CURRENT_RNO_0)) + (portRef A (instanceRef readout_i_RNO)) + )) + (net FSM_CURRENT_0 (joined + (portRef Q (instanceRef FSM_CURRENT_0)) + (portRef A (instanceRef FSM_CURRENT_RNO_0)) + (portRef A (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) + (portRef A (instanceRef updt_index_i_RNO)) + (portRef A (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) + (portRef A (instanceRef wr_ch_data_i_RNO)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef B (instanceRef readout_i_RNO)) + )) + (net FSM_CURRENT_2 (joined + (portRef Q (instanceRef FSM_CURRENT_2)) + (portRef B (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_0_19)) + (portRef A (instanceRef readout_fsm_i_a3_0_a3_0_a2_0)) + (portRef A (instanceRef fsm_debug_fsm_i_0_o2_0_2)) + (portRef D (instanceRef FSM_CURRENT_1)) + (portRef D (instanceRef readout_i_RNO)) + )) + (net N_701_i (joined + (portRef Z (instanceRef readout_i_RNO)) + (portRef D (instanceRef readout_i)) + )) + (net reset_i_fast_r4 (joined + (portRef reset_i_fast_r4) + (portRef A (instanceRef wr_status_i_RNI6G671)) + )) + (net wr_status_i (joined + (portRef Q (instanceRef wr_status_i)) + (portRef D (instanceRef un1_wr_header_i_2_i_2)) + (portRef B (instanceRef i_1_sqmuxa_0_a2)) + (portRef A (instanceRef data_wr_reg_RNO)) + (portRef B (instanceRef wr_status_i_RNI6G671)) + )) + (net i_1_sqmuxa (joined + (portRef Z (instanceRef wr_status_i_RNI6G671)) + (portRef SP (instanceRef Data_Out_MUX_i_31)) + (portRef SP (instanceRef Data_Out_MUX_i_30)) + (portRef SP (instanceRef Data_Out_MUX_i_29)) + (portRef SP (instanceRef Data_Out_MUX_i_28)) + (portRef SP (instanceRef Data_Out_MUX_i_27)) + (portRef SP (instanceRef Data_Out_MUX_i_26)) + (portRef SP (instanceRef Data_Out_MUX_i_25)) + (portRef SP (instanceRef Data_Out_MUX_i_24)) + (portRef SP (instanceRef Data_Out_MUX_i_23)) + (portRef SP (instanceRef Data_Out_MUX_i_22)) + (portRef SP (instanceRef Data_Out_MUX_i_21)) + (portRef SP (instanceRef Data_Out_MUX_i_20)) + (portRef SP (instanceRef Data_Out_MUX_i_19)) + (portRef SP (instanceRef Data_Out_MUX_i_18)) + (portRef SP (instanceRef Data_Out_MUX_i_17)) + (portRef SP (instanceRef Data_Out_MUX_i_16)) + (portRef SP (instanceRef Data_Out_MUX_i_15)) + (portRef SP (instanceRef Data_Out_MUX_i_14)) + (portRef SP (instanceRef Data_Out_MUX_i_13)) + (portRef SP (instanceRef Data_Out_MUX_i_12)) + (portRef SP (instanceRef Data_Out_MUX_i_11)) + (portRef SP (instanceRef Data_Out_MUX_i_10)) + (portRef SP (instanceRef Data_Out_MUX_i_9)) + (portRef SP (instanceRef Data_Out_MUX_i_8)) + (portRef SP (instanceRef Data_Out_MUX_i_7)) + (portRef SP (instanceRef Data_Out_MUX_i_6)) + (portRef SP (instanceRef Data_Out_MUX_i_5)) + (portRef SP (instanceRef Data_Out_MUX_i_4)) + (portRef SP (instanceRef Data_Out_MUX_i_3)) + (portRef SP (instanceRef Data_Out_MUX_i_2)) + (portRef SP (instanceRef Data_Out_MUX_i_1)) + (portRef SP (instanceRef Data_Out_MUX_i_0)) )) (net FSM_CURRENT_13 (joined (portRef Q (instanceRef FSM_CURRENT_13)) - (portRef A (instanceRef FSM_CURRENT_RNO_13)) - (portRef B (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef D (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_3_0)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_4)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) - (portRef C (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef A (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) + (portRef B (instanceRef fsm_debug_fsm_i_0_0_0)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_4)) + (portRef B (instanceRef fsm_debug_fsm_i_0_o2_2)) + (portRef A (instanceRef FSM_CURRENT_RNO_0_13)) )) - (net N_530 (joined + (net trg_timing_valid_i (joined + (portRef trg_timing_valid_i) + (portRef trg_timing_valid_i (instanceRef edge_to_pulse_1)) + (portRef trg_timing_valid_i (instanceRef Valid_timing_trigger_sync)) + (portRef trg_timing_valid_i (instanceRef The_Reference_Time)) + (portRef D (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) + (portRef C (instanceRef wr_header_fsm_0_i_s_0_a2)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_2_8)) + (portRef SP (instanceRef ref_time_coarse_10)) + (portRef SP (instanceRef ref_time_coarse_9)) + (portRef SP (instanceRef ref_time_coarse_8)) + (portRef SP (instanceRef ref_time_coarse_7)) + (portRef SP (instanceRef ref_time_coarse_6)) + (portRef SP (instanceRef ref_time_coarse_5)) + (portRef SP (instanceRef ref_time_coarse_4)) + (portRef SP (instanceRef ref_time_coarse_3)) + (portRef SP (instanceRef ref_time_coarse_2)) + (portRef SP (instanceRef ref_time_coarse_1)) + (portRef SP (instanceRef ref_time_coarse_0)) + (portRef A (instanceRef start_trg_win_cnt_i_RNO)) + (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + (portRef B (instanceRef FSM_CURRENT_RNO_0_13)) + (portRef B (instanceRef wr_header_i_RNO)) + )) + (net N_697 (joined (portRef Z (instanceRef FSM_CURRENT_RNO_0_13)) (portRef B (instanceRef FSM_CURRENT_RNO_13)) )) - (net N_535 (joined + (net FSM_CURRENT_5 (joined + (portRef Q (instanceRef FSM_CURRENT_5)) + (portRef B (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef D (instanceRef FSM_CURRENT_3)) + (portRef A (instanceRef FSM_CURRENT_RNIRSKJ_5)) + )) + (net FSM_CURRENT_6 (joined + (portRef Q (instanceRef FSM_CURRENT_6)) + (portRef A (instanceRef FSM_CURRENT_RNO_5)) + (portRef B (instanceRef updt_index_i_RNO)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) + (portRef B (instanceRef FSM_CURRENT_RNIRSKJ_5)) + )) + (net N_3065 (joined + (portRef Z (instanceRef FSM_CURRENT_RNIRSKJ_5)) + (portRef D (instanceRef wait_i_RNO)) + (portRef C (instanceRef fsm_debug_reg_RNO_3)) + (portRef D (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) + )) + (net trg_notiming_valid_i (joined + (portRef trg_notiming_valid_i) + (portRef trg_notiming_valid_i (instanceRef edge_to_pulse_2)) + (portRef D (instanceRef fsm_debug_fsm_i_0_2)) + (portRef C (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) + (portRef D (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef D (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) + (portRef B (instanceRef wr_header_fsm_0_i_s_0_a2)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + (portRef C (instanceRef wr_header_i_RNO)) + )) + (net N_753 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_14)) )) + (net ctrl_reg_51 (joined + (portRef ctrl_reg_51) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) + (portRef D (instanceRef un1_wr_header_i_2_i_a3_1)) + (portRef A (instanceRef data_out_reg_RNO_0_28)) + (portRef D (instanceRef data_out_reg_RNO_29)) + )) + (net N_2872 (joined + (portRef Z (instanceRef data_out_reg_RNO_0_28)) + (portRef C (instanceRef data_out_reg_RNO_28)) + )) + (net N_2594 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_a3_1)) + (portRef A (instanceRef un1_wr_header_i_2_i_2)) + (portRef D (instanceRef data_wr_reg_RNO)) + )) + (net N_8_i (joined + (portRef Z (instanceRef data_wr_reg_RNO)) + (portRef D (instanceRef data_wr_reg)) + )) + (net N_528_i (joined + (portRef Z (instanceRef start_trg_win_cnt_i_RNO)) + (portRef D (instanceRef start_trg_win_cnt_i)) + )) (net un1_wrong_readout_i_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_wrong_readout_i_cry_0_0)) (portRef D (instanceRef wrong_readout_number_0)) @@ -913251,7 +914725,7 @@ (portRef S0 (instanceRef un1_wrong_readout_i_s_23_0)) (portRef D (instanceRef wrong_readout_number_23)) )) - (net N_517 (joined + (net N_686 (joined (portRef Z (instanceRef wrong_readout_fsm_0_sqmuxa_i_i_a2)) (portRef D (instanceRef wrong_readout_i)) )) @@ -913259,59 +914733,17 @@ (portRef Q (instanceRef wrong_readout_i)) (portRef B0 (instanceRef un1_wrong_readout_i_cry_0_0)) )) - (net wr_header_fsm_0_i_s_0 (joined - (portRef Z (instanceRef wr_header_fsm_0_i_s_0)) - (portRef D (instanceRef wr_header_i)) - )) - (net wr_header_i (joined - (portRef Q (instanceRef wr_header_i)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) - (portRef D (instanceRef data_out_reg_RNO_1)) - (portRef C (instanceRef data_out_reg_RNO_30)) - (portRef C (instanceRef data_out_reg_RNO_28)) - (portRef D (instanceRef data_out_reg_RNO_27)) - (portRef D (instanceRef data_out_reg_RNO_26)) - (portRef D (instanceRef data_out_reg_RNO_25)) - (portRef D (instanceRef data_out_reg_RNO_24)) - (portRef D (instanceRef data_out_reg_RNO_23)) - (portRef D (instanceRef data_out_reg_RNO_22)) - (portRef D (instanceRef data_out_reg_RNO_21)) - (portRef D (instanceRef data_out_reg_RNO_20)) - (portRef D (instanceRef data_out_reg_RNO_19)) - (portRef D (instanceRef data_out_reg_RNO_18)) - (portRef D (instanceRef data_out_reg_RNO_17)) - (portRef D (instanceRef data_out_reg_RNO_16)) - (portRef D (instanceRef data_out_reg_RNO_15)) - (portRef D (instanceRef data_out_reg_RNO_14)) - (portRef D (instanceRef data_out_reg_RNO_13)) - (portRef D (instanceRef data_out_reg_RNO_12)) - (portRef D (instanceRef data_out_reg_RNO_11)) - (portRef D (instanceRef data_out_reg_RNO_10)) - (portRef D (instanceRef data_out_reg_RNO_9)) - (portRef D (instanceRef data_out_reg_RNO_8)) - (portRef D (instanceRef data_out_reg_RNO_7)) - (portRef D (instanceRef data_out_reg_RNO_6)) - (portRef D (instanceRef data_out_reg_RNO_5)) - (portRef D (instanceRef data_out_reg_RNO_4)) - (portRef D (instanceRef data_out_reg_RNO_3)) - (portRef D (instanceRef data_out_reg_RNO_2)) - (portRef D (instanceRef data_out_reg_RNO_0)) - (portRef C (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) + (net wr_status_fsm_1_sqmuxa (joined + (portRef Z (instanceRef wr_status_fsm_1_sqmuxa_0_a2_0_a2_0_a2)) + (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_9)) + (portRef D (instanceRef fsm_debug_fsm_i_0_4_0)) + (portRef D (instanceRef wr_status_i)) )) (net wr_ch_data_i (joined (portRef Q (instanceRef wr_ch_data_i)) (portRef D (instanceRef wr_ch_data_reg)) )) - (net wr_ch_data_reg (joined - (portRef Q (instanceRef wr_ch_data_reg)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) - (portRef B (instanceRef data_out_reg_RNO_30)) - (portRef B (instanceRef data_out_reg_RNO_28)) - (portRef B (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) - )) - (net N_353_i (joined + (net N_514_i (joined (portRef Z (instanceRef wr_ch_data_i_RNO)) (portRef D (instanceRef wr_ch_data_i)) )) @@ -913411,7 +914843,7 @@ (portRef S0 (instanceRef un1_readout_i_2_s_23_0)) (portRef D (instanceRef wait_time_23)) )) - (net N_631_i (joined + (net N_702_i (joined (portRef Z (instanceRef wait_i_RNO)) (portRef D (instanceRef wait_i)) )) @@ -913611,17 +915043,11 @@ (portRef S0 (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) (portRef D (instanceRef valid_NOtmg_trig_number_23)) )) - (net N_592_0 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_1_0)) - (portRef D (instanceRef FSM_CURRENT_2)) - (portRef D (instanceRef updt_mask_i)) - )) (net updt_mask_i (joined (portRef Q (instanceRef updt_mask_i)) - (portRef B (instanceRef updt_mask_i_RNID6SI)) + (portRef B (instanceRef updt_mask_i_RNIF6SI)) )) - (net N_78_i (joined + (net N_2523_i (joined (portRef Z (instanceRef updt_index_i_RNO)) (portRef D (instanceRef updt_index_i)) )) @@ -913629,19 +915055,20 @@ (portRef Q (instanceRef updt_index_i)) (portRef SP (instanceRef fifo_nr_5)) (portRef SP (instanceRef fifo_nr_1_4)) - (portRef SP (instanceRef fifo_nr_1_3)) (portRef SP (instanceRef fifo_nr_1_2)) + (portRef SP (instanceRef fifo_nr_1_1)) + (portRef SP (instanceRef fifo_nr_1_0)) (portRef SP (instanceRef fifo_nr_2_4)) + (portRef SP (instanceRef fifo_nr_4)) + (portRef SP (instanceRef fifo_nr_1_3)) (portRef SP (instanceRef fifo_nr_2_3)) (portRef SP (instanceRef fifo_nr_3_4)) - (portRef SP (instanceRef fifo_nr_5_4)) - (portRef SP (instanceRef fifo_nr_4)) + (portRef SP (instanceRef fifo_nr_3_3)) (portRef SP (instanceRef fifo_nr_4_4)) - (portRef SP (instanceRef fifo_nr_4_3)) + (portRef SP (instanceRef fifo_nr_5_4)) (portRef SP (instanceRef fifo_nr_6_4)) - (portRef SP (instanceRef fifo_nr_3)) - (portRef SP (instanceRef fifo_nr_3_3)) (portRef SP (instanceRef fifo_nr_7_4)) + (portRef SP (instanceRef fifo_nr_3)) (portRef SP (instanceRef fifo_nr_2)) (portRef SP (instanceRef fifo_nr_1)) (portRef SP (instanceRef fifo_nr_0)) @@ -913748,7 +915175,7 @@ )) (net trg_win_r (joined (portRef Q (instanceRef trg_win_r)) - (portRef B (instanceRef Data_Out_MUX_data_wr_reg_4_m3)) + (portRef B (instanceRef Data_Out_MUX_data_wr_reg_4_m4)) )) (net un1_channel_hit_time_i (joined (portRef S0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_10_0)) @@ -913756,19 +915183,19 @@ )) (net trg_win_l (joined (portRef Q (instanceRef trg_win_l)) - (portRef A (instanceRef Data_Out_MUX_data_wr_reg_4_m3)) + (portRef A (instanceRef Data_Out_MUX_data_wr_reg_4_m4)) )) - (net trg_win_cnt_up_i_2_0_i (joined - (portRef Z (instanceRef trg_win_cnt_up_i_2_0_i)) + (net trg_win_cnt_up_i_1_0_i (joined + (portRef Z (instanceRef trg_win_cnt_up_i_1_0_i)) (portRef D (instanceRef trg_win_cnt_up_i)) )) (net trg_win_cnt_up_i (joined (portRef Q (instanceRef trg_win_cnt_up_i)) (portRef B0 (instanceRef un1_trg_win_cnt_up_i_cry_0_0)) - (portRef C (instanceRef trg_win_cnt_up_i_2_0_i)) - (portRef C (instanceRef trg_win_cnt_up_i_2_0)) + (portRef C (instanceRef trg_win_cnt_up_i_1_0_i)) + (portRef C (instanceRef trg_win_cnt_up_i_1_0)) )) - (net N_41_i (joined + (net N_2888_i (joined (portRef Z (instanceRef trg_win_cnt_RNO_0)) (portRef D (instanceRef trg_win_cnt_0)) )) @@ -913914,8 +915341,8 @@ )) (net FSM_CURRENT_8 (joined (portRef Q (instanceRef FSM_CURRENT_8)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef B (instanceRef fsm_debug_fsm_i_a3_0_a2_4_a2_1_3)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + (portRef A (instanceRef fsm_debug_fsm_i_a3_0_a3_0_a2_0_3)) (portRef D (instanceRef FSM_CURRENT_7)) (portRef D (instanceRef trg_release_reg)) )) @@ -914019,29 +915446,64 @@ (portRef S0 (instanceRef un1_empty_channels_s_23_0)) (portRef D (instanceRef total_empty_channel_23)) )) - (net N_2282_i (joined - (portRef Z (instanceRef start_trg_win_cnt_i_RNO)) - (portRef D (instanceRef start_trg_win_cnt_i)) + (net data_out_reg_9_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_9_sqmuxa_0_a2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_1_6)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + (portRef D (instanceRef stop_status_i)) + )) + (net stop_status_i_0_sqmuxa_i (joined + (portRef Z (instanceRef stop_status_i_0_sqmuxa_i)) + (portRef SP (instanceRef stop_status_i)) + )) + (net stop_status_i (joined + (portRef Q (instanceRef stop_status_i)) + (portRef C (instanceRef data_finished_fsm_0_i_s_0)) + (portRef C (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_2_8)) + (portRef B (instanceRef wr_status_fsm_1_sqmuxa_0_a2_0_a2_0_a2)) )) (net start_trg_win_cnt_i (joined (portRef Q (instanceRef start_trg_win_cnt_i)) (portRef C (instanceRef trg_win_cntd_15)) - (portRef C (instanceRef trg_win_cntd_14)) (portRef C (instanceRef trg_win_cntd_13)) - (portRef C (instanceRef trg_win_cntd_11)) + (portRef C (instanceRef trg_win_cntd_14)) (portRef C (instanceRef trg_win_cntd_12)) + (portRef C (instanceRef trg_win_cntd_11)) (portRef C (instanceRef trg_win_cntd_10)) (portRef C (instanceRef trg_win_cntd_9)) - (portRef C (instanceRef trg_win_cntd_8)) (portRef C (instanceRef trg_win_cntd_7)) - (portRef C (instanceRef trg_win_cntd_5)) + (portRef C (instanceRef trg_win_cntd_8)) (portRef C (instanceRef trg_win_cntd_6)) - (portRef C (instanceRef trg_win_cntd_3)) + (portRef C (instanceRef trg_win_cntd_5)) (portRef C (instanceRef trg_win_cntd_4)) - (portRef C (instanceRef trg_win_cntd_2)) + (portRef C (instanceRef trg_win_cntd_3)) (portRef C (instanceRef trg_win_cntd_1)) - (portRef B (instanceRef trg_win_cnt_up_i_2_0_i)) - (portRef B (instanceRef trg_win_cnt_up_i_2_0)) + (portRef C (instanceRef trg_win_cntd_2)) + (portRef B (instanceRef trg_win_cnt_up_i_1_0_i)) + (portRef B (instanceRef trg_win_cnt_up_i_1_0)) (portRef C (instanceRef trg_win_cnt_RNO_0)) )) (net un1_spurious_trg_pulse_cry_0_0_S1 (joined @@ -914238,24 +915700,24 @@ )) (net reset_tdc_rep2_9 (joined (portRef Q (instanceRef reset_tdc_rep2_9)) - (portRef reset_tdc_rep2_9 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_tdc_rep2_9 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_8 (joined (portRef Q (instanceRef reset_tdc_rep2_8)) - (portRef reset_tdc_rep2_8 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_rep2_8 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_rep2_8 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_8 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_7 (joined (portRef Q (instanceRef reset_tdc_rep2_7)) - (portRef reset_tdc_rep2_7 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_rep2_7 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_6 (joined (portRef Q (instanceRef reset_tdc_rep2_6)) - (portRef reset_tdc_rep2_6 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_rep2_6 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_5 (joined (portRef Q (instanceRef reset_tdc_rep2_5)) - (portRef reset_tdc_rep2_5 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_tdc_rep2_5 (instanceRef GEN_Channels_26_Channels)) (portRef reset_tdc_rep2_5 (instanceRef The_Reference_Time)) )) (net reset_tdc_rep2_4 (joined @@ -914265,27 +915727,27 @@ (net reset_tdc_rep2_36 (joined (portRef Q (instanceRef reset_tdc_rep2_36)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_24_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_27_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_rep2_36 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_36 (instanceRef The_Reference_Time)) )) (net reset_tdc_rep2_35 (joined (portRef Q (instanceRef reset_tdc_rep2_35)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_24_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_27_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_rep2_35 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_35 (instanceRef The_Reference_Time)) )) (net reset_tdc_rep2_34 (joined @@ -914299,15 +915761,15 @@ (net reset_tdc_rep2_32 (joined (portRef Q (instanceRef reset_tdc_rep2_32)) (portRef reset_tdc_rep2_32 (instanceRef GEN_Channels_29_Channels)) - (portRef reset_tdc_rep2_32 (instanceRef GEN_Channels_24_Channels)) + (portRef reset_tdc_rep2_32 (instanceRef GEN_Channels_31_Channels)) )) (net reset_tdc_rep2_31 (joined (portRef Q (instanceRef reset_tdc_rep2_31)) - (portRef reset_tdc_rep2_31 (instanceRef GEN_Channels_24_Channels)) + (portRef reset_tdc_rep2_31 (instanceRef GEN_Channels_31_Channels)) )) (net reset_tdc_rep2_30 (joined (portRef Q (instanceRef reset_tdc_rep2_30)) - (portRef reset_tdc_rep2_30 (instanceRef GEN_Channels_24_Channels)) + (portRef reset_tdc_rep2_30 (instanceRef GEN_Channels_31_Channels)) )) (net reset_tdc_rep2_3 (joined (portRef Q (instanceRef reset_tdc_rep2_3)) @@ -914315,20 +915777,20 @@ )) (net reset_tdc_rep2_29 (joined (portRef Q (instanceRef reset_tdc_rep2_29)) + (portRef reset_tdc_rep2_29 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_rep2_29 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_tdc_rep2_29 (instanceRef GEN_Channels_27_Channels)) )) (net reset_tdc_rep2_28 (joined (portRef Q (instanceRef reset_tdc_rep2_28)) - (portRef reset_tdc_rep2_28 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_rep2_28 (instanceRef GEN_Channels_24_Channels)) )) (net reset_tdc_rep2_27 (joined (portRef Q (instanceRef reset_tdc_rep2_27)) - (portRef reset_tdc_rep2_27 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_rep2_27 (instanceRef GEN_Channels_24_Channels)) )) (net reset_tdc_rep2_26 (joined (portRef Q (instanceRef reset_tdc_rep2_26)) - (portRef reset_tdc_rep2_26 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_rep2_26 (instanceRef GEN_Channels_24_Channels)) (portRef reset_tdc_rep2_26 (instanceRef GEN_Channels_22_Channels)) )) (net reset_tdc_rep2_25 (joined @@ -914342,20 +915804,20 @@ (net reset_tdc_rep2_23 (joined (portRef Q (instanceRef reset_tdc_rep2_23)) (portRef reset_tdc_rep2_23 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep2_23 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_rep2_23 (instanceRef GEN_Channels_27_Channels)) )) (net reset_tdc_rep2_22 (joined (portRef Q (instanceRef reset_tdc_rep2_22)) - (portRef reset_tdc_rep2_22 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_rep2_22 (instanceRef GEN_Channels_27_Channels)) )) (net reset_tdc_rep2_21 (joined (portRef Q (instanceRef reset_tdc_rep2_21)) - (portRef reset_tdc_rep2_21 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_rep2_21 (instanceRef GEN_Channels_27_Channels)) )) (net reset_tdc_rep2_20 (joined (portRef Q (instanceRef reset_tdc_rep2_20)) + (portRef reset_tdc_rep2_20 (instanceRef GEN_Channels_27_Channels)) (portRef reset_tdc_rep2_20 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_tdc_rep2_20 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_2 (joined (portRef Q (instanceRef reset_tdc_rep2_2)) @@ -914364,54 +915826,54 @@ )) (net reset_tdc_rep2_19 (joined (portRef Q (instanceRef reset_tdc_rep2_19)) - (portRef reset_tdc_rep2_19 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_19 (instanceRef GEN_Channels_25_Channels)) )) (net reset_tdc_rep2_18 (joined (portRef Q (instanceRef reset_tdc_rep2_18)) - (portRef reset_tdc_rep2_18 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_rep2_18 (instanceRef GEN_Channels_25_Channels)) )) (net reset_tdc_rep2_17 (joined (portRef Q (instanceRef reset_tdc_rep2_17)) - (portRef reset_tdc_rep2_17 (instanceRef GEN_Channels_28_Channels)) - (portRef reset_tdc_rep2_17 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_17 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_rep2_17 (instanceRef GEN_Channels_30_Channels)) )) (net reset_tdc_rep2_16 (joined (portRef Q (instanceRef reset_tdc_rep2_16)) - (portRef reset_tdc_rep2_16 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_16 (instanceRef GEN_Channels_30_Channels)) )) (net reset_tdc_rep2_15 (joined (portRef Q (instanceRef reset_tdc_rep2_15)) - (portRef reset_tdc_rep2_15 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_15 (instanceRef GEN_Channels_30_Channels)) )) (net reset_tdc_rep2_14 (joined (portRef Q (instanceRef reset_tdc_rep2_14)) + (portRef reset_tdc_rep2_14 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_rep2_14 (instanceRef GEN_Channels_23_Channels)) - (portRef reset_tdc_rep2_14 (instanceRef GEN_Channels_26_Channels)) )) (net reset_tdc_rep2_13 (joined (portRef Q (instanceRef reset_tdc_rep2_13)) - (portRef reset_tdc_rep2_13 (instanceRef GEN_Channels_26_Channels)) + (portRef reset_tdc_rep2_13 (instanceRef GEN_Channels_23_Channels)) )) (net reset_tdc_rep2_12 (joined (portRef Q (instanceRef reset_tdc_rep2_12)) - (portRef reset_tdc_rep2_12 (instanceRef GEN_Channels_26_Channels)) + (portRef reset_tdc_rep2_12 (instanceRef GEN_Channels_23_Channels)) )) (net reset_tdc_rep2_11 (joined (portRef Q (instanceRef reset_tdc_rep2_11)) - (portRef reset_tdc_rep2_11 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_rep2_11 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_tdc_rep2_11 (instanceRef GEN_Channels_23_Channels)) + (portRef reset_tdc_rep2_11 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_10 (joined (portRef Q (instanceRef reset_tdc_rep2_10)) - (portRef reset_tdc_rep2_10 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_tdc_rep2_10 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep2_1 (joined (portRef Q (instanceRef reset_tdc_rep2_1)) (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_24_Channels)) (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_27_Channels)) (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_tdc_rep2_1 (instanceRef GEN_Channels_28_Channels)) )) (net reset_tdc_rep1_9 (joined (portRef Q (instanceRef reset_tdc_rep1_9)) @@ -914420,19 +915882,19 @@ (net reset_tdc_rep1_8 (joined (portRef Q (instanceRef reset_tdc_rep1_8)) (portRef reset_tdc_rep1_8 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_rep1_8 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_8 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_rep1_7 (joined (portRef Q (instanceRef reset_tdc_rep1_7)) - (portRef reset_tdc_rep1_7 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_7 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_rep1_6 (joined (portRef Q (instanceRef reset_tdc_rep1_6)) - (portRef reset_tdc_rep1_6 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_6 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_rep1_5 (joined (portRef Q (instanceRef reset_tdc_rep1_5)) - (portRef reset_tdc_rep1_5 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_5 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_5 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_rep1_4 (joined @@ -914441,47 +915903,48 @@ )) (net reset_tdc_rep1_36 (joined (portRef Q (instanceRef reset_tdc_rep1_36)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_13_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_36 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_rep1_35 (joined (portRef Q (instanceRef reset_tdc_rep1_35)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_13_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1_35 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_rep1_34 (joined (portRef Q (instanceRef reset_tdc_rep1_34)) - (portRef reset_tdc_rep1_34 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_34 (instanceRef GEN_Channels_14_Channels)) )) (net reset_tdc_rep1_33 (joined (portRef Q (instanceRef reset_tdc_rep1_33)) - (portRef reset_tdc_rep1_33 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_33 (instanceRef GEN_Channels_14_Channels)) )) (net reset_tdc_rep1_32 (joined (portRef Q (instanceRef reset_tdc_rep1_32)) - (portRef reset_tdc_rep1_32 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_tdc_rep1_32 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_tdc_rep1_32 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_32 (instanceRef GEN_Channels_22_Channels)) )) (net reset_tdc_rep1_31 (joined (portRef Q (instanceRef reset_tdc_rep1_31)) + (portRef reset_tdc_rep1_31 (instanceRef GEN_Channels_22_Channels)) (portRef reset_tdc_rep1_31 (instanceRef GEN_Channels_12_Channels)) )) (net reset_tdc_rep1_30 (joined @@ -914495,92 +915958,91 @@ (net reset_tdc_rep1_29 (joined (portRef Q (instanceRef reset_tdc_rep1_29)) (portRef reset_tdc_rep1_29 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_rep1_29 (instanceRef GEN_Channels_22_Channels)) )) (net reset_tdc_rep1_28 (joined (portRef Q (instanceRef reset_tdc_rep1_28)) - (portRef reset_tdc_rep1_28 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep1_28 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_28 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_tdc_rep1_28 (instanceRef GEN_Channels_15_Channels)) )) (net reset_tdc_rep1_27 (joined (portRef Q (instanceRef reset_tdc_rep1_27)) - (portRef reset_tdc_rep1_27 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_27 (instanceRef GEN_Channels_15_Channels)) )) (net reset_tdc_rep1_26 (joined (portRef Q (instanceRef reset_tdc_rep1_26)) - (portRef reset_tdc_rep1_26 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_26 (instanceRef GEN_Channels_15_Channels)) )) (net reset_tdc_rep1_25 (joined (portRef Q (instanceRef reset_tdc_rep1_25)) + (portRef reset_tdc_rep1_25 (instanceRef GEN_Channels_15_Channels)) (portRef reset_tdc_rep1_25 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_rep1_25 (instanceRef GEN_Channels_11_Channels)) )) (net reset_tdc_rep1_24 (joined (portRef Q (instanceRef reset_tdc_rep1_24)) - (portRef reset_tdc_rep1_24 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_rep1_24 (instanceRef GEN_Channels_13_Channels)) )) (net reset_tdc_rep1_23 (joined (portRef Q (instanceRef reset_tdc_rep1_23)) - (portRef reset_tdc_rep1_23 (instanceRef GEN_Channels_11_Channels)) - (portRef reset_tdc_rep1_23 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_23 (instanceRef GEN_Channels_13_Channels)) )) (net reset_tdc_rep1_22 (joined (portRef Q (instanceRef reset_tdc_rep1_22)) - (portRef reset_tdc_rep1_22 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_22 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_22 (instanceRef GEN_Channels_16_Channels)) )) (net reset_tdc_rep1_21 (joined (portRef Q (instanceRef reset_tdc_rep1_21)) - (portRef reset_tdc_rep1_21 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_21 (instanceRef GEN_Channels_16_Channels)) )) (net reset_tdc_rep1_20 (joined (portRef Q (instanceRef reset_tdc_rep1_20)) - (portRef reset_tdc_rep1_20 (instanceRef GEN_Channels_14_Channels)) - (portRef reset_tdc_rep1_20 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_20 (instanceRef GEN_Channels_16_Channels)) )) (net reset_tdc_rep1_2 (joined (portRef Q (instanceRef reset_tdc_rep1_2)) - (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_14_Channels)) (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_rep1_2 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_rep1_19 (joined (portRef Q (instanceRef reset_tdc_rep1_19)) - (portRef reset_tdc_rep1_19 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_19 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_19 (instanceRef GEN_Channels_11_Channels)) )) (net reset_tdc_rep1_18 (joined (portRef Q (instanceRef reset_tdc_rep1_18)) - (portRef reset_tdc_rep1_18 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_rep1_18 (instanceRef GEN_Channels_11_Channels)) )) (net reset_tdc_rep1_17 (joined (portRef Q (instanceRef reset_tdc_rep1_17)) - (portRef reset_tdc_rep1_17 (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_rep1_17 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_rep1_17 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_rep1_17 (instanceRef GEN_Channels_21_Channels)) )) (net reset_tdc_rep1_16 (joined (portRef Q (instanceRef reset_tdc_rep1_16)) - (portRef reset_tdc_rep1_16 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_rep1_16 (instanceRef GEN_Channels_21_Channels)) )) (net reset_tdc_rep1_15 (joined (portRef Q (instanceRef reset_tdc_rep1_15)) - (portRef reset_tdc_rep1_15 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_rep1_15 (instanceRef GEN_Channels_21_Channels)) )) (net reset_tdc_rep1_14 (joined (portRef Q (instanceRef reset_tdc_rep1_14)) + (portRef reset_tdc_rep1_14 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_rep1_14 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_tdc_rep1_14 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_rep1_13 (joined (portRef Q (instanceRef reset_tdc_rep1_13)) - (portRef reset_tdc_rep1_13 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_rep1_13 (instanceRef GEN_Channels_17_Channels)) )) (net reset_tdc_rep1_12 (joined (portRef Q (instanceRef reset_tdc_rep1_12)) - (portRef reset_tdc_rep1_12 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_rep1_12 (instanceRef GEN_Channels_17_Channels)) )) (net reset_tdc_rep1_11 (joined (portRef Q (instanceRef reset_tdc_rep1_11)) - (portRef reset_tdc_rep1_11 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_rep1_11 (instanceRef GEN_Channels_17_Channels)) (portRef reset_tdc_rep1_11 (instanceRef GEN_Channels_18_Channels)) )) (net reset_tdc_rep1_10 (joined @@ -914589,117 +916051,119 @@ )) (net reset_tdc_rep1_1 (joined (portRef Q (instanceRef reset_tdc_rep1_1)) - (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_13_Channels)) + (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_rep1_1 (instanceRef GEN_Channels_21_Channels)) )) (net reset_tdc_rep1 (joined (portRef Q (instanceRef reset_tdc_rep1)) + (portRef reset_tdc_rep1 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_rep1 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_tdc_rep1 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_rep1 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_rep1 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_rep1 (instanceRef GEN_Channels_19_Channels)) )) (net reset_tdc_iso (joined (portRef Q (instanceRef reset_tdc_iso)) - (portRef reset_tdc_iso (instanceRef The_Coarse_Counter)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_16_Channels)) + (portRef reset_tdc_iso (instanceRef Valid_timing_trigger_sync)) + (portRef reset_tdc_iso (instanceRef Readout_trigger_mode_sync)) (portRef reset_tdc_iso (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_24_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_27_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_22_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_10_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_23_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_14_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_30_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_20_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_6_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_4_Channels)) - (portRef reset_tdc_iso (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_iso (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_18_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_iso (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_iso (instanceRef The_Reference_Time)) + (portRef PD (instanceRef reset_coarse_cnt)) ) (property useglobal (string "TRUE")) ) (net reset_tdc_fast_9 (joined (portRef Q (instanceRef reset_tdc_fast_9)) - (portRef reset_tdc_fast_9 (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_fast_9 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_9 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_9 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_8 (joined (portRef Q (instanceRef reset_tdc_fast_8)) - (portRef reset_tdc_fast_8 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_8 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_7 (joined (portRef Q (instanceRef reset_tdc_fast_7)) - (portRef reset_tdc_fast_7 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_7 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_fast_6 (joined (portRef Q (instanceRef reset_tdc_fast_6)) - (portRef reset_tdc_fast_6 (instanceRef GEN_Channels_4_Channels)) - (portRef reset_tdc_fast_6 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_6 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_6 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_5 (joined (portRef Q (instanceRef reset_tdc_fast_5)) - (portRef reset_tdc_fast_5 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_5 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_4 (joined (portRef Q (instanceRef reset_tdc_fast_4)) - (portRef reset_tdc_fast_4 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_4 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_35 (joined (portRef Q (instanceRef reset_tdc_fast_35)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_27_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_22_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_10_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_23_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_14_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_30_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_20_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_6_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_4_Channels)) - (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_18_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_fast_35 (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_fast_35 (instanceRef The_Reference_Time)) )) (net reset_tdc_fast_34 (joined @@ -914718,43 +916182,43 @@ (net reset_tdc_fast_31 (joined (portRef Q (instanceRef reset_tdc_fast_31)) (portRef reset_tdc_fast_31 (instanceRef GEN_Channels_11_Channels)) - (portRef reset_tdc_fast_31 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_31 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_30 (joined (portRef Q (instanceRef reset_tdc_fast_30)) - (portRef reset_tdc_fast_30 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_30 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_3 (joined (portRef Q (instanceRef reset_tdc_fast_3)) (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_10_Channels)) (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_11_Channels)) - (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_3 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast_29 (joined (portRef Q (instanceRef reset_tdc_fast_29)) - (portRef reset_tdc_fast_29 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_29 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_28 (joined (portRef Q (instanceRef reset_tdc_fast_28)) - (portRef reset_tdc_fast_28 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_tdc_fast_28 (instanceRef GEN_Channels_2_Channels)) )) (net reset_tdc_fast_27 (joined (portRef Q (instanceRef reset_tdc_fast_27)) - (portRef reset_tdc_fast_27 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_27 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_27 (instanceRef GEN_Channels_7_Channels)) )) (net reset_tdc_fast_26 (joined (portRef Q (instanceRef reset_tdc_fast_26)) - (portRef reset_tdc_fast_26 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_26 (instanceRef GEN_Channels_7_Channels)) )) (net reset_tdc_fast_25 (joined (portRef Q (instanceRef reset_tdc_fast_25)) - (portRef reset_tdc_fast_25 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_25 (instanceRef GEN_Channels_7_Channels)) )) (net reset_tdc_fast_24 (joined (portRef Q (instanceRef reset_tdc_fast_24)) - (portRef reset_tdc_fast_24 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_24 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_24 (instanceRef GEN_Channels_5_Channels)) )) (net reset_tdc_fast_23 (joined @@ -914768,112 +916232,113 @@ (net reset_tdc_fast_21 (joined (portRef Q (instanceRef reset_tdc_fast_21)) (portRef reset_tdc_fast_21 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast_21 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast_21 (instanceRef GEN_Channels_4_Channels)) )) (net reset_tdc_fast_20 (joined (portRef Q (instanceRef reset_tdc_fast_20)) - (portRef reset_tdc_fast_20 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast_20 (instanceRef GEN_Channels_4_Channels)) )) (net reset_tdc_fast_2 (joined (portRef Q (instanceRef reset_tdc_fast_2)) - (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_2 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_19 (joined (portRef Q (instanceRef reset_tdc_fast_19)) - (portRef reset_tdc_fast_19 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast_19 (instanceRef GEN_Channels_4_Channels)) )) (net reset_tdc_fast_18 (joined (portRef Q (instanceRef reset_tdc_fast_18)) - (portRef reset_tdc_fast_18 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_fast_18 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_18 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_fast_18 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_17 (joined (portRef Q (instanceRef reset_tdc_fast_17)) - (portRef reset_tdc_fast_17 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_17 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_16 (joined (portRef Q (instanceRef reset_tdc_fast_16)) - (portRef reset_tdc_fast_16 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_16 (instanceRef GEN_Channels_9_Channels)) )) (net reset_tdc_fast_15 (joined (portRef Q (instanceRef reset_tdc_fast_15)) - (portRef reset_tdc_fast_15 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_fast_15 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_15 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_15 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_14 (joined (portRef Q (instanceRef reset_tdc_fast_14)) - (portRef reset_tdc_fast_14 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_14 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_13 (joined (portRef Q (instanceRef reset_tdc_fast_13)) - (portRef reset_tdc_fast_13 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_13 (instanceRef GEN_Channels_1_Channels)) )) (net reset_tdc_fast_12 (joined (portRef Q (instanceRef reset_tdc_fast_12)) - (portRef reset_tdc_fast_12 (instanceRef GEN_Channels_6_Channels)) (portRef reset_tdc_fast_12 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_12 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_11 (joined (portRef Q (instanceRef reset_tdc_fast_11)) - (portRef reset_tdc_fast_11 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_11 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_10 (joined (portRef Q (instanceRef reset_tdc_fast_10)) - (portRef reset_tdc_fast_10 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_10 (instanceRef GEN_Channels_6_Channels)) )) (net reset_tdc_fast_1 (joined (portRef Q (instanceRef reset_tdc_fast_1)) - (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_6_Channels)) - (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_4_Channels)) (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast_1 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_fast (joined (portRef Q (instanceRef reset_tdc_fast)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_29_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_31_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_24_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_12_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_27_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_22_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_10_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_22_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_27_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_12_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_25_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_30_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_13_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_28_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_23_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_28_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_26_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_31_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_14_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_30_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_15_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_7_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_11_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_17_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_2_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_7_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_5_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_20_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_8_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_3_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_18_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_6_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_1_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_21_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_4_Channels)) - (portRef reset_tdc_fast (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_fast (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_1_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_6_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_18_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_3_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_8_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_20_Channels)) + (portRef reset_tdc_fast (instanceRef GEN_Channels_19_Channels)) (portRef reset_tdc_fast (instanceRef The_Reference_Time)) )) (net reset_tdc_97 (joined (portRef Q (instanceRef reset_tdc_97)) (portRef reset_tdc_97 (instanceRef GEN_Channels_1_Channels)) )) - (net reset_i_1 (joined - (portRef reset_i_1) + (net reset_i (joined + (portRef reset_i) + (portRef D (instanceRef reset_tdc_1)) (portRef D (instanceRef reset_tdc_10)) (portRef D (instanceRef reset_tdc_11)) (portRef D (instanceRef reset_tdc_12)) @@ -915012,8 +916477,8 @@ )) (net reset_tdc_88 (joined (portRef Q (instanceRef reset_tdc_88)) - (portRef reset_tdc_88 (instanceRef GEN_Channels_3_Channels)) (portRef reset_tdc_88 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_tdc_88 (instanceRef GEN_Channels_3_Channels)) )) (net reset_tdc_87 (joined (portRef Q (instanceRef reset_tdc_87)) @@ -915081,8 +916546,8 @@ )) (net reset_tdc_73 (joined (portRef Q (instanceRef reset_tdc_73)) - (portRef reset_tdc_73 (instanceRef GEN_Channels_8_Channels)) (portRef reset_tdc_73 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_tdc_73 (instanceRef GEN_Channels_8_Channels)) )) (net reset_tdc_72 (joined (portRef Q (instanceRef reset_tdc_72)) @@ -915159,8 +916624,8 @@ )) (net reset_tdc_57 (joined (portRef Q (instanceRef reset_tdc_57)) - (portRef reset_tdc_57 (instanceRef GEN_Channels_13_Channels)) (portRef reset_tdc_57 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_tdc_57 (instanceRef GEN_Channels_13_Channels)) )) (net reset_tdc_56 (joined (portRef Q (instanceRef reset_tdc_56)) @@ -915185,8 +916650,8 @@ )) (net reset_tdc_51 (joined (portRef Q (instanceRef reset_tdc_51)) - (portRef reset_tdc_51 (instanceRef GEN_Channels_16_Channels)) (portRef reset_tdc_51 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_tdc_51 (instanceRef GEN_Channels_16_Channels)) )) (net reset_tdc_50 (joined (portRef Q (instanceRef reset_tdc_50)) @@ -915258,8 +916723,8 @@ )) (net reset_tdc_36 (joined (portRef Q (instanceRef reset_tdc_36)) - (portRef reset_tdc_36 (instanceRef GEN_Channels_20_Channels)) (portRef reset_tdc_36 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_tdc_36 (instanceRef GEN_Channels_20_Channels)) )) (net reset_tdc_35 (joined (portRef Q (instanceRef reset_tdc_35)) @@ -915390,33 +916855,18 @@ (portRef Q (instanceRef reset_tdc)) (portRef reset_tdc (instanceRef The_Reference_Time)) )) + (net reset_coarse_cnt_3_ss0_0_a3 (joined + (portRef Z (instanceRef Coarse_Counter_Reset_reset_coarse_cnt_3_ss0_0_a3)) + (portRef D (instanceRef reset_coarse_cnt)) + )) + (net reset_coarse_cnt (joined + (portRef Q (instanceRef reset_coarse_cnt)) + (portRef reset_coarse_cnt (instanceRef The_Coarse_Counter)) + )) (net trigger_time_i_0 (joined (portRef (member trigger_time_i 10) (instanceRef The_Reference_Time)) (portRef D (instanceRef ref_time_coarse_0)) )) - (net trg_timing_valid_i (joined - (portRef trg_timing_valid_i) - (portRef trg_timing_valid_i (instanceRef edge_to_pulse_1)) - (portRef trg_timing_valid_i (instanceRef The_Reference_Time)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef D (instanceRef FSM_CURRENT_RNO_13)) - (portRef D (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) - (portRef D (instanceRef wr_header_fsm_0_i_s_0)) - (portRef B (instanceRef start_trg_win_cnt_i_RNO)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_1_8)) - (portRef SP (instanceRef ref_time_coarse_10)) - (portRef SP (instanceRef ref_time_coarse_9)) - (portRef SP (instanceRef ref_time_coarse_8)) - (portRef SP (instanceRef ref_time_coarse_7)) - (portRef SP (instanceRef ref_time_coarse_6)) - (portRef SP (instanceRef ref_time_coarse_5)) - (portRef SP (instanceRef ref_time_coarse_4)) - (portRef SP (instanceRef ref_time_coarse_3)) - (portRef SP (instanceRef ref_time_coarse_2)) - (portRef SP (instanceRef ref_time_coarse_1)) - (portRef SP (instanceRef ref_time_coarse_0)) - (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) - )) (net ref_time_coarse_0 (joined (portRef Q (instanceRef ref_time_coarse_0)) (portRef A1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_0_0)) @@ -915515,15 +916965,11 @@ (portRef B1 (instanceRef tw_post_cry_9_0)) (portRef C (instanceRef un1_TW_pre_0)) )) - (net N_630_i (joined - (portRef Z (instanceRef readout_i_RNO)) - (portRef D (instanceRef readout_i)) - )) (net readout_i (joined (portRef Q (instanceRef readout_i)) (portRef A (instanceRef un1_readout_i)) )) - (net N_44_i (joined + (net N_82_i (joined (portRef Z (instanceRef rd_en_i_RNO_0)) (portRef D (instanceRef rd_en_i_0)) )) @@ -915531,7 +916977,7 @@ (portRef Q (instanceRef rd_en_i_0)) (portRef (member rd_en_i 0) (instanceRef The_Reference_Time)) )) - (net N_257_i (joined + (net N_80_i (joined (portRef Z (instanceRef rd_en_i_RNO_1)) (portRef D (instanceRef rd_en_i_1)) )) @@ -915539,7 +916985,7 @@ (portRef Q (instanceRef rd_en_i_1)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_1_Channels)) )) - (net N_2390_i (joined + (net N_78_i (joined (portRef Z (instanceRef rd_en_i_RNO_2)) (portRef D (instanceRef rd_en_i_2)) )) @@ -915547,7 +916993,7 @@ (portRef Q (instanceRef rd_en_i_2)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_2_Channels)) )) - (net N_151_i (joined + (net N_76_i (joined (portRef Z (instanceRef rd_en_i_RNO_3)) (portRef D (instanceRef rd_en_i_3)) )) @@ -915555,7 +917001,7 @@ (portRef Q (instanceRef rd_en_i_3)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_3_Channels)) )) - (net N_2389_i (joined + (net N_74_i (joined (portRef Z (instanceRef rd_en_i_RNO_4)) (portRef D (instanceRef rd_en_i_4)) )) @@ -915563,7 +917009,7 @@ (portRef Q (instanceRef rd_en_i_4)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_4_Channels)) )) - (net N_2388_i (joined + (net N_72_i (joined (portRef Z (instanceRef rd_en_i_RNO_5)) (portRef D (instanceRef rd_en_i_5)) )) @@ -915571,7 +917017,7 @@ (portRef Q (instanceRef rd_en_i_5)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_5_Channels)) )) - (net N_2387_i (joined + (net N_70_i (joined (portRef Z (instanceRef rd_en_i_RNO_6)) (portRef D (instanceRef rd_en_i_6)) )) @@ -915579,7 +917025,7 @@ (portRef Q (instanceRef rd_en_i_6)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_6_Channels)) )) - (net N_155_i (joined + (net N_68_i (joined (portRef Z (instanceRef rd_en_i_RNO_7)) (portRef D (instanceRef rd_en_i_7)) )) @@ -915587,7 +917033,7 @@ (portRef Q (instanceRef rd_en_i_7)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_7_Channels)) )) - (net N_67_i (joined + (net N_66_i (joined (portRef Z (instanceRef rd_en_i_RNO_8)) (portRef D (instanceRef rd_en_i_8)) )) @@ -915595,7 +917041,7 @@ (portRef Q (instanceRef rd_en_i_8)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_8_Channels)) )) - (net N_57_i (joined + (net N_64_i (joined (portRef Z (instanceRef rd_en_i_RNO_9)) (portRef D (instanceRef rd_en_i_9)) )) @@ -915603,7 +917049,7 @@ (portRef Q (instanceRef rd_en_i_9)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_9_Channels)) )) - (net N_271_i (joined + (net N_215_i (joined (portRef Z (instanceRef rd_en_i_RNO_10)) (portRef D (instanceRef rd_en_i_10)) )) @@ -915611,7 +917057,7 @@ (portRef Q (instanceRef rd_en_i_10)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_10_Channels)) )) - (net N_55_i (joined + (net N_60_i (joined (portRef Z (instanceRef rd_en_i_RNO_11)) (portRef D (instanceRef rd_en_i_11)) )) @@ -915619,7 +917065,7 @@ (portRef Q (instanceRef rd_en_i_11)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_11_Channels)) )) - (net N_52_i (joined + (net N_56_i (joined (portRef Z (instanceRef rd_en_i_RNO_12)) (portRef D (instanceRef rd_en_i_12)) )) @@ -915627,7 +917073,7 @@ (portRef Q (instanceRef rd_en_i_12)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_12_Channels)) )) - (net N_49_i (joined + (net N_50_i (joined (portRef Z (instanceRef rd_en_i_RNO_13)) (portRef D (instanceRef rd_en_i_13)) )) @@ -915635,7 +917081,7 @@ (portRef Q (instanceRef rd_en_i_13)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_13_Channels)) )) - (net N_153_i (joined + (net N_44_i (joined (portRef Z (instanceRef rd_en_i_RNO_14)) (portRef D (instanceRef rd_en_i_14)) )) @@ -915643,7 +917089,7 @@ (portRef Q (instanceRef rd_en_i_14)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_14_Channels)) )) - (net N_47_i (joined + (net N_107_i (joined (portRef Z (instanceRef rd_en_i_RNO_15)) (portRef D (instanceRef rd_en_i_15)) )) @@ -915651,7 +917097,7 @@ (portRef Q (instanceRef rd_en_i_15)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_15_Channels)) )) - (net N_269_i (joined + (net N_228_i (joined (portRef Z (instanceRef rd_en_i_RNO_16)) (portRef D (instanceRef rd_en_i_16)) )) @@ -915659,7 +917105,7 @@ (portRef Q (instanceRef rd_en_i_16)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_16_Channels)) )) - (net N_267_i (joined + (net N_412_i (joined (portRef Z (instanceRef rd_en_i_RNO_17)) (portRef D (instanceRef rd_en_i_17)) )) @@ -915667,7 +917113,7 @@ (portRef Q (instanceRef rd_en_i_17)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_17_Channels)) )) - (net N_265_i (joined + (net N_226_i (joined (portRef Z (instanceRef rd_en_i_RNO_18)) (portRef D (instanceRef rd_en_i_18)) )) @@ -915675,7 +917121,7 @@ (portRef Q (instanceRef rd_en_i_18)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_18_Channels)) )) - (net N_263_i (joined + (net N_101_i (joined (portRef Z (instanceRef rd_en_i_RNO_19)) (portRef D (instanceRef rd_en_i_19)) )) @@ -915683,7 +917129,7 @@ (portRef Q (instanceRef rd_en_i_19)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_19_Channels)) )) - (net N_261_i (joined + (net N_224_i (joined (portRef Z (instanceRef rd_en_i_RNO_20)) (portRef D (instanceRef rd_en_i_20)) )) @@ -915691,7 +917137,7 @@ (portRef Q (instanceRef rd_en_i_20)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_20_Channels)) )) - (net N_259_i (joined + (net N_222_i (joined (portRef Z (instanceRef rd_en_i_RNO_21)) (portRef D (instanceRef rd_en_i_21)) )) @@ -915699,7 +917145,7 @@ (portRef Q (instanceRef rd_en_i_21)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_21_Channels)) )) - (net N_281_i (joined + (net N_414_i (joined (portRef Z (instanceRef rd_en_i_RNO_22)) (portRef D (instanceRef rd_en_i_22)) )) @@ -915707,7 +917153,7 @@ (portRef Q (instanceRef rd_en_i_22)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_22_Channels)) )) - (net N_279_i (joined + (net N_219_i (joined (portRef Z (instanceRef rd_en_i_RNO_23)) (portRef D (instanceRef rd_en_i_23)) )) @@ -915715,7 +917161,7 @@ (portRef Q (instanceRef rd_en_i_23)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_23_Channels)) )) - (net N_96_i (joined + (net N_217_i (joined (portRef Z (instanceRef rd_en_i_RNO_24)) (portRef D (instanceRef rd_en_i_24)) )) @@ -915723,7 +917169,7 @@ (portRef Q (instanceRef rd_en_i_24)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_24_Channels)) )) - (net N_77_i (joined + (net N_98_i (joined (portRef Z (instanceRef rd_en_i_RNO_25)) (portRef D (instanceRef rd_en_i_25)) )) @@ -915731,7 +917177,7 @@ (portRef Q (instanceRef rd_en_i_25)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_25_Channels)) )) - (net N_74_i (joined + (net N_94_i (joined (portRef Z (instanceRef rd_en_i_RNO_26)) (portRef D (instanceRef rd_en_i_26)) )) @@ -915739,7 +917185,7 @@ (portRef Q (instanceRef rd_en_i_26)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_26_Channels)) )) - (net N_72_i (joined + (net N_86_i (joined (portRef Z (instanceRef rd_en_i_RNO_27)) (portRef D (instanceRef rd_en_i_27)) )) @@ -915747,7 +917193,7 @@ (portRef Q (instanceRef rd_en_i_27)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_27_Channels)) )) - (net N_277_i (joined + (net N_2551_i (joined (portRef Z (instanceRef rd_en_i_RNO_28)) (portRef D (instanceRef rd_en_i_28)) )) @@ -915755,7 +917201,7 @@ (portRef Q (instanceRef rd_en_i_28)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_28_Channels)) )) - (net N_275_i (joined + (net N_2550_i (joined (portRef Z (instanceRef rd_en_i_RNO_29)) (portRef D (instanceRef rd_en_i_29)) )) @@ -915763,7 +917209,7 @@ (portRef Q (instanceRef rd_en_i_29)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_29_Channels)) )) - (net N_273_i (joined + (net N_52_i (joined (portRef Z (instanceRef rd_en_i_RNO_30)) (portRef D (instanceRef rd_en_i_30)) )) @@ -915771,7 +917217,7 @@ (portRef Q (instanceRef rd_en_i_30)) (portRef (member rd_en_i 0) (instanceRef GEN_Channels_30_Channels)) )) - (net N_69_i (joined + (net N_2552_i (joined (portRef Z (instanceRef rd_en_i_RNO_31)) (portRef D (instanceRef rd_en_i_31)) )) @@ -915876,11 +917322,11 @@ (portRef D (instanceRef multi_tmg_trig_number_23)) )) (net mask_i_4_0 (joined - (portRef Z (instanceRef mask_i_4_0_0)) + (portRef Z (instanceRef mask_i_4_0_0_0)) (portRef D (instanceRef mask_i_0)) )) (net un1_trg_win_end_i_1_i (joined - (portRef Z (instanceRef updt_mask_i_RNID6SI)) + (portRef Z (instanceRef updt_mask_i_RNIF6SI)) (portRef SP (instanceRef mask_i_31)) (portRef SP (instanceRef mask_i_30)) (portRef SP (instanceRef mask_i_29)) @@ -915917,286 +917363,286 @@ (net mask_i_0 (joined (portRef Q (instanceRef mask_i_0)) (portRef (member mask_i 7) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_0)) + (portRef B (instanceRef mask_i_4_0_0_0)) )) (net mask_i_4_1 (joined - (portRef Z (instanceRef mask_i_4_0_1)) + (portRef Z (instanceRef mask_i_4_0_0_1)) (portRef D (instanceRef mask_i_1)) )) (net mask_i_1 (joined (portRef Q (instanceRef mask_i_1)) (portRef (member mask_i 6) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_1)) + (portRef B (instanceRef mask_i_4_0_0_1)) )) (net mask_i_4_2 (joined - (portRef Z (instanceRef mask_i_4_0_2)) + (portRef Z (instanceRef mask_i_4_0_0_2)) (portRef D (instanceRef mask_i_2)) )) (net mask_i_2 (joined (portRef Q (instanceRef mask_i_2)) (portRef (member mask_i 5) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_2)) + (portRef B (instanceRef mask_i_4_0_0_2)) )) (net mask_i_4_3 (joined - (portRef Z (instanceRef mask_i_4_0_3)) + (portRef Z (instanceRef mask_i_4_0_0_3)) (portRef D (instanceRef mask_i_3)) )) (net mask_i_3 (joined (portRef Q (instanceRef mask_i_3)) (portRef (member mask_i 4) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_3)) + (portRef B (instanceRef mask_i_4_0_0_3)) )) (net mask_i_4_4 (joined - (portRef Z (instanceRef mask_i_4_0_4)) + (portRef Z (instanceRef mask_i_4_0_0_4)) (portRef D (instanceRef mask_i_4)) )) (net mask_i_4 (joined (portRef Q (instanceRef mask_i_4)) (portRef (member mask_i 3) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_4)) + (portRef B (instanceRef mask_i_4_0_0_4)) )) (net mask_i_4_5 (joined - (portRef Z (instanceRef mask_i_4_0_5)) + (portRef Z (instanceRef mask_i_4_0_0_5)) (portRef D (instanceRef mask_i_5)) )) (net mask_i_5 (joined (portRef Q (instanceRef mask_i_5)) (portRef (member mask_i 2) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_5)) + (portRef B (instanceRef mask_i_4_0_0_5)) )) (net mask_i_4_6 (joined - (portRef Z (instanceRef mask_i_4_0_6)) + (portRef Z (instanceRef mask_i_4_0_0_6)) (portRef D (instanceRef mask_i_6)) )) (net mask_i_6 (joined (portRef Q (instanceRef mask_i_6)) (portRef (member mask_i 1) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_6)) + (portRef B (instanceRef mask_i_4_0_0_6)) )) (net mask_i_4_7 (joined - (portRef Z (instanceRef mask_i_4_0_7)) + (portRef Z (instanceRef mask_i_4_0_0_7)) (portRef D (instanceRef mask_i_7)) )) (net mask_i_7 (joined (portRef Q (instanceRef mask_i_7)) (portRef (member mask_i 0) (instanceRef GEN_0_ROM)) - (portRef B (instanceRef mask_i_4_0_7)) + (portRef B (instanceRef mask_i_4_0_0_7)) )) (net mask_i_4_8 (joined - (portRef Z (instanceRef mask_i_4_0_8)) + (portRef Z (instanceRef mask_i_4_0_0_8)) (portRef D (instanceRef mask_i_8)) )) (net mask_i_8 (joined (portRef Q (instanceRef mask_i_8)) (portRef (member mask_i 7) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_8)) + (portRef B (instanceRef mask_i_4_0_0_8)) )) (net mask_i_4_9 (joined - (portRef Z (instanceRef mask_i_4_0_9)) + (portRef Z (instanceRef mask_i_4_0_0_9)) (portRef D (instanceRef mask_i_9)) )) (net mask_i_9 (joined (portRef Q (instanceRef mask_i_9)) (portRef (member mask_i 6) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_9)) + (portRef B (instanceRef mask_i_4_0_0_9)) )) (net mask_i_4_10 (joined - (portRef Z (instanceRef mask_i_4_0_10)) + (portRef Z (instanceRef mask_i_4_0_0_10)) (portRef D (instanceRef mask_i_10)) )) (net mask_i_10 (joined (portRef Q (instanceRef mask_i_10)) (portRef (member mask_i 5) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_10)) + (portRef B (instanceRef mask_i_4_0_0_10)) )) (net mask_i_4_11 (joined - (portRef Z (instanceRef mask_i_4_0_11)) + (portRef Z (instanceRef mask_i_4_0_0_11)) (portRef D (instanceRef mask_i_11)) )) (net mask_i_11 (joined (portRef Q (instanceRef mask_i_11)) (portRef (member mask_i 4) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_11)) + (portRef B (instanceRef mask_i_4_0_0_11)) )) (net mask_i_4_12 (joined - (portRef Z (instanceRef mask_i_4_0_12)) + (portRef Z (instanceRef mask_i_4_0_0_12)) (portRef D (instanceRef mask_i_12)) )) (net mask_i_12 (joined (portRef Q (instanceRef mask_i_12)) (portRef (member mask_i 3) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_12)) + (portRef B (instanceRef mask_i_4_0_0_12)) )) (net mask_i_4_13 (joined - (portRef Z (instanceRef mask_i_4_0_13)) + (portRef Z (instanceRef mask_i_4_0_0_13)) (portRef D (instanceRef mask_i_13)) )) (net mask_i_13 (joined (portRef Q (instanceRef mask_i_13)) (portRef (member mask_i 2) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_13)) + (portRef B (instanceRef mask_i_4_0_0_13)) )) (net mask_i_4_14 (joined - (portRef Z (instanceRef mask_i_4_0_14)) + (portRef Z (instanceRef mask_i_4_0_0_14)) (portRef D (instanceRef mask_i_14)) )) (net mask_i_14 (joined (portRef Q (instanceRef mask_i_14)) (portRef (member mask_i 1) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_14)) + (portRef B (instanceRef mask_i_4_0_0_14)) )) (net mask_i_4_15 (joined - (portRef Z (instanceRef mask_i_4_0_15)) + (portRef Z (instanceRef mask_i_4_0_0_15)) (portRef D (instanceRef mask_i_15)) )) (net mask_i_15 (joined (portRef Q (instanceRef mask_i_15)) (portRef (member mask_i 0) (instanceRef GEN_1_ROM)) - (portRef B (instanceRef mask_i_4_0_15)) + (portRef B (instanceRef mask_i_4_0_0_15)) )) (net mask_i_4_16 (joined - (portRef Z (instanceRef mask_i_4_0_16)) + (portRef Z (instanceRef mask_i_4_0_0_16)) (portRef D (instanceRef mask_i_16)) )) (net mask_i_16 (joined (portRef Q (instanceRef mask_i_16)) (portRef (member mask_i 7) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_16)) + (portRef B (instanceRef mask_i_4_0_0_16)) )) (net mask_i_4_17 (joined - (portRef Z (instanceRef mask_i_4_0_17)) + (portRef Z (instanceRef mask_i_4_0_0_17)) (portRef D (instanceRef mask_i_17)) )) (net mask_i_17 (joined (portRef Q (instanceRef mask_i_17)) (portRef (member mask_i 6) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_17)) + (portRef B (instanceRef mask_i_4_0_0_17)) )) (net mask_i_4_18 (joined - (portRef Z (instanceRef mask_i_4_0_18)) + (portRef Z (instanceRef mask_i_4_0_0_18)) (portRef D (instanceRef mask_i_18)) )) (net mask_i_18 (joined (portRef Q (instanceRef mask_i_18)) (portRef (member mask_i 5) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_18)) + (portRef B (instanceRef mask_i_4_0_0_18)) )) (net mask_i_4_19 (joined - (portRef Z (instanceRef mask_i_4_0_19)) + (portRef Z (instanceRef mask_i_4_0_0_19)) (portRef D (instanceRef mask_i_19)) )) (net mask_i_19 (joined (portRef Q (instanceRef mask_i_19)) (portRef (member mask_i 4) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_19)) + (portRef B (instanceRef mask_i_4_0_0_19)) )) (net mask_i_4_20 (joined - (portRef Z (instanceRef mask_i_4_0_20)) + (portRef Z (instanceRef mask_i_4_0_0_20)) (portRef D (instanceRef mask_i_20)) )) (net mask_i_20 (joined (portRef Q (instanceRef mask_i_20)) (portRef (member mask_i 3) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_20)) + (portRef B (instanceRef mask_i_4_0_0_20)) )) (net mask_i_4_21 (joined - (portRef Z (instanceRef mask_i_4_0_21)) + (portRef Z (instanceRef mask_i_4_0_0_21)) (portRef D (instanceRef mask_i_21)) )) (net mask_i_21 (joined (portRef Q (instanceRef mask_i_21)) (portRef (member mask_i 2) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_21)) + (portRef B (instanceRef mask_i_4_0_0_21)) )) (net mask_i_4_22 (joined - (portRef Z (instanceRef mask_i_4_0_22)) + (portRef Z (instanceRef mask_i_4_0_0_22)) (portRef D (instanceRef mask_i_22)) )) (net mask_i_22 (joined (portRef Q (instanceRef mask_i_22)) (portRef (member mask_i 1) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_22)) + (portRef B (instanceRef mask_i_4_0_0_22)) )) (net mask_i_4_23 (joined - (portRef Z (instanceRef mask_i_4_0_23)) + (portRef Z (instanceRef mask_i_4_0_0_23)) (portRef D (instanceRef mask_i_23)) )) (net mask_i_23 (joined (portRef Q (instanceRef mask_i_23)) (portRef (member mask_i 0) (instanceRef GEN_2_ROM)) - (portRef B (instanceRef mask_i_4_0_23)) + (portRef B (instanceRef mask_i_4_0_0_23)) )) (net mask_i_4_24 (joined - (portRef Z (instanceRef mask_i_4_0_24)) + (portRef Z (instanceRef mask_i_4_0_0_24)) (portRef D (instanceRef mask_i_24)) )) (net mask_i_24 (joined (portRef Q (instanceRef mask_i_24)) (portRef (member mask_i 7) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_24)) + (portRef B (instanceRef mask_i_4_0_0_24)) )) (net mask_i_4_25 (joined - (portRef Z (instanceRef mask_i_4_0_25)) + (portRef Z (instanceRef mask_i_4_0_0_25)) (portRef D (instanceRef mask_i_25)) )) (net mask_i_25 (joined (portRef Q (instanceRef mask_i_25)) (portRef (member mask_i 6) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_25)) + (portRef B (instanceRef mask_i_4_0_0_25)) )) (net mask_i_4_26 (joined - (portRef Z (instanceRef mask_i_4_0_26)) + (portRef Z (instanceRef mask_i_4_0_0_26)) (portRef D (instanceRef mask_i_26)) )) (net mask_i_26 (joined (portRef Q (instanceRef mask_i_26)) (portRef (member mask_i 5) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_26)) + (portRef B (instanceRef mask_i_4_0_0_26)) )) (net mask_i_4_27 (joined - (portRef Z (instanceRef mask_i_4_0_27)) + (portRef Z (instanceRef mask_i_4_0_0_27)) (portRef D (instanceRef mask_i_27)) )) (net mask_i_27 (joined (portRef Q (instanceRef mask_i_27)) (portRef (member mask_i 4) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_27)) + (portRef B (instanceRef mask_i_4_0_0_27)) )) (net mask_i_4_28 (joined - (portRef Z (instanceRef mask_i_4_0_28)) + (portRef Z (instanceRef mask_i_4_0_0_28)) (portRef D (instanceRef mask_i_28)) )) (net mask_i_28 (joined (portRef Q (instanceRef mask_i_28)) (portRef (member mask_i 3) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_28)) + (portRef B (instanceRef mask_i_4_0_0_28)) )) (net mask_i_4_29 (joined - (portRef Z (instanceRef mask_i_4_0_29)) + (portRef Z (instanceRef mask_i_4_0_0_29)) (portRef D (instanceRef mask_i_29)) )) (net mask_i_29 (joined (portRef Q (instanceRef mask_i_29)) (portRef (member mask_i 2) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_29)) + (portRef B (instanceRef mask_i_4_0_0_29)) )) (net mask_i_4_30 (joined - (portRef Z (instanceRef mask_i_4_0_30)) + (portRef Z (instanceRef mask_i_4_0_0_30)) (portRef D (instanceRef mask_i_30)) )) (net mask_i_30 (joined (portRef Q (instanceRef mask_i_30)) (portRef (member mask_i 1) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_30)) + (portRef B (instanceRef mask_i_4_0_0_30)) )) (net mask_i_4_31 (joined - (portRef Z (instanceRef mask_i_4_0_31)) + (portRef Z (instanceRef mask_i_4_0_0_31)) (portRef D (instanceRef mask_i_31)) )) (net mask_i_31 (joined (portRef Q (instanceRef mask_i_31)) (portRef (member mask_i 0) (instanceRef GEN_3_ROM)) - (portRef B (instanceRef mask_i_4_0_31)) + (portRef B (instanceRef mask_i_4_0_0_31)) )) (net un1_invalid_trg_pulse_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_invalid_trg_pulse_cry_0_0)) @@ -916394,15 +917840,15 @@ (portRef Q (instanceRef idle_i)) (portRef B0 (instanceRef un1_idle_i_cry_0_0)) )) - (net N_2287_i (joined - (portRef Z (instanceRef fsm_debug_reg_RNO_0)) + (net fsm_debug_fsm_i_0_0 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_0)) (portRef D (instanceRef fsm_debug_reg_0)) )) (net stat_reg_0 (joined (portRef Q (instanceRef fsm_debug_reg_0)) (portRef stat_reg_0) )) - (net N_2288_i (joined + (net N_43_i (joined (portRef Z (instanceRef fsm_debug_reg_RNO_1)) (portRef D (instanceRef fsm_debug_reg_1)) )) @@ -916410,7 +917856,7 @@ (portRef Q (instanceRef fsm_debug_reg_1)) (portRef stat_reg_1) )) - (net fsm_debug_fsm_i_0_2 (joined + (net N_45 (joined (portRef Z (instanceRef fsm_debug_fsm_i_0_2)) (portRef D (instanceRef fsm_debug_reg_2)) )) @@ -916418,7 +917864,7 @@ (portRef Q (instanceRef fsm_debug_reg_2)) (portRef stat_reg_2) )) - (net N_658_i (joined + (net N_730_i (joined (portRef Z (instanceRef fsm_debug_reg_RNO_3)) (portRef D (instanceRef fsm_debug_reg_3)) )) @@ -916427,56 +917873,114 @@ (portRef stat_reg_3) )) (net fsm_debug_fsm_4 (joined - (portRef Z (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) + (portRef Z (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) (portRef D (instanceRef fsm_debug_reg_4)) )) (net stat_reg_4 (joined (portRef Q (instanceRef fsm_debug_reg_4)) (portRef stat_reg_4) )) + (net fifo_nr_0 (joined + (portRef Q (instanceRef fifo_nr_0)) + (portRef C (instanceRef rd_en_i_RNO_19)) + (portRef C (instanceRef rd_en_i_RNO_18)) + (portRef C (instanceRef rd_en_i_RNO_17)) + (portRef C (instanceRef rd_en_i_RNO_16)) + (portRef C (instanceRef rd_en_i_RNO_15)) + (portRef C (instanceRef rd_en_i_RNO_14)) + (portRef C (instanceRef rd_en_i_RNO_13)) + (portRef C (instanceRef rd_en_i_RNO_12)) + (portRef C (instanceRef rd_en_i_RNO_11)) + (portRef C (instanceRef rd_en_i_RNO_10)) + (portRef C (instanceRef rd_en_i_RNO_9)) + (portRef C (instanceRef rd_en_i_RNO_8)) + (portRef C (instanceRef rd_en_i_RNO_7)) + (portRef C (instanceRef rd_en_i_RNO_6)) + (portRef C (instanceRef rd_en_i_RNO_5)) + (portRef C (instanceRef rd_en_i_RNO_4)) + (portRef C (instanceRef rd_en_i_RNO_3)) + (portRef C (instanceRef rd_en_i_RNO_2)) + (portRef C (instanceRef rd_en_i_RNO_1)) + (portRef C (instanceRef rd_en_i_RNO_0)) + (portRef D (instanceRef data_out_reg_RNO_28)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) + (portRef D (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef C (instanceRef mask_i_4_0_0_a2_0)) + (portRef C (instanceRef mask_i_4_0_0_a2_1)) + (portRef C (instanceRef mask_i_4_0_0_a2_2)) + (portRef C (instanceRef mask_i_4_0_0_a2_3)) + (portRef C (instanceRef mask_i_4_0_0_a2_4)) + (portRef C (instanceRef mask_i_4_0_0_a2_5)) + (portRef C (instanceRef mask_i_4_0_0_a2_6)) + (portRef C (instanceRef mask_i_4_0_0_a2_7)) + (portRef C (instanceRef mask_i_4_0_0_a2_8)) + (portRef C (instanceRef mask_i_4_0_0_a2_9)) + (portRef C (instanceRef mask_i_4_0_0_a2_11)) + (portRef C (instanceRef mask_i_4_0_0_a2_12)) + (portRef C (instanceRef mask_i_4_0_0_a2_13)) + (portRef C (instanceRef mask_i_4_0_0_a2_14)) + (portRef C (instanceRef mask_i_4_0_0_a2_19)) + (portRef C (instanceRef mask_i_4_0_0_a2_25)) + (portRef C (instanceRef mask_i_4_0_0_a2_26)) + (portRef C (instanceRef mask_i_4_0_0_a2_27)) + (portRef C (instanceRef mask_i_4_0_0_a2_30)) + (portRef C (instanceRef mask_i_4_0_0_a2_10)) + (portRef C (instanceRef mask_i_4_0_0_a2_16)) + (portRef C (instanceRef mask_i_4_0_0_a2_17)) + (portRef C (instanceRef mask_i_4_0_0_a2_18)) + (portRef C (instanceRef mask_i_4_0_0_a2_20)) + (portRef C (instanceRef mask_i_4_0_0_a2_21)) + (portRef C (instanceRef mask_i_4_0_0_a2_22)) + (portRef C (instanceRef mask_i_4_0_0_a2_23)) + (portRef C (instanceRef mask_i_4_0_0_a2_28)) + (portRef C (instanceRef mask_i_4_0_0_a2_29)) + (portRef C (instanceRef mask_i_4_0_0_a2_15)) + (portRef C (instanceRef mask_i_4_0_0_a2_31)) + (portRef C (instanceRef mask_i_4_0_0_a2_24)) + )) (net fifo_nr_next_6_0 (joined (portRef Z (instanceRef fifo_nr_next_6_0)) (portRef D (instanceRef fifo_nr_next_0)) )) - (net fifo_nr_next_0 (joined - (portRef Q (instanceRef fifo_nr_next_0)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) - (portRef D (instanceRef fifo_nr_0)) - )) (net fifo_nr_next_6_1 (joined (portRef Z (instanceRef fifo_nr_next_6_1)) (portRef D (instanceRef fifo_nr_next_1)) )) - (net fifo_nr_next_1 (joined - (portRef Q (instanceRef fifo_nr_next_1)) - (portRef C (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef C (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_o2_2)) - (portRef D (instanceRef fifo_nr_1)) - )) (net fifo_nr_1 (joined (portRef Q (instanceRef fifo_nr_1)) - (portRef SD (instanceRef un2_channel_hit_time_16_0)) - (portRef SD (instanceRef un2_channel_hit_time_16_1)) - (portRef SD (instanceRef un2_channel_hit_time_16_2)) - (portRef SD (instanceRef un2_channel_hit_time_16_3)) - (portRef SD (instanceRef un2_channel_hit_time_16_4)) - (portRef SD (instanceRef un2_channel_hit_time_16_5)) - (portRef SD (instanceRef un2_channel_hit_time_16_6)) - (portRef SD (instanceRef un2_channel_hit_time_16_7)) - (portRef SD (instanceRef un2_channel_hit_time_16_8)) - (portRef SD (instanceRef un2_channel_hit_time_16_9)) - (portRef SD (instanceRef un2_channel_hit_time_16_10)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) + (portRef D (instanceRef rd_en_i_RNO_31)) + (portRef D (instanceRef rd_en_i_RNO_30)) + (portRef D (instanceRef rd_en_i_RNO_29)) + (portRef D (instanceRef rd_en_i_RNO_28)) + (portRef D (instanceRef rd_en_i_RNO_27)) + (portRef D (instanceRef rd_en_i_RNO_26)) + (portRef D (instanceRef rd_en_i_RNO_25)) + (portRef D (instanceRef rd_en_i_RNO_24)) + (portRef D (instanceRef rd_en_i_RNO_23)) + (portRef D (instanceRef rd_en_i_RNO_22)) + (portRef D (instanceRef rd_en_i_RNO_21)) + (portRef D (instanceRef rd_en_i_RNO_20)) + (portRef D (instanceRef rd_en_i_RNO_19)) + (portRef D (instanceRef rd_en_i_RNO_18)) + (portRef D (instanceRef rd_en_i_RNO_17)) + (portRef D (instanceRef rd_en_i_RNO_16)) + (portRef D (instanceRef rd_en_i_RNO_15)) + (portRef D (instanceRef rd_en_i_RNO_14)) + (portRef D (instanceRef rd_en_i_RNO_13)) + (portRef D (instanceRef rd_en_i_RNO_12)) + (portRef D (instanceRef rd_en_i_RNO_11)) + (portRef D (instanceRef rd_en_i_RNO_10)) + (portRef D (instanceRef rd_en_i_RNO_9)) + (portRef D (instanceRef rd_en_i_RNO_8)) + (portRef D (instanceRef rd_en_i_RNO_7)) + (portRef D (instanceRef rd_en_i_RNO_6)) + (portRef D (instanceRef rd_en_i_RNO_5)) + (portRef D (instanceRef rd_en_i_RNO_4)) + (portRef D (instanceRef rd_en_i_RNO_3)) + (portRef D (instanceRef rd_en_i_RNO_2)) + (portRef D (instanceRef rd_en_i_RNO_1)) + (portRef D (instanceRef rd_en_i_RNO_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_10)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_11)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_12)) @@ -916487,89 +917991,58 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_17)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_18)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_19)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) - (portRef SD (instanceRef un2_channel_hit_time_31_0)) - (portRef SD (instanceRef un2_channel_hit_time_31_1)) - (portRef SD (instanceRef un2_channel_hit_time_31_2)) - (portRef SD (instanceRef un2_channel_hit_time_31_3)) - (portRef SD (instanceRef un2_channel_hit_time_31_4)) - (portRef SD (instanceRef un2_channel_hit_time_31_5)) - (portRef SD (instanceRef un2_channel_hit_time_31_6)) - (portRef SD (instanceRef un2_channel_hit_time_31_7)) - (portRef SD (instanceRef un2_channel_hit_time_31_8)) - (portRef SD (instanceRef un2_channel_hit_time_31_9)) - (portRef SD (instanceRef un2_channel_hit_time_31_10)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m2)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2)) - (portRef B (instanceRef rd_en_fsm_i_o2_6)) - (portRef B (instanceRef rd_en_fsm_i_o2_5)) - (portRef B (instanceRef rd_en_fsm_i_o2_4)) - (portRef B (instanceRef rd_en_fsm_i_o2_15)) - )) - (net fifo_nr_next_6_2 (joined - (portRef Z (instanceRef fifo_nr_next_6_2)) - (portRef D (instanceRef fifo_nr_next_2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) + (portRef D (instanceRef mask_i_4_0_0_a2_0)) + (portRef D (instanceRef mask_i_4_0_0_a2_1)) + (portRef D (instanceRef mask_i_4_0_0_a2_2)) + (portRef D (instanceRef mask_i_4_0_0_a2_3)) + (portRef D (instanceRef mask_i_4_0_0_a2_4)) + (portRef D (instanceRef mask_i_4_0_0_a2_5)) + (portRef D (instanceRef mask_i_4_0_0_a2_6)) + (portRef D (instanceRef mask_i_4_0_0_a2_7)) + (portRef D (instanceRef mask_i_4_0_0_a2_8)) + (portRef D (instanceRef mask_i_4_0_0_a2_9)) + (portRef D (instanceRef mask_i_4_0_0_a2_11)) + (portRef D (instanceRef mask_i_4_0_0_a2_12)) + (portRef D (instanceRef mask_i_4_0_0_a2_13)) + (portRef D (instanceRef mask_i_4_0_0_a2_14)) + (portRef D (instanceRef mask_i_4_0_0_a2_19)) + (portRef D (instanceRef mask_i_4_0_0_a2_25)) + (portRef D (instanceRef mask_i_4_0_0_a2_26)) + (portRef D (instanceRef mask_i_4_0_0_a2_27)) + (portRef D (instanceRef mask_i_4_0_0_a2_30)) + (portRef D (instanceRef mask_i_4_0_0_a2_10)) + (portRef D (instanceRef mask_i_4_0_0_a2_16)) + (portRef D (instanceRef mask_i_4_0_0_a2_17)) + (portRef D (instanceRef mask_i_4_0_0_a2_18)) + (portRef D (instanceRef mask_i_4_0_0_a2_20)) + (portRef D (instanceRef mask_i_4_0_0_a2_21)) + (portRef D (instanceRef mask_i_4_0_0_a2_22)) + (portRef D (instanceRef mask_i_4_0_0_a2_23)) + (portRef D (instanceRef mask_i_4_0_0_a2_28)) + (portRef D (instanceRef mask_i_4_0_0_a2_29)) + (portRef D (instanceRef mask_i_4_0_0_a2_15)) + (portRef D (instanceRef mask_i_4_0_0_a2_31)) + (portRef D (instanceRef mask_i_4_0_0_a2_24)) )) (net fifo_nr_next_2 (joined (portRef Q (instanceRef fifo_nr_next_2)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef B (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef C (instanceRef FSM_CURRENT_srsts_i_o2_2)) + (portRef A (instanceRef un1_FSM_CURRENT_i_a2_3)) (portRef D (instanceRef fifo_nr_1_2)) (portRef D (instanceRef fifo_nr_2)) )) (net fifo_nr_2 (joined (portRef Q (instanceRef fifo_nr_2)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_1)) + (portRef D (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef D (instanceRef rd_en_fsm_i_0_o2_0_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_16_bm_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_16_am_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_31_bm_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_31_am_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_2)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_3)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_4)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_7)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_8)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_9)) @@ -916593,15 +918066,11 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_28)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_2)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_3)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_4)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_7)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_8)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_9)) @@ -916625,10 +918094,8 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_28)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_10)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_11)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_12)) @@ -916648,24 +918115,148 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_26)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_28)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) - (portRef A (instanceRef rd_en_fsm_i_o2_1_6)) - (portRef A (instanceRef rd_en_fsm_i_o2_0_2)) - (portRef A (instanceRef rd_en_fsm_i_o2_0_31)) - (portRef A (instanceRef rd_en_fsm_i_o2_1_27)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) + (portRef A (instanceRef mask_i_4_0_0_a2_1_25)) + (portRef A (instanceRef mask_i_4_0_0_a2_1_20)) + )) + (net fifo_nr_next_6_2 (joined + (portRef Z (instanceRef fifo_nr_next_6_2)) + (portRef D (instanceRef fifo_nr_next_2)) + )) + (net fifo_nr_next_3 (joined + (portRef Q (instanceRef fifo_nr_next_3)) + (portRef B (instanceRef un1_FSM_CURRENT_i_a2_3)) + (portRef D (instanceRef fifo_nr_1_3)) + (portRef D (instanceRef fifo_nr_2_3)) + (portRef D (instanceRef fifo_nr_3_3)) + (portRef D (instanceRef fifo_nr_3)) + )) + (net fifo_nr_3 (joined + (portRef Q (instanceRef fifo_nr_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_1_14)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_0_7)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_0_27)) + (portRef A (instanceRef rd_en_fsm_i_0_o2_1_19)) + )) + (net fifo_nr_next_6_3 (joined + (portRef Z (instanceRef fifo_nr_next_6_3)) + (portRef D (instanceRef fifo_nr_next_3)) + )) + (net fifo_nr_next_6_4 (joined + (portRef Z (instanceRef fifo_nr_next_6_4)) + (portRef D (instanceRef fifo_nr_next_4)) )) (net fifo_nr_next_4 (joined (portRef Q (instanceRef fifo_nr_next_4)) - (portRef C (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) + (portRef C (instanceRef un1_FSM_CURRENT_i_a2_3)) (portRef D (instanceRef fifo_nr_1_4)) (portRef D (instanceRef fifo_nr_2_4)) - (portRef D (instanceRef fifo_nr_3_4)) - (portRef D (instanceRef fifo_nr_5_4)) (portRef D (instanceRef fifo_nr_4)) + (portRef D (instanceRef fifo_nr_3_4)) (portRef D (instanceRef fifo_nr_4_4)) + (portRef D (instanceRef fifo_nr_5_4)) (portRef D (instanceRef fifo_nr_6_4)) (portRef D (instanceRef fifo_nr_7_4)) )) + (net un1_fifo_nr_hex_0_2 (joined + (portRef Z (instanceRef un1_fifo_nr_hex_0_2)) + (portRef D (instanceRef fifo_nr_next_5)) + )) + (net fifo_nr_next_5 (joined + (portRef Q (instanceRef fifo_nr_next_5)) + (portRef D (instanceRef un1_FSM_CURRENT_i_a2_3)) + (portRef D (instanceRef fifo_nr_5)) + )) (net fifo_nr_7_4 (joined (portRef Q (instanceRef fifo_nr_7_4)) (portRef C (instanceRef un2_channel_hit_time_4_bm_0)) @@ -916750,208 +918341,13 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) (portRef C (instanceRef un2_channel_hit_time_7_bm_0)) (portRef C (instanceRef un2_channel_hit_time_7_am_0)) )) - (net fifo_nr_next_3 (joined - (portRef Q (instanceRef fifo_nr_next_3)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) - (portRef D (instanceRef fifo_nr_1_3)) - (portRef D (instanceRef fifo_nr_2_3)) - (portRef D (instanceRef fifo_nr_4_3)) - (portRef D (instanceRef fifo_nr_3)) - (portRef D (instanceRef fifo_nr_3_3)) - )) - (net fifo_nr_3_3 (joined - (portRef Q (instanceRef fifo_nr_3_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) - (portRef C0 (instanceRef un2_channel_hit_time_11_0)) - (portRef C0 (instanceRef un2_channel_hit_time_11_1)) - (portRef C0 (instanceRef un2_channel_hit_time_11_2)) - (portRef C0 (instanceRef un2_channel_hit_time_11_3)) - (portRef C0 (instanceRef un2_channel_hit_time_11_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_9)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2)) - (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2)) - )) - (net fifo_nr_3 (joined - (portRef Q (instanceRef fifo_nr_3)) - (portRef D (instanceRef rd_en_i_RNO_31)) - (portRef D (instanceRef rd_en_i_RNO_30)) - (portRef D (instanceRef rd_en_i_RNO_29)) - (portRef D (instanceRef rd_en_i_RNO_28)) - (portRef D (instanceRef rd_en_i_RNO_27)) - (portRef D (instanceRef rd_en_i_RNO_26)) - (portRef D (instanceRef rd_en_i_RNO_25)) - (portRef D (instanceRef rd_en_i_RNO_24)) - (portRef D (instanceRef rd_en_i_RNO_23)) - (portRef D (instanceRef rd_en_i_RNO_22)) - (portRef D (instanceRef rd_en_i_RNO_21)) - (portRef D (instanceRef rd_en_i_RNO_20)) - (portRef D (instanceRef rd_en_i_RNO_19)) - (portRef D (instanceRef rd_en_i_RNO_18)) - (portRef D (instanceRef rd_en_i_RNO_17)) - (portRef D (instanceRef rd_en_i_RNO_16)) - (portRef D (instanceRef rd_en_i_RNO_15)) - (portRef D (instanceRef rd_en_i_RNO_14)) - (portRef D (instanceRef rd_en_i_RNO_13)) - (portRef D (instanceRef rd_en_i_RNO_12)) - (portRef D (instanceRef rd_en_i_RNO_11)) - (portRef D (instanceRef rd_en_i_RNO_10)) - (portRef D (instanceRef rd_en_i_RNO_9)) - (portRef D (instanceRef rd_en_i_RNO_8)) - (portRef D (instanceRef rd_en_i_RNO_7)) - (portRef D (instanceRef rd_en_i_RNO_6)) - (portRef D (instanceRef rd_en_i_RNO_5)) - (portRef D (instanceRef rd_en_i_RNO_4)) - (portRef D (instanceRef rd_en_i_RNO_3)) - (portRef D (instanceRef rd_en_i_RNO_2)) - (portRef D (instanceRef rd_en_i_RNO_1)) - (portRef D (instanceRef rd_en_i_RNO_0)) - (portRef D (instanceRef mask_i_4_0_a2_0)) - (portRef D (instanceRef mask_i_4_0_a2_2)) - (portRef D (instanceRef mask_i_4_0_a2_4)) - (portRef D (instanceRef mask_i_4_0_a2_5)) - (portRef D (instanceRef mask_i_4_0_a2_6)) - (portRef D (instanceRef mask_i_4_0_a2_8)) - (portRef D (instanceRef mask_i_4_0_a2_9)) - (portRef D (instanceRef mask_i_4_0_a2_11)) - (portRef D (instanceRef mask_i_4_0_a2_12)) - (portRef D (instanceRef mask_i_4_0_a2_13)) - (portRef D (instanceRef mask_i_4_0_a2_15)) - (portRef D (instanceRef mask_i_4_0_a2_24)) - (portRef D (instanceRef mask_i_4_0_a2_29)) - (portRef D (instanceRef mask_i_4_0_a2_30)) - (portRef D (instanceRef mask_i_4_0_a2_31)) - (portRef D (instanceRef mask_i_4_0_a2_3)) - (portRef D (instanceRef mask_i_4_0_a2_7)) - (portRef D (instanceRef mask_i_4_0_a2_14)) - (portRef D (instanceRef mask_i_4_0_a2_25)) - (portRef D (instanceRef mask_i_4_0_a2_26)) - (portRef D (instanceRef mask_i_4_0_a2_27)) - (portRef D (instanceRef mask_i_4_0_a2_1)) - (portRef D (instanceRef mask_i_4_0_a2_10)) - (portRef D (instanceRef mask_i_4_0_a2_16)) - (portRef D (instanceRef mask_i_4_0_a2_17)) - (portRef D (instanceRef mask_i_4_0_a2_18)) - (portRef D (instanceRef mask_i_4_0_a2_19)) - (portRef D (instanceRef mask_i_4_0_a2_20)) - (portRef D (instanceRef mask_i_4_0_a2_21)) - (portRef D (instanceRef mask_i_4_0_a2_22)) - (portRef D (instanceRef mask_i_4_0_a2_23)) - (portRef D (instanceRef mask_i_4_0_a2_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_27)) - )) - (net fifo_nr_next_6_3 (joined - (portRef Z (instanceRef fifo_nr_next_6_3)) - (portRef D (instanceRef fifo_nr_next_3)) - )) - (net un1_fifo_nr_hex_0_2 (joined - (portRef Z (instanceRef un1_fifo_nr_hex_0_2)) - (portRef D (instanceRef fifo_nr_next_5)) - )) - (net fifo_nr_next_5 (joined - (portRef Q (instanceRef fifo_nr_next_5)) - (portRef D (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) - (portRef D (instanceRef fifo_nr_5)) - )) (net fifo_nr_6_4 (joined (portRef Q (instanceRef fifo_nr_6_4)) (portRef C (instanceRef un2_channel_hit_time_7_bm_1)) @@ -917043,279 +918439,6 @@ (portRef C (instanceRef un2_channel_hit_time_22_bm_0)) (portRef C (instanceRef un2_channel_hit_time_22_am_0)) )) - (net fifo_nr_4_3 (joined - (portRef Q (instanceRef fifo_nr_4_3)) - (portRef C0 (instanceRef un2_channel_hit_time_4_0)) - (portRef C0 (instanceRef un2_channel_hit_time_4_1)) - (portRef C0 (instanceRef un2_channel_hit_time_4_2)) - (portRef C0 (instanceRef un2_channel_hit_time_4_3)) - (portRef C0 (instanceRef un2_channel_hit_time_4_4)) - (portRef C0 (instanceRef un2_channel_hit_time_4_5)) - (portRef C0 (instanceRef un2_channel_hit_time_4_6)) - (portRef C0 (instanceRef un2_channel_hit_time_4_7)) - (portRef C0 (instanceRef un2_channel_hit_time_4_8)) - (portRef C0 (instanceRef un2_channel_hit_time_4_9)) - (portRef C0 (instanceRef un2_channel_hit_time_4_10)) - (portRef C0 (instanceRef un2_channel_hit_time_7_0)) - (portRef C0 (instanceRef un2_channel_hit_time_7_1)) - (portRef C0 (instanceRef un2_channel_hit_time_7_2)) - (portRef C0 (instanceRef un2_channel_hit_time_7_3)) - (portRef C0 (instanceRef un2_channel_hit_time_7_4)) - (portRef C0 (instanceRef un2_channel_hit_time_7_5)) - (portRef C0 (instanceRef un2_channel_hit_time_7_6)) - (portRef C0 (instanceRef un2_channel_hit_time_7_7)) - (portRef C0 (instanceRef un2_channel_hit_time_7_8)) - (portRef C0 (instanceRef un2_channel_hit_time_7_9)) - (portRef C0 (instanceRef un2_channel_hit_time_7_10)) - (portRef C0 (instanceRef un2_channel_hit_time_11_4)) - (portRef C0 (instanceRef un2_channel_hit_time_11_5)) - (portRef C0 (instanceRef un2_channel_hit_time_11_6)) - (portRef C0 (instanceRef un2_channel_hit_time_11_7)) - (portRef C0 (instanceRef un2_channel_hit_time_11_8)) - (portRef C0 (instanceRef un2_channel_hit_time_11_9)) - (portRef C0 (instanceRef un2_channel_hit_time_14_0)) - (portRef C0 (instanceRef un2_channel_hit_time_14_1)) - (portRef C0 (instanceRef un2_channel_hit_time_14_2)) - (portRef C0 (instanceRef un2_channel_hit_time_14_3)) - (portRef C0 (instanceRef un2_channel_hit_time_14_4)) - (portRef C0 (instanceRef un2_channel_hit_time_14_5)) - (portRef C0 (instanceRef un2_channel_hit_time_14_6)) - (portRef C0 (instanceRef un2_channel_hit_time_14_7)) - (portRef C0 (instanceRef un2_channel_hit_time_14_8)) - (portRef C0 (instanceRef un2_channel_hit_time_14_9)) - (portRef C0 (instanceRef un2_channel_hit_time_14_10)) - (portRef C0 (instanceRef un2_channel_hit_time_19_0)) - (portRef C0 (instanceRef un2_channel_hit_time_19_1)) - (portRef C0 (instanceRef un2_channel_hit_time_19_2)) - (portRef C0 (instanceRef un2_channel_hit_time_19_3)) - (portRef C0 (instanceRef un2_channel_hit_time_19_4)) - (portRef C0 (instanceRef un2_channel_hit_time_19_5)) - (portRef C0 (instanceRef un2_channel_hit_time_19_6)) - (portRef C0 (instanceRef un2_channel_hit_time_19_7)) - (portRef C0 (instanceRef un2_channel_hit_time_19_8)) - (portRef C0 (instanceRef un2_channel_hit_time_19_9)) - (portRef C0 (instanceRef un2_channel_hit_time_19_10)) - (portRef C0 (instanceRef un2_channel_hit_time_22_0)) - (portRef C0 (instanceRef un2_channel_hit_time_22_1)) - (portRef C0 (instanceRef un2_channel_hit_time_22_2)) - (portRef C0 (instanceRef un2_channel_hit_time_22_3)) - (portRef C0 (instanceRef un2_channel_hit_time_22_4)) - (portRef C0 (instanceRef un2_channel_hit_time_22_5)) - (portRef C0 (instanceRef un2_channel_hit_time_22_6)) - (portRef C0 (instanceRef un2_channel_hit_time_22_7)) - (portRef C0 (instanceRef un2_channel_hit_time_22_8)) - (portRef C0 (instanceRef un2_channel_hit_time_22_9)) - (portRef C0 (instanceRef un2_channel_hit_time_22_10)) - (portRef C0 (instanceRef un2_channel_hit_time_26_0)) - (portRef C0 (instanceRef un2_channel_hit_time_26_1)) - (portRef C0 (instanceRef un2_channel_hit_time_26_2)) - (portRef C0 (instanceRef un2_channel_hit_time_26_3)) - (portRef C0 (instanceRef un2_channel_hit_time_26_4)) - (portRef C0 (instanceRef un2_channel_hit_time_26_5)) - (portRef C0 (instanceRef un2_channel_hit_time_26_6)) - (portRef C0 (instanceRef un2_channel_hit_time_26_7)) - (portRef C0 (instanceRef un2_channel_hit_time_26_8)) - (portRef C0 (instanceRef un2_channel_hit_time_26_9)) - (portRef C0 (instanceRef un2_channel_hit_time_26_10)) - (portRef C0 (instanceRef un2_channel_hit_time_29_0)) - (portRef C0 (instanceRef un2_channel_hit_time_29_1)) - (portRef C0 (instanceRef un2_channel_hit_time_29_2)) - (portRef C0 (instanceRef un2_channel_hit_time_29_3)) - (portRef C0 (instanceRef un2_channel_hit_time_29_4)) - (portRef C0 (instanceRef un2_channel_hit_time_29_5)) - (portRef C0 (instanceRef un2_channel_hit_time_29_6)) - (portRef C0 (instanceRef un2_channel_hit_time_29_7)) - (portRef C0 (instanceRef un2_channel_hit_time_29_8)) - (portRef C0 (instanceRef un2_channel_hit_time_29_9)) - (portRef C0 (instanceRef un2_channel_hit_time_29_10)) - )) - (net fifo_nr_4_4 (joined - (portRef Q (instanceRef fifo_nr_4_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_25)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_26)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_27)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_28)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_1)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_2)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_3)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_10)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_11)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_23)) - )) - (net fifo_nr_4 (joined - (portRef Q (instanceRef fifo_nr_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_0)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_1)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_2)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_3)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_10)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_11)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_11)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_12)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_13)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_14)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_15)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_16)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_25)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_26)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_27)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_28)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_31)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_am)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_bm)) - (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_am)) - (portRef B (instanceRef rd_en_fsm_i_o2_1_6)) - (portRef B (instanceRef rd_en_fsm_i_o2_0_2)) - (portRef B (instanceRef rd_en_fsm_i_o2_0_31)) - (portRef B (instanceRef rd_en_fsm_i_o2_1_27)) - )) - (net fifo_nr_next_6_4 (joined - (portRef Z (instanceRef fifo_nr_next_6_4)) - (portRef D (instanceRef fifo_nr_next_4)) - )) (net fifo_nr_5_4 (joined (portRef Q (instanceRef fifo_nr_5_4)) (portRef C (instanceRef un2_channel_hit_time_22_bm_1)) @@ -917407,12 +918530,191 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_11)) )) - (net fifo_nr_3_4 (joined - (portRef Q (instanceRef fifo_nr_3_4)) + (net fifo_nr_4_4 (joined + (portRef Q (instanceRef fifo_nr_4_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_25)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_23)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_24)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_24)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_25)) + )) + (net fifo_nr_3_3 (joined + (portRef Q (instanceRef fifo_nr_3_3)) + (portRef C0 (instanceRef un2_channel_hit_time_4_0)) + (portRef C0 (instanceRef un2_channel_hit_time_4_1)) + (portRef C0 (instanceRef un2_channel_hit_time_4_2)) + (portRef C0 (instanceRef un2_channel_hit_time_4_3)) + (portRef C0 (instanceRef un2_channel_hit_time_4_4)) + (portRef C0 (instanceRef un2_channel_hit_time_4_5)) + (portRef C0 (instanceRef un2_channel_hit_time_4_6)) + (portRef C0 (instanceRef un2_channel_hit_time_4_7)) + (portRef C0 (instanceRef un2_channel_hit_time_4_8)) + (portRef C0 (instanceRef un2_channel_hit_time_4_9)) + (portRef C0 (instanceRef un2_channel_hit_time_4_10)) + (portRef C0 (instanceRef un2_channel_hit_time_7_0)) + (portRef C0 (instanceRef un2_channel_hit_time_7_1)) + (portRef C0 (instanceRef un2_channel_hit_time_7_2)) + (portRef C0 (instanceRef un2_channel_hit_time_7_3)) + (portRef C0 (instanceRef un2_channel_hit_time_7_4)) + (portRef C0 (instanceRef un2_channel_hit_time_7_5)) + (portRef C0 (instanceRef un2_channel_hit_time_7_6)) + (portRef C0 (instanceRef un2_channel_hit_time_7_7)) + (portRef C0 (instanceRef un2_channel_hit_time_7_8)) + (portRef C0 (instanceRef un2_channel_hit_time_7_9)) + (portRef C0 (instanceRef un2_channel_hit_time_7_10)) + (portRef C0 (instanceRef un2_channel_hit_time_11_0)) + (portRef C0 (instanceRef un2_channel_hit_time_11_1)) + (portRef C0 (instanceRef un2_channel_hit_time_11_2)) + (portRef C0 (instanceRef un2_channel_hit_time_11_3)) + (portRef C0 (instanceRef un2_channel_hit_time_11_4)) + (portRef C0 (instanceRef un2_channel_hit_time_11_5)) + (portRef C0 (instanceRef un2_channel_hit_time_11_6)) + (portRef C0 (instanceRef un2_channel_hit_time_11_7)) + (portRef C0 (instanceRef un2_channel_hit_time_11_8)) + (portRef C0 (instanceRef un2_channel_hit_time_11_9)) + (portRef C0 (instanceRef un2_channel_hit_time_11_10)) + (portRef C0 (instanceRef un2_channel_hit_time_14_0)) + (portRef C0 (instanceRef un2_channel_hit_time_14_1)) + (portRef C0 (instanceRef un2_channel_hit_time_14_2)) + (portRef C0 (instanceRef un2_channel_hit_time_14_3)) + (portRef C0 (instanceRef un2_channel_hit_time_14_4)) + (portRef C0 (instanceRef un2_channel_hit_time_14_5)) + (portRef C0 (instanceRef un2_channel_hit_time_14_6)) + (portRef C0 (instanceRef un2_channel_hit_time_14_7)) + (portRef C0 (instanceRef un2_channel_hit_time_14_8)) + (portRef C0 (instanceRef un2_channel_hit_time_14_9)) + (portRef C0 (instanceRef un2_channel_hit_time_14_10)) + (portRef C0 (instanceRef un2_channel_hit_time_19_0)) + (portRef C0 (instanceRef un2_channel_hit_time_19_1)) + (portRef C0 (instanceRef un2_channel_hit_time_19_2)) + (portRef C0 (instanceRef un2_channel_hit_time_19_3)) + (portRef C0 (instanceRef un2_channel_hit_time_19_4)) + (portRef C0 (instanceRef un2_channel_hit_time_19_5)) + (portRef C0 (instanceRef un2_channel_hit_time_19_6)) + (portRef C0 (instanceRef un2_channel_hit_time_19_7)) + (portRef C0 (instanceRef un2_channel_hit_time_19_8)) + (portRef C0 (instanceRef un2_channel_hit_time_19_9)) + (portRef C0 (instanceRef un2_channel_hit_time_19_10)) + (portRef C0 (instanceRef un2_channel_hit_time_22_0)) + (portRef C0 (instanceRef un2_channel_hit_time_22_1)) + (portRef C0 (instanceRef un2_channel_hit_time_22_2)) + (portRef C0 (instanceRef un2_channel_hit_time_22_3)) + (portRef C0 (instanceRef un2_channel_hit_time_22_4)) + (portRef C0 (instanceRef un2_channel_hit_time_22_5)) + (portRef C0 (instanceRef un2_channel_hit_time_22_6)) + (portRef C0 (instanceRef un2_channel_hit_time_22_7)) + (portRef C0 (instanceRef un2_channel_hit_time_22_8)) + (portRef C0 (instanceRef un2_channel_hit_time_22_9)) + (portRef C0 (instanceRef un2_channel_hit_time_22_10)) + (portRef C0 (instanceRef un2_channel_hit_time_26_0)) + (portRef C0 (instanceRef un2_channel_hit_time_26_1)) + (portRef C0 (instanceRef un2_channel_hit_time_26_2)) + (portRef C0 (instanceRef un2_channel_hit_time_26_3)) + (portRef C0 (instanceRef un2_channel_hit_time_26_4)) + (portRef C0 (instanceRef un2_channel_hit_time_26_5)) + (portRef C0 (instanceRef un2_channel_hit_time_26_6)) + (portRef C0 (instanceRef un2_channel_hit_time_26_7)) + (portRef C0 (instanceRef un2_channel_hit_time_26_8)) + (portRef C0 (instanceRef un2_channel_hit_time_26_9)) + (portRef C0 (instanceRef un2_channel_hit_time_26_10)) + (portRef C0 (instanceRef un2_channel_hit_time_29_0)) + (portRef C0 (instanceRef un2_channel_hit_time_29_1)) + (portRef C0 (instanceRef un2_channel_hit_time_29_2)) + (portRef C0 (instanceRef un2_channel_hit_time_29_3)) + (portRef C0 (instanceRef un2_channel_hit_time_29_4)) + (portRef C0 (instanceRef un2_channel_hit_time_29_5)) + (portRef C0 (instanceRef un2_channel_hit_time_29_6)) + (portRef C0 (instanceRef un2_channel_hit_time_29_7)) + (portRef C0 (instanceRef un2_channel_hit_time_29_8)) + (portRef C0 (instanceRef un2_channel_hit_time_29_9)) + (portRef C0 (instanceRef un2_channel_hit_time_29_10)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2)) + )) + (net fifo_nr_3_4 (joined + (portRef Q (instanceRef fifo_nr_3_4)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_26)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_27)) @@ -917421,10 +918723,6 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_1)) @@ -917485,10 +918783,6 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_1)) @@ -917498,9 +918792,123 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_3)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_3)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_10)) )) (net fifo_nr_2_3 (joined (portRef Q (instanceRef fifo_nr_2_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_0)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_1)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_15)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_16)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_17)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_18)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_19)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_20)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_21)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_22)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_23)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_24)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_25)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_26)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_27)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_28)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_31)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2)) + (portRef C0 (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) + )) + (net fifo_nr_1_3 (joined + (portRef Q (instanceRef fifo_nr_1_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_2)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_3)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_4)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_5)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_6)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_7)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_8)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_0)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_1)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_2)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_3)) @@ -917510,6 +918918,12 @@ (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_7)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_8)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_9)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_11)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_12)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_13)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_14)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_15)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_16)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_17)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_18)) @@ -917524,8 +918938,6 @@ (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_27)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_28)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_1)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_2)) @@ -917556,12 +918968,8 @@ (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_27)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_28)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_0)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_3)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_10)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_11)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_12)) @@ -917574,31 +918982,107 @@ (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_19)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_20)) (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_29_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) + )) + (net fifo_nr_4 (joined + (portRef Q (instanceRef fifo_nr_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_25)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_28)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_31)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm)) + (portRef C (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_11_am_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_31)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_1_14)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_0_7)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_0_27)) + (portRef B (instanceRef rd_en_fsm_i_0_o2_1_19)) )) (net fifo_nr_2_4 (joined (portRef Q (instanceRef fifo_nr_2_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_5)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_6)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_7)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_8)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_9)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_10)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_10)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_11)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_11)) @@ -917638,10 +919122,6 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_19_am_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_1)) @@ -917676,6 +919156,101 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_15)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_24)) + )) + (net fifo_nr_1_1 (joined + (portRef Q (instanceRef fifo_nr_1_1)) + (portRef SD (instanceRef un2_channel_hit_time_16_0)) + (portRef SD (instanceRef un2_channel_hit_time_16_1)) + (portRef SD (instanceRef un2_channel_hit_time_16_2)) + (portRef SD (instanceRef un2_channel_hit_time_16_3)) + (portRef SD (instanceRef un2_channel_hit_time_16_4)) + (portRef SD (instanceRef un2_channel_hit_time_16_5)) + (portRef SD (instanceRef un2_channel_hit_time_16_6)) + (portRef SD (instanceRef un2_channel_hit_time_16_7)) + (portRef SD (instanceRef un2_channel_hit_time_16_8)) + (portRef SD (instanceRef un2_channel_hit_time_16_9)) + (portRef SD (instanceRef un2_channel_hit_time_16_10)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) + (portRef SD (instanceRef un2_channel_hit_time_31_0)) + (portRef SD (instanceRef un2_channel_hit_time_31_1)) + (portRef SD (instanceRef un2_channel_hit_time_31_2)) + (portRef SD (instanceRef un2_channel_hit_time_31_3)) + (portRef SD (instanceRef un2_channel_hit_time_31_4)) + (portRef SD (instanceRef un2_channel_hit_time_31_5)) + (portRef SD (instanceRef un2_channel_hit_time_31_6)) + (portRef SD (instanceRef un2_channel_hit_time_31_7)) + (portRef SD (instanceRef un2_channel_hit_time_31_8)) + (portRef SD (instanceRef un2_channel_hit_time_31_9)) + (portRef SD (instanceRef un2_channel_hit_time_31_10)) + (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) )) (net fifo_nr_1_2 (joined (portRef Q (instanceRef fifo_nr_1_2)) @@ -917690,13 +919265,10 @@ (portRef SD (instanceRef un2_channel_hit_time_8_8)) (portRef SD (instanceRef un2_channel_hit_time_8_9)) (portRef SD (instanceRef un2_channel_hit_time_8_10)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_1)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_2)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_3)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_4)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_5)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_7)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_8)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_9)) @@ -917720,8 +919292,10 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_27)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_28)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_29)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) (portRef SD (instanceRef un2_channel_hit_time_15_0)) (portRef SD (instanceRef un2_channel_hit_time_15_1)) (portRef SD (instanceRef un2_channel_hit_time_15_2)) @@ -917763,117 +919337,16 @@ (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_7)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_8)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_9)) - (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m2)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m2)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m2)) - (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m2)) - )) - (net fifo_nr_1_3 (joined - (portRef Q (instanceRef fifo_nr_1_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_1)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_2)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_3)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_4)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_5)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_6)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_7)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_8)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_9)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_15)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_16)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_17)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_18)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_19)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_20)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_21)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_22)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_23)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_24)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_25)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_26)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_27)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_28)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_0)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_10)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_11)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_12)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_13)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_14)) - (portRef C0 (instanceRef Data_Out_MUX_data_out_reg_3_22_15)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2)) + (portRef SD (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2)) + (portRef SD (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) )) (net fifo_nr_1_4 (joined (portRef Q (instanceRef fifo_nr_1_4)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_17)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_18)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_19)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_20)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_21)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_22)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_23)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_24)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_25)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_25)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) @@ -917884,10 +919357,6 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_29)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) - (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_0)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) @@ -917947,6 +919416,26 @@ (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_28)) (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_am_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_9)) )) (net fifo_nr_5 (joined (portRef Q (instanceRef fifo_nr_5)) @@ -917991,9 +919480,10 @@ (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_27)) (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_28)) (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_29)) - (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_31)) - (portRef A (instanceRef mask_i_4_0_a2_2_20)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) + (portRef B (instanceRef mask_i_4_0_0_a2_1_25)) + (portRef B (instanceRef mask_i_4_0_0_a2_1_20)) )) (net empty_channels_0 (joined (portRef Q (instanceRef empty_channels_0)) @@ -918123,8 +919613,16 @@ (portRef Q (instanceRef empty_channels_31)) (portRef B (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28_bm)) )) - (net N_7_i (joined - (portRef Z (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) + (net fee_data_write_i (joined + (portRef Q (instanceRef data_wr_reg)) + (portRef fee_data_write_i) + )) + (net data_out_reg_22_0_iv_i_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) + (portRef D (instanceRef data_out_reg_0)) + )) + (net N_2578_i (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_2_RNI6MFM)) (portRef SP (instanceRef data_out_reg_31)) (portRef SP (instanceRef data_out_reg_30)) (portRef SP (instanceRef data_out_reg_29)) @@ -918157,230 +919655,221 @@ (portRef SP (instanceRef data_out_reg_2)) (portRef SP (instanceRef data_out_reg_1)) (portRef SP (instanceRef data_out_reg_0)) - (portRef D (instanceRef data_wr_reg)) - )) - (net fee_data_write_i (joined - (portRef Q (instanceRef data_wr_reg)) - (portRef fee_data_write_i) - )) - (net data_out_reg_22_0 (joined - (portRef Z (instanceRef data_out_reg_RNO_0)) - (portRef D (instanceRef data_out_reg_0)) )) (net fee_data_i_0 (joined (portRef Q (instanceRef data_out_reg_0)) (portRef (member fee_data_i 31)) )) - (net data_out_reg_22_1 (joined - (portRef Z (instanceRef data_out_reg_RNO_1)) + (net data_out_reg_22_0_i_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) (portRef D (instanceRef data_out_reg_1)) )) (net fee_data_i_1 (joined (portRef Q (instanceRef data_out_reg_1)) (portRef (member fee_data_i 30)) )) - (net data_out_reg_22_2 (joined - (portRef Z (instanceRef data_out_reg_RNO_2)) + (net data_out_reg_22_0_i_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) (portRef D (instanceRef data_out_reg_2)) )) (net fee_data_i_2 (joined (portRef Q (instanceRef data_out_reg_2)) (portRef (member fee_data_i 29)) )) - (net data_out_reg_22_3 (joined - (portRef Z (instanceRef data_out_reg_RNO_3)) + (net data_out_reg_22_0_i_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) (portRef D (instanceRef data_out_reg_3)) )) (net fee_data_i_3 (joined (portRef Q (instanceRef data_out_reg_3)) (portRef (member fee_data_i 28)) )) - (net data_out_reg_22_4 (joined - (portRef Z (instanceRef data_out_reg_RNO_4)) + (net data_out_reg_22_0_i_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) (portRef D (instanceRef data_out_reg_4)) )) (net fee_data_i_4 (joined (portRef Q (instanceRef data_out_reg_4)) (portRef (member fee_data_i 27)) )) - (net data_out_reg_22_5 (joined - (portRef Z (instanceRef data_out_reg_RNO_5)) + (net data_out_reg_22_0_i_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) (portRef D (instanceRef data_out_reg_5)) )) (net fee_data_i_5 (joined (portRef Q (instanceRef data_out_reg_5)) (portRef (member fee_data_i 26)) )) - (net data_out_reg_22_6 (joined - (portRef Z (instanceRef data_out_reg_RNO_6)) + (net data_out_reg_22_0_iv_i_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) (portRef D (instanceRef data_out_reg_6)) )) (net fee_data_i_6 (joined (portRef Q (instanceRef data_out_reg_6)) (portRef (member fee_data_i 25)) )) - (net data_out_reg_22_7 (joined - (portRef Z (instanceRef data_out_reg_RNO_7)) + (net data_out_reg_22_0_iv_i_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) (portRef D (instanceRef data_out_reg_7)) )) (net fee_data_i_7 (joined (portRef Q (instanceRef data_out_reg_7)) (portRef (member fee_data_i 24)) )) - (net data_out_reg_22_8 (joined - (portRef Z (instanceRef data_out_reg_RNO_8)) + (net data_out_reg_22_0_iv_i_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) (portRef D (instanceRef data_out_reg_8)) )) (net fee_data_i_8 (joined (portRef Q (instanceRef data_out_reg_8)) (portRef (member fee_data_i 23)) )) - (net data_out_reg_22_9 (joined - (portRef Z (instanceRef data_out_reg_RNO_9)) + (net data_out_reg_22_0_iv_i_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) (portRef D (instanceRef data_out_reg_9)) )) (net fee_data_i_9 (joined (portRef Q (instanceRef data_out_reg_9)) (portRef (member fee_data_i 22)) )) - (net data_out_reg_22_10 (joined - (portRef Z (instanceRef data_out_reg_RNO_10)) + (net data_out_reg_22_0_iv_i_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) (portRef D (instanceRef data_out_reg_10)) )) (net fee_data_i_10 (joined (portRef Q (instanceRef data_out_reg_10)) (portRef (member fee_data_i 21)) )) - (net data_out_reg_22_11 (joined - (portRef Z (instanceRef data_out_reg_RNO_11)) + (net data_out_reg_22_0_iv_i_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) (portRef D (instanceRef data_out_reg_11)) )) (net fee_data_i_11 (joined (portRef Q (instanceRef data_out_reg_11)) (portRef (member fee_data_i 20)) )) - (net data_out_reg_22_12 (joined - (portRef Z (instanceRef data_out_reg_RNO_12)) + (net data_out_reg_22_0_iv_i_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) (portRef D (instanceRef data_out_reg_12)) )) (net fee_data_i_12 (joined (portRef Q (instanceRef data_out_reg_12)) (portRef (member fee_data_i 19)) )) - (net data_out_reg_22_13 (joined - (portRef Z (instanceRef data_out_reg_RNO_13)) + (net data_out_reg_22_0_iv_i_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) (portRef D (instanceRef data_out_reg_13)) )) (net fee_data_i_13 (joined (portRef Q (instanceRef data_out_reg_13)) (portRef (member fee_data_i 18)) )) - (net data_out_reg_22_14 (joined - (portRef Z (instanceRef data_out_reg_RNO_14)) + (net data_out_reg_22_0_iv_i_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) (portRef D (instanceRef data_out_reg_14)) )) (net fee_data_i_14 (joined (portRef Q (instanceRef data_out_reg_14)) (portRef (member fee_data_i 17)) )) - (net data_out_reg_22_15 (joined - (portRef Z (instanceRef data_out_reg_RNO_15)) + (net data_out_reg_22_0_iv_i_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) (portRef D (instanceRef data_out_reg_15)) )) (net fee_data_i_15 (joined (portRef Q (instanceRef data_out_reg_15)) (portRef (member fee_data_i 16)) )) - (net data_out_reg_22_16 (joined - (portRef Z (instanceRef data_out_reg_RNO_16)) + (net data_out_reg_22_0_iv_i_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) (portRef D (instanceRef data_out_reg_16)) )) (net fee_data_i_16 (joined (portRef Q (instanceRef data_out_reg_16)) (portRef (member fee_data_i 15)) )) - (net data_out_reg_22_17 (joined - (portRef Z (instanceRef data_out_reg_RNO_17)) + (net data_out_reg_22_0_iv_i_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) (portRef D (instanceRef data_out_reg_17)) )) (net fee_data_i_17 (joined (portRef Q (instanceRef data_out_reg_17)) (portRef (member fee_data_i 14)) )) - (net data_out_reg_22_18 (joined - (portRef Z (instanceRef data_out_reg_RNO_18)) + (net data_out_reg_22_0_iv_i_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) (portRef D (instanceRef data_out_reg_18)) )) (net fee_data_i_18 (joined (portRef Q (instanceRef data_out_reg_18)) (portRef (member fee_data_i 13)) )) - (net data_out_reg_22_19 (joined - (portRef Z (instanceRef data_out_reg_RNO_19)) + (net data_out_reg_22_0_iv_i_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) (portRef D (instanceRef data_out_reg_19)) )) (net fee_data_i_19 (joined (portRef Q (instanceRef data_out_reg_19)) (portRef (member fee_data_i 12)) )) - (net data_out_reg_22_20 (joined - (portRef Z (instanceRef data_out_reg_RNO_20)) + (net data_out_reg_22_0_iv_i_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) (portRef D (instanceRef data_out_reg_20)) )) (net fee_data_i_20 (joined (portRef Q (instanceRef data_out_reg_20)) (portRef (member fee_data_i 11)) )) - (net data_out_reg_22_21 (joined - (portRef Z (instanceRef data_out_reg_RNO_21)) + (net data_out_reg_22_0_iv_i_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) (portRef D (instanceRef data_out_reg_21)) )) (net fee_data_i_21 (joined (portRef Q (instanceRef data_out_reg_21)) (portRef (member fee_data_i 10)) )) - (net data_out_reg_22_22 (joined - (portRef Z (instanceRef data_out_reg_RNO_22)) + (net data_out_reg_22_0_iv_i_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) (portRef D (instanceRef data_out_reg_22)) )) (net fee_data_i_22 (joined (portRef Q (instanceRef data_out_reg_22)) (portRef (member fee_data_i 9)) )) - (net data_out_reg_22_23 (joined - (portRef Z (instanceRef data_out_reg_RNO_23)) + (net data_out_reg_22_0_iv_i_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) (portRef D (instanceRef data_out_reg_23)) )) (net fee_data_i_23 (joined (portRef Q (instanceRef data_out_reg_23)) (portRef (member fee_data_i 8)) )) - (net data_out_reg_22_24 (joined - (portRef Z (instanceRef data_out_reg_RNO_24)) + (net data_out_reg_22_1_iv_i_24 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) (portRef D (instanceRef data_out_reg_24)) )) (net fee_data_i_24 (joined (portRef Q (instanceRef data_out_reg_24)) (portRef (member fee_data_i 7)) )) - (net data_out_reg_22_25 (joined - (portRef Z (instanceRef data_out_reg_RNO_25)) + (net data_out_reg_22_0_i_25 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_25)) (portRef D (instanceRef data_out_reg_25)) )) (net fee_data_i_25 (joined (portRef Q (instanceRef data_out_reg_25)) (portRef (member fee_data_i 6)) )) - (net data_out_reg_22_26 (joined - (portRef Z (instanceRef data_out_reg_RNO_26)) + (net data_out_reg_22_0_i_26 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) (portRef D (instanceRef data_out_reg_26)) )) (net fee_data_i_26 (joined (portRef Q (instanceRef data_out_reg_26)) (portRef (member fee_data_i 5)) )) - (net data_out_reg_22_27 (joined - (portRef Z (instanceRef data_out_reg_RNO_27)) + (net data_out_reg_22_1_iv_i_27 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) (portRef D (instanceRef data_out_reg_27)) )) (net fee_data_i_27 (joined @@ -918395,24 +919884,20 @@ (portRef Q (instanceRef data_out_reg_28)) (portRef (member fee_data_i 3)) )) - (net data_out_reg_22_29 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) - (portRef D (instanceRef data_out_reg_29)) - )) (net fee_data_i_29 (joined (portRef Q (instanceRef data_out_reg_29)) (portRef (member fee_data_i 2)) )) (net data_out_reg_22_30 (joined - (portRef Z (instanceRef data_out_reg_RNO_30)) + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) (portRef D (instanceRef data_out_reg_30)) )) (net fee_data_i_30 (joined (portRef Q (instanceRef data_out_reg_30)) (portRef (member fee_data_i 1)) )) - (net data_out_reg_22_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) + (net data_out_reg_22_0_i_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) (portRef D (instanceRef data_out_reg_31)) )) (net fee_data_i_31 (joined @@ -918433,355 +919918,355 @@ )) (net stat_reg_32 (joined (portRef (member stat_reg 0) (instanceRef The_Reference_Time)) - (portRef D (instanceRef mask_i_4_0_0)) + (portRef D (instanceRef mask_i_4_0_0_0)) (portRef D (instanceRef channel_empty_reg_0)) (portRef D (instanceRef empty_channels_0)) (portRef stat_reg_32) )) (net channel_empty_reg_0 (joined (portRef Q (instanceRef channel_empty_reg_0)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am)) )) (net stat_reg_33 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef mask_i_4_0_1)) + (portRef D (instanceRef mask_i_4_0_0_1)) (portRef D (instanceRef channel_empty_reg_1)) (portRef D (instanceRef empty_channels_1)) (portRef stat_reg_33) )) (net channel_empty_reg_1 (joined (portRef Q (instanceRef channel_empty_reg_1)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am)) )) (net stat_reg_34 (joined (portRef stat_reg_0 (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef mask_i_4_0_2)) + (portRef D (instanceRef mask_i_4_0_0_2)) (portRef D (instanceRef channel_empty_reg_2)) (portRef D (instanceRef empty_channels_2)) (portRef stat_reg_34) )) (net channel_empty_reg_2 (joined (portRef Q (instanceRef channel_empty_reg_2)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am)) )) (net stat_reg_35 (joined (portRef stat_reg_0 (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef mask_i_4_0_3)) + (portRef D (instanceRef mask_i_4_0_0_3)) (portRef D (instanceRef channel_empty_reg_3)) (portRef D (instanceRef empty_channels_3)) (portRef stat_reg_35) )) (net channel_empty_reg_3 (joined (portRef Q (instanceRef channel_empty_reg_3)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am)) )) (net stat_reg_36 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_4_Channels)) - (portRef D (instanceRef mask_i_4_0_4)) + (portRef D (instanceRef mask_i_4_0_0_4)) (portRef D (instanceRef channel_empty_reg_4)) (portRef D (instanceRef empty_channels_4)) (portRef stat_reg_36) )) (net channel_empty_reg_4 (joined (portRef Q (instanceRef channel_empty_reg_4)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am)) )) (net stat_reg_37 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_5_Channels)) - (portRef D (instanceRef mask_i_4_0_5)) + (portRef D (instanceRef mask_i_4_0_0_5)) (portRef D (instanceRef channel_empty_reg_5)) (portRef D (instanceRef empty_channels_5)) (portRef stat_reg_37) )) (net channel_empty_reg_5 (joined (portRef Q (instanceRef channel_empty_reg_5)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am)) )) (net stat_reg_38 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_6_Channels)) - (portRef D (instanceRef mask_i_4_0_6)) + (portRef D (instanceRef mask_i_4_0_0_6)) (portRef D (instanceRef channel_empty_reg_6)) (portRef D (instanceRef empty_channels_6)) (portRef stat_reg_38) )) (net channel_empty_reg_6 (joined (portRef Q (instanceRef channel_empty_reg_6)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am)) )) (net stat_reg_39 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_7_Channels)) - (portRef D (instanceRef mask_i_4_0_7)) + (portRef D (instanceRef mask_i_4_0_0_7)) (portRef D (instanceRef channel_empty_reg_7)) (portRef D (instanceRef empty_channels_7)) (portRef stat_reg_39) )) (net channel_empty_reg_7 (joined (portRef Q (instanceRef channel_empty_reg_7)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_am)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am)) )) (net stat_reg_40 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_8_Channels)) - (portRef D (instanceRef mask_i_4_0_8)) + (portRef D (instanceRef mask_i_4_0_0_8)) (portRef D (instanceRef channel_empty_reg_8)) (portRef D (instanceRef empty_channels_8)) (portRef stat_reg_40) )) (net channel_empty_reg_8 (joined (portRef Q (instanceRef channel_empty_reg_8)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm)) )) (net stat_reg_41 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_9_Channels)) - (portRef D (instanceRef mask_i_4_0_9)) + (portRef D (instanceRef mask_i_4_0_0_9)) (portRef D (instanceRef channel_empty_reg_9)) (portRef D (instanceRef empty_channels_9)) (portRef stat_reg_41) )) (net channel_empty_reg_9 (joined (portRef Q (instanceRef channel_empty_reg_9)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm)) )) (net stat_reg_42 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef mask_i_4_0_10)) + (portRef D (instanceRef mask_i_4_0_0_10)) (portRef D (instanceRef channel_empty_reg_10)) (portRef D (instanceRef empty_channels_10)) (portRef stat_reg_42) )) (net channel_empty_reg_10 (joined (portRef Q (instanceRef channel_empty_reg_10)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm)) )) (net stat_reg_43 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef mask_i_4_0_11)) + (portRef D (instanceRef mask_i_4_0_0_11)) (portRef D (instanceRef channel_empty_reg_11)) (portRef D (instanceRef empty_channels_11)) (portRef stat_reg_43) )) (net channel_empty_reg_11 (joined (portRef Q (instanceRef channel_empty_reg_11)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm)) )) (net stat_reg_44 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef mask_i_4_0_12)) + (portRef D (instanceRef mask_i_4_0_0_12)) (portRef D (instanceRef channel_empty_reg_12)) (portRef D (instanceRef empty_channels_12)) (portRef stat_reg_44) )) (net channel_empty_reg_12 (joined (portRef Q (instanceRef channel_empty_reg_12)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm)) )) (net stat_reg_45 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef mask_i_4_0_13)) + (portRef D (instanceRef mask_i_4_0_0_13)) (portRef D (instanceRef channel_empty_reg_13)) (portRef D (instanceRef empty_channels_13)) (portRef stat_reg_45) )) (net channel_empty_reg_13 (joined (portRef Q (instanceRef channel_empty_reg_13)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm)) )) (net stat_reg_46 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef mask_i_4_0_14)) + (portRef D (instanceRef mask_i_4_0_0_14)) (portRef D (instanceRef channel_empty_reg_14)) (portRef D (instanceRef empty_channels_14)) (portRef stat_reg_46) )) (net channel_empty_reg_14 (joined (portRef Q (instanceRef channel_empty_reg_14)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm)) )) (net stat_reg_47 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_15_Channels)) - (portRef D (instanceRef mask_i_4_0_15)) + (portRef D (instanceRef mask_i_4_0_0_15)) (portRef D (instanceRef channel_empty_reg_15)) (portRef D (instanceRef empty_channels_15)) (portRef stat_reg_47) )) (net channel_empty_reg_15 (joined (portRef Q (instanceRef channel_empty_reg_15)) - (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_bm)) + (portRef A (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm)) )) (net stat_reg_48 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_16_Channels)) - (portRef D (instanceRef mask_i_4_0_16)) + (portRef D (instanceRef mask_i_4_0_0_16)) (portRef D (instanceRef channel_empty_reg_16)) (portRef D (instanceRef empty_channels_16)) (portRef stat_reg_48) )) (net channel_empty_reg_16 (joined (portRef Q (instanceRef channel_empty_reg_16)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am)) )) (net stat_reg_49 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_17_Channels)) - (portRef D (instanceRef mask_i_4_0_17)) + (portRef D (instanceRef mask_i_4_0_0_17)) (portRef D (instanceRef channel_empty_reg_17)) (portRef D (instanceRef empty_channels_17)) (portRef stat_reg_49) )) (net channel_empty_reg_17 (joined (portRef Q (instanceRef channel_empty_reg_17)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am)) )) (net stat_reg_50 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_18_Channels)) - (portRef D (instanceRef mask_i_4_0_18)) + (portRef D (instanceRef mask_i_4_0_0_18)) (portRef D (instanceRef channel_empty_reg_18)) (portRef D (instanceRef empty_channels_18)) (portRef stat_reg_50) )) (net channel_empty_reg_18 (joined (portRef Q (instanceRef channel_empty_reg_18)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am)) )) (net stat_reg_51 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_19_Channels)) - (portRef D (instanceRef mask_i_4_0_19)) + (portRef D (instanceRef mask_i_4_0_0_19)) (portRef D (instanceRef channel_empty_reg_19)) (portRef D (instanceRef empty_channels_19)) (portRef stat_reg_51) )) (net channel_empty_reg_19 (joined (portRef Q (instanceRef channel_empty_reg_19)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am)) )) (net stat_reg_52 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_20_Channels)) - (portRef D (instanceRef mask_i_4_0_20)) + (portRef D (instanceRef mask_i_4_0_0_20)) (portRef D (instanceRef channel_empty_reg_20)) (portRef D (instanceRef empty_channels_20)) (portRef stat_reg_52) )) (net channel_empty_reg_20 (joined (portRef Q (instanceRef channel_empty_reg_20)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am)) )) (net stat_reg_53 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef mask_i_4_0_21)) + (portRef D (instanceRef mask_i_4_0_0_21)) (portRef D (instanceRef channel_empty_reg_21)) (portRef D (instanceRef empty_channels_21)) (portRef stat_reg_53) )) (net channel_empty_reg_21 (joined (portRef Q (instanceRef channel_empty_reg_21)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am)) )) (net stat_reg_54 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_22_Channels)) - (portRef D (instanceRef mask_i_4_0_22)) + (portRef D (instanceRef mask_i_4_0_0_22)) (portRef D (instanceRef channel_empty_reg_22)) (portRef D (instanceRef empty_channels_22)) (portRef stat_reg_54) )) (net channel_empty_reg_22 (joined (portRef Q (instanceRef channel_empty_reg_22)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am)) )) (net stat_reg_55 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef mask_i_4_0_23)) + (portRef D (instanceRef mask_i_4_0_0_23)) (portRef D (instanceRef channel_empty_reg_23)) (portRef D (instanceRef empty_channels_23)) (portRef stat_reg_55) )) (net channel_empty_reg_23 (joined (portRef Q (instanceRef channel_empty_reg_23)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_am)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am)) )) (net stat_reg_56 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_24_Channels)) - (portRef D (instanceRef mask_i_4_0_24)) + (portRef D (instanceRef mask_i_4_0_0_24)) (portRef D (instanceRef channel_empty_reg_24)) (portRef D (instanceRef empty_channels_24)) (portRef stat_reg_56) )) (net channel_empty_reg_24 (joined (portRef Q (instanceRef channel_empty_reg_24)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm)) )) (net stat_reg_57 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef mask_i_4_0_25)) + (portRef D (instanceRef mask_i_4_0_0_25)) (portRef D (instanceRef channel_empty_reg_25)) (portRef D (instanceRef empty_channels_25)) (portRef stat_reg_57) )) (net channel_empty_reg_25 (joined (portRef Q (instanceRef channel_empty_reg_25)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm)) )) (net stat_reg_58 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef mask_i_4_0_26)) + (portRef D (instanceRef mask_i_4_0_0_26)) (portRef D (instanceRef channel_empty_reg_26)) (portRef D (instanceRef empty_channels_26)) (portRef stat_reg_58) )) (net channel_empty_reg_26 (joined (portRef Q (instanceRef channel_empty_reg_26)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm)) )) (net stat_reg_59 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_27_Channels)) - (portRef D (instanceRef mask_i_4_0_27)) + (portRef D (instanceRef mask_i_4_0_0_27)) (portRef D (instanceRef channel_empty_reg_27)) (portRef D (instanceRef empty_channels_27)) (portRef stat_reg_59) )) (net channel_empty_reg_27 (joined (portRef Q (instanceRef channel_empty_reg_27)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm)) )) (net stat_reg_60 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef mask_i_4_0_28)) + (portRef D (instanceRef mask_i_4_0_0_28)) (portRef D (instanceRef channel_empty_reg_28)) (portRef D (instanceRef empty_channels_28)) (portRef stat_reg_60) )) (net channel_empty_reg_28 (joined (portRef Q (instanceRef channel_empty_reg_28)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm)) )) (net stat_reg_61 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef mask_i_4_0_29)) + (portRef D (instanceRef mask_i_4_0_0_29)) (portRef D (instanceRef channel_empty_reg_29)) (portRef D (instanceRef empty_channels_29)) (portRef stat_reg_61) )) (net channel_empty_reg_29 (joined (portRef Q (instanceRef channel_empty_reg_29)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm)) )) (net stat_reg_62 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef mask_i_4_0_30)) + (portRef D (instanceRef mask_i_4_0_0_30)) (portRef D (instanceRef channel_empty_reg_30)) (portRef D (instanceRef empty_channels_30)) (portRef stat_reg_62) )) (net channel_empty_reg_30 (joined (portRef Q (instanceRef channel_empty_reg_30)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm)) )) (net stat_reg_63 (joined (portRef (member stat_reg 0) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef mask_i_4_0_31)) + (portRef D (instanceRef mask_i_4_0_0_31)) (portRef D (instanceRef channel_empty_reg_31)) (portRef D (instanceRef empty_channels_31)) (portRef stat_reg_63) )) (net channel_empty_reg_31 (joined (portRef Q (instanceRef channel_empty_reg_31)) - (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_bm)) + (portRef B (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm)) )) (net channel_data_i_9_0 (joined (portRef (member channel_data_i_9 31) (instanceRef GEN_Channels_9_Channels)) @@ -920394,42 +921879,6 @@ (portRef Q (instanceRef channel_data_reg_31_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) )) - (net channel_data_i_31_1 (joined - (portRef (member channel_data_i_31 30) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_1)) - (portRef D (instanceRef channel_data_reg_31_1)) - )) - (net channel_data_reg_31_1 (joined - (portRef Q (instanceRef channel_data_reg_31_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) - )) - (net channel_data_i_3_2 (joined - (portRef (member channel_data_i_3 29) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_2)) - (portRef D (instanceRef channel_data_reg_3_2)) - )) - (net channel_data_reg_3_2 (joined - (portRef Q (instanceRef channel_data_reg_3_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_2)) - )) - (net channel_data_i_31_3 (joined - (portRef (member channel_data_i_31 28) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_3)) - (portRef D (instanceRef channel_data_reg_31_3)) - )) - (net channel_data_reg_31_3 (joined - (portRef Q (instanceRef channel_data_reg_31_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) - )) - (net channel_data_i_30_0 (joined - (portRef (member channel_data_i_30 31) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_0)) - (portRef D (instanceRef channel_data_reg_30_0)) - )) - (net channel_data_reg_30_0 (joined - (portRef Q (instanceRef channel_data_reg_30_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_0)) - )) (net channel_data_i_3_0 (joined (portRef (member channel_data_i_3 31) (instanceRef GEN_Channels_3_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_am_0)) @@ -920448,6 +921897,15 @@ (portRef Q (instanceRef channel_data_reg_3_1)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_1)) )) + (net channel_data_i_31_1 (joined + (portRef (member channel_data_i_31 30) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_1)) + (portRef D (instanceRef channel_data_reg_31_1)) + )) + (net channel_data_reg_31_1 (joined + (portRef Q (instanceRef channel_data_reg_31_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) + )) (net channel_data_i_31_2 (joined (portRef (member channel_data_i_31 29) (instanceRef GEN_Channels_31_Channels)) (portRef B (instanceRef un2_channel_hit_time_29_bm_2)) @@ -920457,13 +921915,23 @@ (portRef Q (instanceRef channel_data_reg_31_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) )) - (net channel_data_i_31_26 (joined - (portRef (member channel_data_i_31 5) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_26)) + (net channel_data_i_31_4 (joined + (portRef (member channel_data_i_31 27) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_4)) + (portRef D (instanceRef channel_data_reg_31_4)) )) - (net channel_data_reg_31_26 (joined - (portRef Q (instanceRef channel_data_reg_31_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) + (net channel_data_reg_31_4 (joined + (portRef Q (instanceRef channel_data_reg_31_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) + )) + (net channel_data_i_31_5 (joined + (portRef (member channel_data_i_31 26) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_5)) + (portRef D (instanceRef channel_data_reg_31_5)) + )) + (net channel_data_reg_31_5 (joined + (portRef Q (instanceRef channel_data_reg_31_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) )) (net channel_data_i_30_2 (joined (portRef (member channel_data_i_30 29) (instanceRef GEN_Channels_30_Channels)) @@ -920474,6 +921942,15 @@ (portRef Q (instanceRef channel_data_reg_30_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_2)) )) + (net channel_data_i_3_2 (joined + (portRef (member channel_data_i_3 29) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_2)) + (portRef D (instanceRef channel_data_reg_3_2)) + )) + (net channel_data_reg_3_2 (joined + (portRef Q (instanceRef channel_data_reg_3_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_2)) + )) (net channel_data_i_3_3 (joined (portRef (member channel_data_i_3 28) (instanceRef GEN_Channels_3_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_am_3)) @@ -920483,23 +921960,14 @@ (portRef Q (instanceRef channel_data_reg_3_3)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_3)) )) - (net channel_data_i_3_4 (joined - (portRef (member channel_data_i_3 27) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_4)) - (portRef D (instanceRef channel_data_reg_3_4)) - )) - (net channel_data_reg_3_4 (joined - (portRef Q (instanceRef channel_data_reg_3_4)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_4)) - )) - (net channel_data_i_31_4 (joined - (portRef (member channel_data_i_31 27) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_4)) - (portRef D (instanceRef channel_data_reg_31_4)) + (net channel_data_i_31_3 (joined + (portRef (member channel_data_i_31 28) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_3)) + (portRef D (instanceRef channel_data_reg_31_3)) )) - (net channel_data_reg_31_4 (joined - (portRef Q (instanceRef channel_data_reg_31_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) + (net channel_data_reg_31_3 (joined + (portRef Q (instanceRef channel_data_reg_31_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) )) (net channel_data_i_3_5 (joined (portRef (member channel_data_i_3 26) (instanceRef GEN_Channels_3_Channels)) @@ -920510,15 +921978,6 @@ (portRef Q (instanceRef channel_data_reg_3_5)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_5)) )) - (net channel_data_i_31_5 (joined - (portRef (member channel_data_i_31 26) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_5)) - (portRef D (instanceRef channel_data_reg_31_5)) - )) - (net channel_data_reg_31_5 (joined - (portRef Q (instanceRef channel_data_reg_31_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) - )) (net channel_data_i_3_6 (joined (portRef (member channel_data_i_3 25) (instanceRef GEN_Channels_3_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_am_6)) @@ -920546,6 +922005,50 @@ (portRef Q (instanceRef channel_data_reg_31_7)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_7)) )) + (net channel_data_i_3_9 (joined + (portRef (member channel_data_i_3 22) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_9)) + (portRef D (instanceRef channel_data_reg_3_9)) + )) + (net channel_data_reg_3_9 (joined + (portRef Q (instanceRef channel_data_reg_3_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_9)) + )) + (net channel_data_i_31_10 (joined + (portRef (member channel_data_i_31 21) (instanceRef GEN_Channels_31_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_bm_10)) + (portRef D (instanceRef channel_data_reg_31_10)) + )) + (net channel_data_reg_31_10 (joined + (portRef Q (instanceRef channel_data_reg_31_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) + )) + (net channel_data_i_31_12 (joined + (portRef (member channel_data_i_31 19) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_12)) + )) + (net channel_data_reg_31_12 (joined + (portRef Q (instanceRef channel_data_reg_31_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) + )) + (net channel_data_i_30_3 (joined + (portRef (member channel_data_i_30 28) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_3)) + (portRef D (instanceRef channel_data_reg_30_3)) + )) + (net channel_data_reg_30_3 (joined + (portRef Q (instanceRef channel_data_reg_30_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_3)) + )) + (net channel_data_i_3_4 (joined + (portRef (member channel_data_i_3 27) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_4)) + (portRef D (instanceRef channel_data_reg_3_4)) + )) + (net channel_data_reg_3_4 (joined + (portRef Q (instanceRef channel_data_reg_3_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_4)) + )) (net channel_data_i_3_7 (joined (portRef (member channel_data_i_3 24) (instanceRef GEN_Channels_3_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_am_7)) @@ -920555,6 +922058,15 @@ (portRef Q (instanceRef channel_data_reg_3_7)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_7)) )) + (net channel_data_i_3_8 (joined + (portRef (member channel_data_i_3 23) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_8)) + (portRef D (instanceRef channel_data_reg_3_8)) + )) + (net channel_data_reg_3_8 (joined + (portRef Q (instanceRef channel_data_reg_3_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_8)) + )) (net channel_data_i_31_8 (joined (portRef (member channel_data_i_31 23) (instanceRef GEN_Channels_31_Channels)) (portRef B (instanceRef un2_channel_hit_time_29_bm_8)) @@ -920573,14 +922085,22 @@ (portRef Q (instanceRef channel_data_reg_31_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_9)) )) - (net channel_data_i_31_10 (joined - (portRef (member channel_data_i_31 21) (instanceRef GEN_Channels_31_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_bm_10)) - (portRef D (instanceRef channel_data_reg_31_10)) + (net channel_data_i_3_10 (joined + (portRef (member channel_data_i_3 21) (instanceRef GEN_Channels_3_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_am_10)) + (portRef D (instanceRef channel_data_reg_3_10)) )) - (net channel_data_reg_31_10 (joined - (portRef Q (instanceRef channel_data_reg_31_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) + (net channel_data_reg_3_10 (joined + (portRef Q (instanceRef channel_data_reg_3_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_10)) + )) + (net channel_data_i_3_11 (joined + (portRef (member channel_data_i_3 20) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_11)) + )) + (net channel_data_reg_3_11 (joined + (portRef Q (instanceRef channel_data_reg_3_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_11)) )) (net channel_data_i_31_11 (joined (portRef (member channel_data_i_31 20) (instanceRef GEN_Channels_31_Channels)) @@ -920598,32 +922118,62 @@ (portRef Q (instanceRef channel_data_reg_31_13)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_13)) )) - (net channel_data_i_30_1 (joined - (portRef (member channel_data_i_30 30) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_1)) - (portRef D (instanceRef channel_data_reg_30_1)) + (net channel_data_i_31_15 (joined + (portRef (member channel_data_i_31 16) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_15)) )) - (net channel_data_reg_30_1 (joined - (portRef Q (instanceRef channel_data_reg_30_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_1)) + (net channel_data_reg_31_15 (joined + (portRef Q (instanceRef channel_data_reg_31_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) )) - (net channel_data_i_3_8 (joined - (portRef (member channel_data_i_3 23) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_8)) - (portRef D (instanceRef channel_data_reg_3_8)) + (net channel_data_i_30_10 (joined + (portRef (member channel_data_i_30 21) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_10)) + (portRef D (instanceRef channel_data_reg_30_10)) )) - (net channel_data_reg_3_8 (joined - (portRef Q (instanceRef channel_data_reg_3_8)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_8)) + (net channel_data_reg_30_10 (joined + (portRef Q (instanceRef channel_data_reg_30_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_10)) )) - (net channel_data_i_3_10 (joined - (portRef (member channel_data_i_3 21) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_10)) - (portRef D (instanceRef channel_data_reg_3_10)) + (net channel_data_i_3_12 (joined + (portRef (member channel_data_i_3 19) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_12)) )) - (net channel_data_reg_3_10 (joined - (portRef Q (instanceRef channel_data_reg_3_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_10)) + (net channel_data_reg_3_12 (joined + (portRef Q (instanceRef channel_data_reg_3_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_12)) + )) + (net channel_data_i_3_14 (joined + (portRef (member channel_data_i_3 17) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_14)) + )) + (net channel_data_reg_3_14 (joined + (portRef Q (instanceRef channel_data_reg_3_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_14)) + )) + (net channel_data_i_31_14 (joined + (portRef (member channel_data_i_31 17) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_14)) + )) + (net channel_data_reg_31_14 (joined + (portRef Q (instanceRef channel_data_reg_31_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) + )) + (net channel_data_i_3_16 (joined + (portRef (member channel_data_i_3 15) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_16)) + )) + (net channel_data_reg_3_16 (joined + (portRef Q (instanceRef channel_data_reg_3_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_16)) + )) + (net channel_data_i_31_16 (joined + (portRef (member channel_data_i_31 15) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_16)) + )) + (net channel_data_reg_31_16 (joined + (portRef Q (instanceRef channel_data_reg_31_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) )) (net channel_data_i_31_17 (joined (portRef (member channel_data_i_31 14) (instanceRef GEN_Channels_31_Channels)) @@ -920633,62 +922183,104 @@ (portRef Q (instanceRef channel_data_reg_31_17)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) )) - (net channel_data_i_30_11 (joined - (portRef (member channel_data_i_30 20) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_11)) + (net channel_data_i_3_19 (joined + (portRef (member channel_data_i_3 12) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_19)) )) - (net channel_data_reg_30_11 (joined - (portRef Q (instanceRef channel_data_reg_30_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_11)) + (net channel_data_reg_3_19 (joined + (portRef Q (instanceRef channel_data_reg_3_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_19)) )) - (net channel_data_i_3_11 (joined - (portRef (member channel_data_i_3 20) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_11)) + (net channel_data_i_31_20 (joined + (portRef (member channel_data_i_31 11) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_20)) )) - (net channel_data_reg_3_11 (joined - (portRef Q (instanceRef channel_data_reg_3_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_11)) + (net channel_data_reg_31_20 (joined + (portRef Q (instanceRef channel_data_reg_31_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) )) - (net channel_data_i_31_12 (joined - (portRef (member channel_data_i_31 19) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_12)) + (net channel_data_i_31_21 (joined + (portRef (member channel_data_i_31 10) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_21)) )) - (net channel_data_reg_31_12 (joined - (portRef Q (instanceRef channel_data_reg_31_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) + (net channel_data_reg_31_21 (joined + (portRef Q (instanceRef channel_data_reg_31_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) )) - (net channel_data_i_31_14 (joined - (portRef (member channel_data_i_31 17) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_14)) + (net channel_data_i_31_23 (joined + (portRef (member channel_data_i_31 8) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_23)) )) - (net channel_data_reg_31_14 (joined - (portRef Q (instanceRef channel_data_reg_31_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) + (net channel_data_reg_31_23 (joined + (portRef Q (instanceRef channel_data_reg_31_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) )) - (net channel_data_i_31_24 (joined - (portRef (member channel_data_i_31 7) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_24)) + (net channel_data_i_31_28 (joined + (portRef (member channel_data_i_31 3) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_28)) )) - (net channel_data_reg_31_24 (joined - (portRef Q (instanceRef channel_data_reg_31_24)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) + (net channel_data_reg_31_28 (joined + (portRef Q (instanceRef channel_data_reg_31_28)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) )) - (net channel_data_i_30_3 (joined - (portRef (member channel_data_i_30 28) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_3)) - (portRef D (instanceRef channel_data_reg_30_3)) + (net channel_data_i_30_4 (joined + (portRef (member channel_data_i_30 27) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_4)) + (portRef D (instanceRef channel_data_reg_30_4)) )) - (net channel_data_reg_30_3 (joined - (portRef Q (instanceRef channel_data_reg_30_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_3)) + (net channel_data_reg_30_4 (joined + (portRef Q (instanceRef channel_data_reg_30_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_4)) )) - (net channel_data_i_3_12 (joined - (portRef (member channel_data_i_3 19) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_12)) + (net channel_data_i_3_18 (joined + (portRef (member channel_data_i_3 13) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_18)) )) - (net channel_data_reg_3_12 (joined - (portRef Q (instanceRef channel_data_reg_3_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_12)) + (net channel_data_reg_3_18 (joined + (portRef Q (instanceRef channel_data_reg_3_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_18)) + )) + (net channel_data_i_3_22 (joined + (portRef (member channel_data_i_3 9) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_22)) + )) + (net channel_data_reg_3_22 (joined + (portRef Q (instanceRef channel_data_reg_3_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_22)) + )) + (net channel_data_i_31_22 (joined + (portRef (member channel_data_i_31 9) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_22)) + )) + (net channel_data_reg_31_22 (joined + (portRef Q (instanceRef channel_data_reg_31_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) + )) + (net channel_data_i_30_0 (joined + (portRef (member channel_data_i_30 31) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_0)) + (portRef D (instanceRef channel_data_reg_30_0)) + )) + (net channel_data_reg_30_0 (joined + (portRef Q (instanceRef channel_data_reg_30_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_0)) + )) + (net channel_data_i_30_8 (joined + (portRef (member channel_data_i_30 23) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_8)) + (portRef D (instanceRef channel_data_reg_30_8)) + )) + (net channel_data_reg_30_8 (joined + (portRef Q (instanceRef channel_data_reg_30_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_8)) + )) + (net channel_data_i_30_12 (joined + (portRef (member channel_data_i_30 19) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_12)) + )) + (net channel_data_reg_30_12 (joined + (portRef Q (instanceRef channel_data_reg_30_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_12)) )) (net channel_data_i_3_15 (joined (portRef (member channel_data_i_3 16) (instanceRef GEN_Channels_3_Channels)) @@ -920698,46 +922290,29 @@ (portRef Q (instanceRef channel_data_reg_3_15)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_15)) )) - (net channel_data_i_31_15 (joined - (portRef (member channel_data_i_31 16) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_15)) - )) - (net channel_data_reg_31_15 (joined - (portRef Q (instanceRef channel_data_reg_31_15)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) - )) - (net channel_data_i_3_16 (joined - (portRef (member channel_data_i_3 15) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_16)) - )) - (net channel_data_reg_3_16 (joined - (portRef Q (instanceRef channel_data_reg_3_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_16)) - )) - (net channel_data_i_31_16 (joined - (portRef (member channel_data_i_31 15) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_16)) + (net channel_data_i_3_24 (joined + (portRef (member channel_data_i_3 7) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_24)) )) - (net channel_data_reg_31_16 (joined - (portRef Q (instanceRef channel_data_reg_31_16)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) + (net channel_data_reg_3_24 (joined + (portRef Q (instanceRef channel_data_reg_3_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_24)) )) - (net channel_data_i_31_19 (joined - (portRef (member channel_data_i_31 12) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_19)) + (net channel_data_i_3_26 (joined + (portRef (member channel_data_i_3 5) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_26)) )) - (net channel_data_reg_31_19 (joined - (portRef Q (instanceRef channel_data_reg_31_19)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) + (net channel_data_reg_3_26 (joined + (portRef Q (instanceRef channel_data_reg_3_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_26)) )) - (net channel_data_i_30_5 (joined - (portRef (member channel_data_i_30 26) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_5)) - (portRef D (instanceRef channel_data_reg_30_5)) + (net channel_data_i_31_27 (joined + (portRef (member channel_data_i_31 4) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_27)) )) - (net channel_data_reg_30_5 (joined - (portRef Q (instanceRef channel_data_reg_30_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_5)) + (net channel_data_reg_31_27 (joined + (portRef Q (instanceRef channel_data_reg_31_27)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) )) (net channel_data_i_30_9 (joined (portRef (member channel_data_i_30 22) (instanceRef GEN_Channels_30_Channels)) @@ -920756,80 +922331,96 @@ (portRef Q (instanceRef channel_data_reg_3_17)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_17)) )) - (net channel_data_i_3_18 (joined - (portRef (member channel_data_i_3 13) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_18)) + (net channel_data_i_31_19 (joined + (portRef (member channel_data_i_31 12) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_19)) )) - (net channel_data_reg_3_18 (joined - (portRef Q (instanceRef channel_data_reg_3_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_18)) + (net channel_data_reg_31_19 (joined + (portRef Q (instanceRef channel_data_reg_31_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) )) - (net channel_data_i_31_18 (joined - (portRef (member channel_data_i_31 13) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_18)) + (net channel_data_i_31_24 (joined + (portRef (member channel_data_i_31 7) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_24)) )) - (net channel_data_reg_31_18 (joined - (portRef Q (instanceRef channel_data_reg_31_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) + (net channel_data_reg_31_24 (joined + (portRef Q (instanceRef channel_data_reg_31_24)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) )) - (net channel_data_i_31_22 (joined - (portRef (member channel_data_i_31 9) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_22)) + (net channel_data_i_3_25 (joined + (portRef (member channel_data_i_3 6) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_25)) )) - (net channel_data_reg_31_22 (joined - (portRef Q (instanceRef channel_data_reg_31_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) + (net channel_data_reg_3_25 (joined + (portRef Q (instanceRef channel_data_reg_3_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_25)) )) - (net channel_data_i_31_23 (joined - (portRef (member channel_data_i_31 8) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_23)) + (net channel_data_i_31_25 (joined + (portRef (member channel_data_i_31 6) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_25)) )) - (net channel_data_reg_31_23 (joined - (portRef Q (instanceRef channel_data_reg_31_23)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) + (net channel_data_reg_31_25 (joined + (portRef Q (instanceRef channel_data_reg_31_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) )) - (net channel_data_i_30_4 (joined - (portRef (member channel_data_i_30 27) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_4)) - (portRef D (instanceRef channel_data_reg_30_4)) + (net channel_data_i_31_29 (joined + (portRef (member channel_data_i_31 2) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_29)) )) - (net channel_data_reg_30_4 (joined - (portRef Q (instanceRef channel_data_reg_30_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_4)) + (net channel_data_reg_31_29 (joined + (portRef Q (instanceRef channel_data_reg_31_29)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) )) - (net channel_data_i_30_6 (joined - (portRef (member channel_data_i_30 25) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_6)) - (portRef D (instanceRef channel_data_reg_30_6)) + (net channel_data_i_31_30 (joined + (portRef (member channel_data_i_31 1) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_30)) )) - (net channel_data_reg_30_6 (joined - (portRef Q (instanceRef channel_data_reg_30_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_6)) + (net channel_data_reg_31_30 (joined + (portRef Q (instanceRef channel_data_reg_31_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) )) - (net channel_data_i_3_9 (joined - (portRef (member channel_data_i_3 22) (instanceRef GEN_Channels_3_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_am_9)) - (portRef D (instanceRef channel_data_reg_3_9)) + (net channel_data_i_31_31 (joined + (portRef (member channel_data_i_31 0) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_31)) )) - (net channel_data_reg_3_9 (joined - (portRef Q (instanceRef channel_data_reg_3_9)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_9)) + (net channel_data_reg_31_31 (joined + (portRef Q (instanceRef channel_data_reg_31_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) )) - (net channel_data_i_30_12 (joined - (portRef (member channel_data_i_30 19) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_12)) + (net channel_data_i_30_1 (joined + (portRef (member channel_data_i_30 30) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_1)) + (portRef D (instanceRef channel_data_reg_30_1)) )) - (net channel_data_reg_30_12 (joined - (portRef Q (instanceRef channel_data_reg_30_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_12)) + (net channel_data_reg_30_1 (joined + (portRef Q (instanceRef channel_data_reg_30_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_1)) )) - (net channel_data_i_30_13 (joined - (portRef (member channel_data_i_30 18) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_13)) + (net channel_data_i_30_5 (joined + (portRef (member channel_data_i_30 26) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_5)) + (portRef D (instanceRef channel_data_reg_30_5)) )) - (net channel_data_reg_30_13 (joined - (portRef Q (instanceRef channel_data_reg_30_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_13)) + (net channel_data_reg_30_5 (joined + (portRef Q (instanceRef channel_data_reg_30_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_5)) + )) + (net channel_data_i_30_7 (joined + (portRef (member channel_data_i_30 24) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_7)) + (portRef D (instanceRef channel_data_reg_30_7)) + )) + (net channel_data_reg_30_7 (joined + (portRef Q (instanceRef channel_data_reg_30_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_7)) + )) + (net channel_data_i_30_11 (joined + (portRef (member channel_data_i_30 20) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_11)) + )) + (net channel_data_reg_30_11 (joined + (portRef Q (instanceRef channel_data_reg_30_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_11)) )) (net channel_data_i_3_13 (joined (portRef (member channel_data_i_3 18) (instanceRef GEN_Channels_3_Channels)) @@ -920839,37 +922430,21 @@ (portRef Q (instanceRef channel_data_reg_3_13)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_13)) )) - (net channel_data_i_30_14 (joined - (portRef (member channel_data_i_30 17) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_14)) - )) - (net channel_data_reg_30_14 (joined - (portRef Q (instanceRef channel_data_reg_30_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_14)) - )) - (net channel_data_i_3_14 (joined - (portRef (member channel_data_i_3 17) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_14)) - )) - (net channel_data_reg_3_14 (joined - (portRef Q (instanceRef channel_data_reg_3_14)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_14)) - )) - (net channel_data_i_31_20 (joined - (portRef (member channel_data_i_31 11) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_20)) + (net channel_data_i_31_18 (joined + (portRef (member channel_data_i_31 13) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_18)) )) - (net channel_data_reg_31_20 (joined - (portRef Q (instanceRef channel_data_reg_31_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) + (net channel_data_reg_31_18 (joined + (portRef Q (instanceRef channel_data_reg_31_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) )) - (net channel_data_i_31_21 (joined - (portRef (member channel_data_i_31 10) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_21)) + (net channel_data_i_30_13 (joined + (portRef (member channel_data_i_30 18) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_13)) )) - (net channel_data_reg_31_21 (joined - (portRef Q (instanceRef channel_data_reg_31_21)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) + (net channel_data_reg_30_13 (joined + (portRef Q (instanceRef channel_data_reg_30_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_13)) )) (net channel_data_i_30_15 (joined (portRef (member channel_data_i_30 16) (instanceRef GEN_Channels_30_Channels)) @@ -920879,48 +922454,6 @@ (portRef Q (instanceRef channel_data_reg_30_15)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_15)) )) - (net channel_data_i_3_21 (joined - (portRef (member channel_data_i_3 10) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_21)) - )) - (net channel_data_reg_3_21 (joined - (portRef Q (instanceRef channel_data_reg_3_21)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_21)) - )) - (net channel_data_i_31_27 (joined - (portRef (member channel_data_i_31 4) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_27)) - )) - (net channel_data_reg_31_27 (joined - (portRef Q (instanceRef channel_data_reg_31_27)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) - )) - (net channel_data_i_31_29 (joined - (portRef (member channel_data_i_31 2) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_29)) - )) - (net channel_data_reg_31_29 (joined - (portRef Q (instanceRef channel_data_reg_31_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) - )) - (net channel_data_i_30_7 (joined - (portRef (member channel_data_i_30 24) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_7)) - (portRef D (instanceRef channel_data_reg_30_7)) - )) - (net channel_data_reg_30_7 (joined - (portRef Q (instanceRef channel_data_reg_30_7)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_7)) - )) - (net channel_data_i_30_10 (joined - (portRef (member channel_data_i_30 21) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_10)) - (portRef D (instanceRef channel_data_reg_30_10)) - )) - (net channel_data_reg_30_10 (joined - (portRef Q (instanceRef channel_data_reg_30_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_10)) - )) (net channel_data_i_30_16 (joined (portRef (member channel_data_i_30 15) (instanceRef GEN_Channels_30_Channels)) (portRef D (instanceRef channel_data_reg_30_16)) @@ -920929,13 +922462,21 @@ (portRef Q (instanceRef channel_data_reg_30_16)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_16)) )) - (net channel_data_i_30_20 (joined - (portRef (member channel_data_i_30 11) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_20)) + (net channel_data_i_30_17 (joined + (portRef (member channel_data_i_30 14) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_17)) )) - (net channel_data_reg_30_20 (joined - (portRef Q (instanceRef channel_data_reg_30_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) + (net channel_data_reg_30_17 (joined + (portRef Q (instanceRef channel_data_reg_30_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_17)) + )) + (net channel_data_i_30_19 (joined + (portRef (member channel_data_i_30 12) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_19)) + )) + (net channel_data_reg_30_19 (joined + (portRef Q (instanceRef channel_data_reg_30_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_19)) )) (net channel_data_i_3_20 (joined (portRef (member channel_data_i_3 11) (instanceRef GEN_Channels_3_Channels)) @@ -920945,14 +922486,6 @@ (portRef Q (instanceRef channel_data_reg_3_20)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_20)) )) - (net channel_data_i_3_22 (joined - (portRef (member channel_data_i_3 9) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_22)) - )) - (net channel_data_reg_3_22 (joined - (portRef Q (instanceRef channel_data_reg_3_22)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_22)) - )) (net channel_data_i_3_23 (joined (portRef (member channel_data_i_3 8) (instanceRef GEN_Channels_3_Channels)) (portRef D (instanceRef channel_data_reg_3_23)) @@ -920961,70 +922494,30 @@ (portRef Q (instanceRef channel_data_reg_3_23)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_23)) )) - (net channel_data_i_3_24 (joined - (portRef (member channel_data_i_3 7) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_24)) - )) - (net channel_data_reg_3_24 (joined - (portRef Q (instanceRef channel_data_reg_3_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_24)) - )) - (net channel_data_i_3_25 (joined - (portRef (member channel_data_i_3 6) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_25)) - )) - (net channel_data_reg_3_25 (joined - (portRef Q (instanceRef channel_data_reg_3_25)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_25)) - )) - (net channel_data_i_31_25 (joined - (portRef (member channel_data_i_31 6) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_25)) - )) - (net channel_data_reg_31_25 (joined - (portRef Q (instanceRef channel_data_reg_31_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) - )) - (net channel_data_i_3_26 (joined - (portRef (member channel_data_i_3 5) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_26)) - )) - (net channel_data_reg_3_26 (joined - (portRef Q (instanceRef channel_data_reg_3_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_26)) - )) - (net channel_data_i_31_28 (joined - (portRef (member channel_data_i_31 3) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_28)) - )) - (net channel_data_reg_31_28 (joined - (portRef Q (instanceRef channel_data_reg_31_28)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) - )) - (net channel_data_i_31_31 (joined - (portRef (member channel_data_i_31 0) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_31)) + (net channel_data_i_31_26 (joined + (portRef (member channel_data_i_31 5) (instanceRef GEN_Channels_31_Channels)) + (portRef D (instanceRef channel_data_reg_31_26)) )) - (net channel_data_reg_31_31 (joined - (portRef Q (instanceRef channel_data_reg_31_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) + (net channel_data_reg_31_26 (joined + (portRef Q (instanceRef channel_data_reg_31_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) )) - (net channel_data_i_30_8 (joined - (portRef (member channel_data_i_30 23) (instanceRef GEN_Channels_30_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_bm_8)) - (portRef D (instanceRef channel_data_reg_30_8)) + (net channel_data_i_30_6 (joined + (portRef (member channel_data_i_30 25) (instanceRef GEN_Channels_30_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_bm_6)) + (portRef D (instanceRef channel_data_reg_30_6)) )) - (net channel_data_reg_30_8 (joined - (portRef Q (instanceRef channel_data_reg_30_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_8)) + (net channel_data_reg_30_6 (joined + (portRef Q (instanceRef channel_data_reg_30_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_6)) )) - (net channel_data_i_30_17 (joined - (portRef (member channel_data_i_30 14) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_17)) + (net channel_data_i_30_14 (joined + (portRef (member channel_data_i_30 17) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_14)) )) - (net channel_data_reg_30_17 (joined - (portRef Q (instanceRef channel_data_reg_30_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_17)) + (net channel_data_reg_30_14 (joined + (portRef Q (instanceRef channel_data_reg_30_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_14)) )) (net channel_data_i_30_18 (joined (portRef (member channel_data_i_30 13) (instanceRef GEN_Channels_30_Channels)) @@ -921034,29 +922527,21 @@ (portRef Q (instanceRef channel_data_reg_30_18)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_18)) )) - (net channel_data_i_3_19 (joined - (portRef (member channel_data_i_3 12) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_19)) - )) - (net channel_data_reg_3_19 (joined - (portRef Q (instanceRef channel_data_reg_3_19)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_19)) - )) - (net channel_data_i_31_30 (joined - (portRef (member channel_data_i_31 1) (instanceRef GEN_Channels_31_Channels)) - (portRef D (instanceRef channel_data_reg_31_30)) + (net channel_data_i_30_20 (joined + (portRef (member channel_data_i_30 11) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_20)) )) - (net channel_data_reg_31_30 (joined - (portRef Q (instanceRef channel_data_reg_31_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) + (net channel_data_reg_30_20 (joined + (portRef Q (instanceRef channel_data_reg_30_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) )) - (net channel_data_i_30_19 (joined - (portRef (member channel_data_i_30 12) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_19)) + (net channel_data_i_3_21 (joined + (portRef (member channel_data_i_3 10) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_21)) )) - (net channel_data_reg_30_19 (joined - (portRef Q (instanceRef channel_data_reg_30_19)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_19)) + (net channel_data_reg_3_21 (joined + (portRef Q (instanceRef channel_data_reg_3_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_21)) )) (net channel_data_i_30_21 (joined (portRef (member channel_data_i_30 10) (instanceRef GEN_Channels_30_Channels)) @@ -921106,14 +922591,6 @@ (portRef Q (instanceRef channel_data_reg_30_26)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_26)) )) - (net channel_data_i_30_27 (joined - (portRef (member channel_data_i_30 4) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_27)) - )) - (net channel_data_reg_30_27 (joined - (portRef Q (instanceRef channel_data_reg_30_27)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_27)) - )) (net channel_data_i_3_27 (joined (portRef (member channel_data_i_3 4) (instanceRef GEN_Channels_3_Channels)) (portRef D (instanceRef channel_data_reg_3_27)) @@ -921122,6 +922599,14 @@ (portRef Q (instanceRef channel_data_reg_3_27)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_27)) )) + (net channel_data_i_30_27 (joined + (portRef (member channel_data_i_30 4) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_27)) + )) + (net channel_data_reg_30_27 (joined + (portRef Q (instanceRef channel_data_reg_30_27)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_27)) + )) (net channel_data_i_30_28 (joined (portRef (member channel_data_i_30 3) (instanceRef GEN_Channels_30_Channels)) (portRef D (instanceRef channel_data_reg_30_28)) @@ -921138,14 +922623,6 @@ (portRef Q (instanceRef channel_data_reg_3_28)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_28)) )) - (net channel_data_i_3_29 (joined - (portRef (member channel_data_i_3 2) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef channel_data_reg_3_29)) - )) - (net channel_data_reg_3_29 (joined - (portRef Q (instanceRef channel_data_reg_3_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) - )) (net channel_data_i_30_29 (joined (portRef (member channel_data_i_30 2) (instanceRef GEN_Channels_30_Channels)) (portRef D (instanceRef channel_data_reg_30_29)) @@ -921154,13 +922631,13 @@ (portRef Q (instanceRef channel_data_reg_30_29)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) )) - (net channel_data_i_30_30 (joined - (portRef (member channel_data_i_30 1) (instanceRef GEN_Channels_30_Channels)) - (portRef D (instanceRef channel_data_reg_30_30)) + (net channel_data_i_3_29 (joined + (portRef (member channel_data_i_3 2) (instanceRef GEN_Channels_3_Channels)) + (portRef D (instanceRef channel_data_reg_3_29)) )) - (net channel_data_reg_30_30 (joined - (portRef Q (instanceRef channel_data_reg_30_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) + (net channel_data_reg_3_29 (joined + (portRef Q (instanceRef channel_data_reg_3_29)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) )) (net channel_data_i_3_30 (joined (portRef (member channel_data_i_3 1) (instanceRef GEN_Channels_3_Channels)) @@ -921170,6 +922647,14 @@ (portRef Q (instanceRef channel_data_reg_3_30)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) )) + (net channel_data_i_30_30 (joined + (portRef (member channel_data_i_30 1) (instanceRef GEN_Channels_30_Channels)) + (portRef D (instanceRef channel_data_reg_30_30)) + )) + (net channel_data_reg_30_30 (joined + (portRef Q (instanceRef channel_data_reg_30_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) + )) (net channel_data_i_30_31 (joined (portRef (member channel_data_i_30 0) (instanceRef GEN_Channels_30_Channels)) (portRef D (instanceRef channel_data_reg_30_31)) @@ -921186,6 +922671,15 @@ (portRef Q (instanceRef channel_data_reg_3_31)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) )) + (net channel_data_i_2_0 (joined + (portRef (member channel_data_i_2 31) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_0)) + (portRef D (instanceRef channel_data_reg_2_0)) + )) + (net channel_data_reg_2_0 (joined + (portRef Q (instanceRef channel_data_reg_2_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) + )) (net channel_data_i_29_0 (joined (portRef (member channel_data_i_29 31) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_0)) @@ -921195,14 +922689,14 @@ (portRef Q (instanceRef channel_data_reg_29_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) )) - (net channel_data_i_2_0 (joined - (portRef (member channel_data_i_2 31) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_0)) - (portRef D (instanceRef channel_data_reg_2_0)) + (net channel_data_i_2_1 (joined + (portRef (member channel_data_i_2 30) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_1)) + (portRef D (instanceRef channel_data_reg_2_1)) )) - (net channel_data_reg_2_0 (joined - (portRef Q (instanceRef channel_data_reg_2_0)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) + (net channel_data_reg_2_1 (joined + (portRef Q (instanceRef channel_data_reg_2_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_1)) )) (net channel_data_i_29_1 (joined (portRef (member channel_data_i_29 30) (instanceRef GEN_Channels_29_Channels)) @@ -921222,6 +922716,15 @@ (portRef Q (instanceRef channel_data_reg_29_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_2)) )) + (net channel_data_i_2_2 (joined + (portRef (member channel_data_i_2 29) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_2)) + (portRef D (instanceRef channel_data_reg_2_2)) + )) + (net channel_data_reg_2_2 (joined + (portRef Q (instanceRef channel_data_reg_2_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_2)) + )) (net channel_data_i_29_3 (joined (portRef (member channel_data_i_29 28) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_3)) @@ -921231,24 +922734,6 @@ (portRef Q (instanceRef channel_data_reg_29_3)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_3)) )) - (net channel_data_i_28_4 (joined - (portRef (member channel_data_i_28 27) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_4)) - (portRef D (instanceRef channel_data_reg_28_4)) - )) - (net channel_data_reg_28_4 (joined - (portRef Q (instanceRef channel_data_reg_28_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_4)) - )) - (net channel_data_i_2_4 (joined - (portRef (member channel_data_i_2 27) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_4)) - (portRef D (instanceRef channel_data_reg_2_4)) - )) - (net channel_data_reg_2_4 (joined - (portRef Q (instanceRef channel_data_reg_2_4)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_4)) - )) (net channel_data_i_29_4 (joined (portRef (member channel_data_i_29 27) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_4)) @@ -921258,6 +922743,15 @@ (portRef Q (instanceRef channel_data_reg_29_4)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_4)) )) + (net channel_data_i_29_5 (joined + (portRef (member channel_data_i_29 26) (instanceRef GEN_Channels_29_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_bm_5)) + (portRef D (instanceRef channel_data_reg_29_5)) + )) + (net channel_data_reg_29_5 (joined + (portRef Q (instanceRef channel_data_reg_29_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_5)) + )) (net channel_data_i_29_6 (joined (portRef (member channel_data_i_29 25) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_6)) @@ -921276,14 +922770,23 @@ (portRef Q (instanceRef channel_data_reg_29_7)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_7)) )) - (net channel_data_i_28_0 (joined - (portRef (member channel_data_i_28 31) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_0)) - (portRef D (instanceRef channel_data_reg_28_0)) + (net channel_data_i_21_2 (joined + (portRef (member channel_data_i_21 29) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_2)) + (portRef D (instanceRef channel_data_reg_21_2)) )) - (net channel_data_reg_28_0 (joined - (portRef Q (instanceRef channel_data_reg_28_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_0)) + (net channel_data_reg_21_2 (joined + (portRef Q (instanceRef channel_data_reg_21_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_2)) + )) + (net channel_data_i_21_3 (joined + (portRef (member channel_data_i_21 28) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_3)) + (portRef D (instanceRef channel_data_reg_21_3)) + )) + (net channel_data_reg_21_3 (joined + (portRef Q (instanceRef channel_data_reg_21_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_3)) )) (net channel_data_i_2_3 (joined (portRef (member channel_data_i_2 28) (instanceRef GEN_Channels_2_Channels)) @@ -921294,50 +922797,23 @@ (portRef Q (instanceRef channel_data_reg_2_3)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_3)) )) - (net channel_data_i_29_5 (joined - (portRef (member channel_data_i_29 26) (instanceRef GEN_Channels_29_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_bm_5)) - (portRef D (instanceRef channel_data_reg_29_5)) - )) - (net channel_data_reg_29_5 (joined - (portRef Q (instanceRef channel_data_reg_29_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_5)) - )) - (net channel_data_i_29_8 (joined - (portRef (member channel_data_i_29 23) (instanceRef GEN_Channels_29_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_bm_8)) - (portRef D (instanceRef channel_data_reg_29_8)) - )) - (net channel_data_reg_29_8 (joined - (portRef Q (instanceRef channel_data_reg_29_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_8)) - )) - (net channel_data_i_28_6 (joined - (portRef (member channel_data_i_28 25) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_6)) - (portRef D (instanceRef channel_data_reg_28_6)) - )) - (net channel_data_reg_28_6 (joined - (portRef Q (instanceRef channel_data_reg_28_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_6)) - )) - (net channel_data_i_27_0 (joined - (portRef (member channel_data_i_27 31) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_0)) - (portRef D (instanceRef channel_data_reg_27_0)) + (net channel_data_i_2_4 (joined + (portRef (member channel_data_i_2 27) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_4)) + (portRef D (instanceRef channel_data_reg_2_4)) )) - (net channel_data_reg_27_0 (joined - (portRef Q (instanceRef channel_data_reg_27_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) + (net channel_data_reg_2_4 (joined + (portRef Q (instanceRef channel_data_reg_2_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_4)) )) - (net channel_data_i_2_1 (joined - (portRef (member channel_data_i_2 30) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_1)) - (portRef D (instanceRef channel_data_reg_2_1)) + (net channel_data_i_2_5 (joined + (portRef (member channel_data_i_2 26) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_5)) + (portRef D (instanceRef channel_data_reg_2_5)) )) - (net channel_data_reg_2_1 (joined - (portRef Q (instanceRef channel_data_reg_2_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_1)) + (net channel_data_reg_2_5 (joined + (portRef Q (instanceRef channel_data_reg_2_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_5)) )) (net channel_data_i_29_9 (joined (portRef (member channel_data_i_29 22) (instanceRef GEN_Channels_29_Channels)) @@ -921348,14 +922824,32 @@ (portRef Q (instanceRef channel_data_reg_29_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_9)) )) - (net channel_data_i_28_5 (joined - (portRef (member channel_data_i_28 26) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_5)) - (portRef D (instanceRef channel_data_reg_28_5)) + (net channel_data_i_28_4 (joined + (portRef (member channel_data_i_28 27) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_4)) + (portRef D (instanceRef channel_data_reg_28_4)) )) - (net channel_data_reg_28_5 (joined - (portRef Q (instanceRef channel_data_reg_28_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_5)) + (net channel_data_reg_28_4 (joined + (portRef Q (instanceRef channel_data_reg_28_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_4)) + )) + (net channel_data_i_28_7 (joined + (portRef (member channel_data_i_28 24) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_7)) + (portRef D (instanceRef channel_data_reg_28_7)) + )) + (net channel_data_reg_28_7 (joined + (portRef Q (instanceRef channel_data_reg_28_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_7)) + )) + (net channel_data_i_21_5 (joined + (portRef (member channel_data_i_21 26) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_5)) + (portRef D (instanceRef channel_data_reg_21_5)) + )) + (net channel_data_reg_21_5 (joined + (portRef Q (instanceRef channel_data_reg_21_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_5)) )) (net channel_data_i_2_6 (joined (portRef (member channel_data_i_2 25) (instanceRef GEN_Channels_2_Channels)) @@ -921366,6 +922860,42 @@ (portRef Q (instanceRef channel_data_reg_2_6)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_6)) )) + (net channel_data_i_2_7 (joined + (portRef (member channel_data_i_2 24) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_7)) + (portRef D (instanceRef channel_data_reg_2_7)) + )) + (net channel_data_reg_2_7 (joined + (portRef Q (instanceRef channel_data_reg_2_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_7)) + )) + (net channel_data_i_29_8 (joined + (portRef (member channel_data_i_29 23) (instanceRef GEN_Channels_29_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_bm_8)) + (portRef D (instanceRef channel_data_reg_29_8)) + )) + (net channel_data_reg_29_8 (joined + (portRef Q (instanceRef channel_data_reg_29_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_8)) + )) + (net channel_data_i_28_8 (joined + (portRef (member channel_data_i_28 23) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_8)) + (portRef D (instanceRef channel_data_reg_28_8)) + )) + (net channel_data_reg_28_8 (joined + (portRef Q (instanceRef channel_data_reg_28_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) + )) + (net channel_data_i_2_9 (joined + (portRef (member channel_data_i_2 22) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_9)) + (portRef D (instanceRef channel_data_reg_2_9)) + )) + (net channel_data_reg_2_9 (joined + (portRef Q (instanceRef channel_data_reg_2_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_9)) + )) (net channel_data_i_29_10 (joined (portRef (member channel_data_i_29 21) (instanceRef GEN_Channels_29_Channels)) (portRef B (instanceRef un2_channel_hit_time_22_bm_10)) @@ -921375,6 +922905,15 @@ (portRef Q (instanceRef channel_data_reg_29_10)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_10)) )) + (net channel_data_i_2_10 (joined + (portRef (member channel_data_i_2 21) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_10)) + (portRef D (instanceRef channel_data_reg_2_10)) + )) + (net channel_data_reg_2_10 (joined + (portRef Q (instanceRef channel_data_reg_2_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_10)) + )) (net channel_data_i_29_11 (joined (portRef (member channel_data_i_29 20) (instanceRef GEN_Channels_29_Channels)) (portRef D (instanceRef channel_data_reg_29_11)) @@ -921383,49 +922922,6 @@ (portRef Q (instanceRef channel_data_reg_29_11)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_11)) )) - (net channel_data_i_28_1 (joined - (portRef (member channel_data_i_28 30) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_1)) - (portRef D (instanceRef channel_data_reg_28_1)) - )) - (net channel_data_reg_28_1 (joined - (portRef Q (instanceRef channel_data_reg_28_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_1)) - )) - (net channel_data_i_28_14 (joined - (portRef (member channel_data_i_28 17) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_14)) - )) - (net channel_data_reg_28_14 (joined - (portRef Q (instanceRef channel_data_reg_28_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) - )) - (net channel_data_i_28_16 (joined - (portRef (member channel_data_i_28 15) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_16)) - )) - (net channel_data_reg_28_16 (joined - (portRef Q (instanceRef channel_data_reg_28_16)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) - )) - (net channel_data_i_21_0 (joined - (portRef (member channel_data_i_21 31) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_0)) - (portRef D (instanceRef channel_data_reg_21_0)) - )) - (net channel_data_reg_21_0 (joined - (portRef Q (instanceRef channel_data_reg_21_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_0)) - )) - (net channel_data_i_2_2 (joined - (portRef (member channel_data_i_2 29) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_2)) - (portRef D (instanceRef channel_data_reg_2_2)) - )) - (net channel_data_reg_2_2 (joined - (portRef Q (instanceRef channel_data_reg_2_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_2)) - )) (net channel_data_i_29_12 (joined (portRef (member channel_data_i_29 19) (instanceRef GEN_Channels_29_Channels)) (portRef D (instanceRef channel_data_reg_29_12)) @@ -921434,51 +922930,6 @@ (portRef Q (instanceRef channel_data_reg_29_12)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_12)) )) - (net channel_data_i_21_3 (joined - (portRef (member channel_data_i_21 28) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_3)) - (portRef D (instanceRef channel_data_reg_21_3)) - )) - (net channel_data_reg_21_3 (joined - (portRef Q (instanceRef channel_data_reg_21_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_3)) - )) - (net channel_data_i_21_4 (joined - (portRef (member channel_data_i_21 27) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_4)) - (portRef D (instanceRef channel_data_reg_21_4)) - )) - (net channel_data_reg_21_4 (joined - (portRef Q (instanceRef channel_data_reg_21_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_4)) - )) - (net channel_data_i_21_5 (joined - (portRef (member channel_data_i_21 26) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_5)) - (portRef D (instanceRef channel_data_reg_21_5)) - )) - (net channel_data_reg_21_5 (joined - (portRef Q (instanceRef channel_data_reg_21_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_5)) - )) - (net channel_data_i_2_5 (joined - (portRef (member channel_data_i_2 26) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_5)) - (portRef D (instanceRef channel_data_reg_2_5)) - )) - (net channel_data_reg_2_5 (joined - (portRef Q (instanceRef channel_data_reg_2_5)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_5)) - )) - (net channel_data_i_2_9 (joined - (portRef (member channel_data_i_2 22) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_9)) - (portRef D (instanceRef channel_data_reg_2_9)) - )) - (net channel_data_reg_2_9 (joined - (portRef Q (instanceRef channel_data_reg_2_9)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_9)) - )) (net channel_data_i_29_13 (joined (portRef (member channel_data_i_29 18) (instanceRef GEN_Channels_29_Channels)) (portRef D (instanceRef channel_data_reg_29_13)) @@ -921495,84 +922946,38 @@ (portRef Q (instanceRef channel_data_reg_29_14)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_14)) )) - (net channel_data_i_28_7 (joined - (portRef (member channel_data_i_28 24) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_7)) - (portRef D (instanceRef channel_data_reg_28_7)) - )) - (net channel_data_reg_28_7 (joined - (portRef Q (instanceRef channel_data_reg_28_7)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_7)) - )) - (net channel_data_i_21_6 (joined - (portRef (member channel_data_i_21 25) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_6)) - (portRef D (instanceRef channel_data_reg_21_6)) - )) - (net channel_data_reg_21_6 (joined - (portRef Q (instanceRef channel_data_reg_21_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_6)) - )) - (net channel_data_i_21_7 (joined - (portRef (member channel_data_i_21 24) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_7)) - (portRef D (instanceRef channel_data_reg_21_7)) - )) - (net channel_data_reg_21_7 (joined - (portRef Q (instanceRef channel_data_reg_21_7)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_7)) - )) - (net channel_data_i_2_7 (joined - (portRef (member channel_data_i_2 24) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_7)) - (portRef D (instanceRef channel_data_reg_2_7)) - )) - (net channel_data_reg_2_7 (joined - (portRef Q (instanceRef channel_data_reg_2_7)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_7)) - )) - (net channel_data_i_29_17 (joined - (portRef (member channel_data_i_29 14) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_17)) - )) - (net channel_data_reg_29_17 (joined - (portRef Q (instanceRef channel_data_reg_29_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) - )) - (net channel_data_i_21_8 (joined - (portRef (member channel_data_i_21 23) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_8)) - (portRef D (instanceRef channel_data_reg_21_8)) + (net channel_data_i_29_22 (joined + (portRef (member channel_data_i_29 9) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_22)) )) - (net channel_data_reg_21_8 (joined - (portRef Q (instanceRef channel_data_reg_21_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_8)) + (net channel_data_reg_29_22 (joined + (portRef Q (instanceRef channel_data_reg_29_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) )) - (net channel_data_i_2_8 (joined - (portRef (member channel_data_i_2 23) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_8)) - (portRef D (instanceRef channel_data_reg_2_8)) + (net channel_data_i_28_3 (joined + (portRef (member channel_data_i_28 28) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_3)) + (portRef D (instanceRef channel_data_reg_28_3)) )) - (net channel_data_reg_2_8 (joined - (portRef Q (instanceRef channel_data_reg_2_8)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_8)) + (net channel_data_reg_28_3 (joined + (portRef Q (instanceRef channel_data_reg_28_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_3)) )) - (net channel_data_i_2_10 (joined - (portRef (member channel_data_i_2 21) (instanceRef GEN_Channels_2_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_am_10)) - (portRef D (instanceRef channel_data_reg_2_10)) + (net channel_data_i_2_11 (joined + (portRef (member channel_data_i_2 20) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_11)) )) - (net channel_data_reg_2_10 (joined - (portRef Q (instanceRef channel_data_reg_2_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_10)) + (net channel_data_reg_2_11 (joined + (portRef Q (instanceRef channel_data_reg_2_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_11)) )) - (net channel_data_i_2_13 (joined - (portRef (member channel_data_i_2 18) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_13)) + (net channel_data_i_29_16 (joined + (portRef (member channel_data_i_29 15) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_16)) )) - (net channel_data_reg_2_13 (joined - (portRef Q (instanceRef channel_data_reg_2_13)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) + (net channel_data_reg_29_16 (joined + (portRef Q (instanceRef channel_data_reg_29_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) )) (net channel_data_i_29_19 (joined (portRef (member channel_data_i_29 12) (instanceRef GEN_Channels_29_Channels)) @@ -921598,30 +923003,39 @@ (portRef Q (instanceRef channel_data_reg_29_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_21)) )) - (net channel_data_i_29_22 (joined - (portRef (member channel_data_i_29 9) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_22)) + (net channel_data_i_28_6 (joined + (portRef (member channel_data_i_28 25) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_6)) + (portRef D (instanceRef channel_data_reg_28_6)) )) - (net channel_data_reg_29_22 (joined - (portRef Q (instanceRef channel_data_reg_29_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) + (net channel_data_reg_28_6 (joined + (portRef Q (instanceRef channel_data_reg_28_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_6)) )) - (net channel_data_i_28_8 (joined - (portRef (member channel_data_i_28 23) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_8)) - (portRef D (instanceRef channel_data_reg_28_8)) + (net channel_data_i_28_14 (joined + (portRef (member channel_data_i_28 17) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_14)) )) - (net channel_data_reg_28_8 (joined - (portRef Q (instanceRef channel_data_reg_28_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) + (net channel_data_reg_28_14 (joined + (portRef Q (instanceRef channel_data_reg_28_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) )) - (net channel_data_i_2_11 (joined - (portRef (member channel_data_i_2 20) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_11)) + (net channel_data_i_21_7 (joined + (portRef (member channel_data_i_21 24) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_7)) + (portRef D (instanceRef channel_data_reg_21_7)) )) - (net channel_data_reg_2_11 (joined - (portRef Q (instanceRef channel_data_reg_2_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_11)) + (net channel_data_reg_21_7 (joined + (portRef Q (instanceRef channel_data_reg_21_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_7)) + )) + (net channel_data_i_2_14 (joined + (portRef (member channel_data_i_2 17) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_14)) + )) + (net channel_data_reg_2_14 (joined + (portRef Q (instanceRef channel_data_reg_2_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) )) (net channel_data_i_29_23 (joined (portRef (member channel_data_i_29 8) (instanceRef GEN_Channels_29_Channels)) @@ -921639,21 +923053,23 @@ (portRef Q (instanceRef channel_data_reg_29_25)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_25)) )) - (net channel_data_i_28_12 (joined - (portRef (member channel_data_i_28 19) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_12)) + (net channel_data_i_21_8 (joined + (portRef (member channel_data_i_21 23) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_8)) + (portRef D (instanceRef channel_data_reg_21_8)) )) - (net channel_data_reg_28_12 (joined - (portRef Q (instanceRef channel_data_reg_28_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) + (net channel_data_reg_21_8 (joined + (portRef Q (instanceRef channel_data_reg_21_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_8)) )) - (net channel_data_i_2_12 (joined - (portRef (member channel_data_i_2 19) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_12)) + (net channel_data_i_2_8 (joined + (portRef (member channel_data_i_2 23) (instanceRef GEN_Channels_2_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_am_8)) + (portRef D (instanceRef channel_data_reg_2_8)) )) - (net channel_data_reg_2_12 (joined - (portRef Q (instanceRef channel_data_reg_2_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) + (net channel_data_reg_2_8 (joined + (portRef Q (instanceRef channel_data_reg_2_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_8)) )) (net channel_data_i_29_15 (joined (portRef (member channel_data_i_29 16) (instanceRef GEN_Channels_29_Channels)) @@ -921663,13 +923079,46 @@ (portRef Q (instanceRef channel_data_reg_29_15)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_15)) )) - (net channel_data_i_29_16 (joined - (portRef (member channel_data_i_29 15) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_16)) + (net channel_data_i_29_17 (joined + (portRef (member channel_data_i_29 14) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_17)) )) - (net channel_data_reg_29_16 (joined - (portRef Q (instanceRef channel_data_reg_29_16)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) + (net channel_data_reg_29_17 (joined + (portRef Q (instanceRef channel_data_reg_29_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) + )) + (net channel_data_i_29_26 (joined + (portRef (member channel_data_i_29 5) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_26)) + )) + (net channel_data_reg_29_26 (joined + (portRef Q (instanceRef channel_data_reg_29_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) + )) + (net channel_data_i_29_29 (joined + (portRef (member channel_data_i_29 2) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_29)) + )) + (net channel_data_reg_29_29 (joined + (portRef Q (instanceRef channel_data_reg_29_29)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) + )) + (net channel_data_i_28_1 (joined + (portRef (member channel_data_i_28 30) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_1)) + (portRef D (instanceRef channel_data_reg_28_1)) + )) + (net channel_data_reg_28_1 (joined + (portRef Q (instanceRef channel_data_reg_28_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_1)) + )) + (net channel_data_i_2_15 (joined + (portRef (member channel_data_i_2 16) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_15)) + )) + (net channel_data_reg_2_15 (joined + (portRef Q (instanceRef channel_data_reg_2_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) )) (net channel_data_i_29_18 (joined (portRef (member channel_data_i_29 13) (instanceRef GEN_Channels_29_Channels)) @@ -921679,13 +923128,13 @@ (portRef Q (instanceRef channel_data_reg_29_18)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) )) - (net channel_data_i_29_26 (joined - (portRef (member channel_data_i_29 5) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_26)) + (net channel_data_i_29_24 (joined + (portRef (member channel_data_i_29 7) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_24)) )) - (net channel_data_reg_29_26 (joined - (portRef Q (instanceRef channel_data_reg_29_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) + (net channel_data_reg_29_24 (joined + (portRef Q (instanceRef channel_data_reg_29_24)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) )) (net channel_data_i_29_27 (joined (portRef (member channel_data_i_29 4) (instanceRef GEN_Channels_29_Channels)) @@ -921695,6 +923144,14 @@ (portRef Q (instanceRef channel_data_reg_29_27)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_27)) )) + (net channel_data_i_29_28 (joined + (portRef (member channel_data_i_29 3) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_28)) + )) + (net channel_data_reg_29_28 (joined + (portRef Q (instanceRef channel_data_reg_29_28)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) + )) (net channel_data_i_29_30 (joined (portRef (member channel_data_i_29 1) (instanceRef GEN_Channels_29_Channels)) (portRef D (instanceRef channel_data_reg_29_30)) @@ -921703,13 +923160,14 @@ (portRef Q (instanceRef channel_data_reg_29_30)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) )) - (net channel_data_i_29_31 (joined - (portRef (member channel_data_i_29 0) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_31)) + (net channel_data_i_28_0 (joined + (portRef (member channel_data_i_28 31) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_0)) + (portRef D (instanceRef channel_data_reg_28_0)) )) - (net channel_data_reg_29_31 (joined - (portRef Q (instanceRef channel_data_reg_29_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + (net channel_data_reg_28_0 (joined + (portRef Q (instanceRef channel_data_reg_28_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_0)) )) (net channel_data_i_28_2 (joined (portRef (member channel_data_i_28 29) (instanceRef GEN_Channels_28_Channels)) @@ -921720,14 +923178,14 @@ (portRef Q (instanceRef channel_data_reg_28_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_2)) )) - (net channel_data_i_28_3 (joined - (portRef (member channel_data_i_28 28) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_3)) - (portRef D (instanceRef channel_data_reg_28_3)) + (net channel_data_i_28_5 (joined + (portRef (member channel_data_i_28 26) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_5)) + (portRef D (instanceRef channel_data_reg_28_5)) )) - (net channel_data_reg_28_3 (joined - (portRef Q (instanceRef channel_data_reg_28_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_3)) + (net channel_data_reg_28_5 (joined + (portRef Q (instanceRef channel_data_reg_28_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_5)) )) (net channel_data_i_28_9 (joined (portRef (member channel_data_i_28 22) (instanceRef GEN_Channels_28_Channels)) @@ -921738,39 +923196,22 @@ (portRef Q (instanceRef channel_data_reg_28_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_9)) )) - (net channel_data_i_28_13 (joined - (portRef (member channel_data_i_28 18) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_13)) - )) - (net channel_data_reg_28_13 (joined - (portRef Q (instanceRef channel_data_reg_28_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) - )) - (net channel_data_i_21_9 (joined - (portRef (member channel_data_i_21 22) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_9)) - (portRef D (instanceRef channel_data_reg_21_9)) - )) - (net channel_data_reg_21_9 (joined - (portRef Q (instanceRef channel_data_reg_21_9)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_9)) - )) - (net channel_data_i_21_10 (joined - (portRef (member channel_data_i_21 21) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_10)) - (portRef D (instanceRef channel_data_reg_21_10)) + (net channel_data_i_28_10 (joined + (portRef (member channel_data_i_28 21) (instanceRef GEN_Channels_28_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_bm_10)) + (portRef D (instanceRef channel_data_reg_28_10)) )) - (net channel_data_reg_21_10 (joined - (portRef Q (instanceRef channel_data_reg_21_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_10)) + (net channel_data_reg_28_10 (joined + (portRef Q (instanceRef channel_data_reg_28_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_10)) )) - (net channel_data_i_2_14 (joined - (portRef (member channel_data_i_2 17) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_14)) + (net channel_data_i_28_11 (joined + (portRef (member channel_data_i_28 20) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_11)) )) - (net channel_data_reg_2_14 (joined - (portRef Q (instanceRef channel_data_reg_2_14)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) + (net channel_data_reg_28_11 (joined + (portRef Q (instanceRef channel_data_reg_28_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) )) (net channel_data_i_28_15 (joined (portRef (member channel_data_i_28 16) (instanceRef GEN_Channels_28_Channels)) @@ -921780,6 +923221,56 @@ (portRef Q (instanceRef channel_data_reg_28_15)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) )) + (net channel_data_i_21_4 (joined + (portRef (member channel_data_i_21 27) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_4)) + (portRef D (instanceRef channel_data_reg_21_4)) + )) + (net channel_data_reg_21_4 (joined + (portRef Q (instanceRef channel_data_reg_21_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_4)) + )) + (net channel_data_i_2_12 (joined + (portRef (member channel_data_i_2 19) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_12)) + )) + (net channel_data_reg_2_12 (joined + (portRef Q (instanceRef channel_data_reg_2_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) + )) + (net channel_data_i_28_12 (joined + (portRef (member channel_data_i_28 19) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_12)) + )) + (net channel_data_reg_28_12 (joined + (portRef Q (instanceRef channel_data_reg_28_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) + )) + (net channel_data_i_28_13 (joined + (portRef (member channel_data_i_28 18) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_13)) + )) + (net channel_data_reg_28_13 (joined + (portRef Q (instanceRef channel_data_reg_28_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) + )) + (net channel_data_i_28_20 (joined + (portRef (member channel_data_i_28 11) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_20)) + )) + (net channel_data_reg_28_20 (joined + (portRef Q (instanceRef channel_data_reg_28_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) + )) + (net channel_data_i_27_3 (joined + (portRef (member channel_data_i_27 28) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_3)) + (portRef D (instanceRef channel_data_reg_27_3)) + )) + (net channel_data_reg_27_3 (joined + (portRef Q (instanceRef channel_data_reg_27_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) + )) (net channel_data_i_2_16 (joined (portRef (member channel_data_i_2 15) (instanceRef GEN_Channels_2_Channels)) (portRef D (instanceRef channel_data_reg_2_16)) @@ -921788,30 +923279,46 @@ (portRef Q (instanceRef channel_data_reg_2_16)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_16)) )) - (net channel_data_i_29_24 (joined - (portRef (member channel_data_i_29 7) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_24)) + (net channel_data_i_28_16 (joined + (portRef (member channel_data_i_28 15) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_16)) )) - (net channel_data_reg_29_24 (joined - (portRef Q (instanceRef channel_data_reg_29_24)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) + (net channel_data_reg_28_16 (joined + (portRef Q (instanceRef channel_data_reg_28_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) )) - (net channel_data_i_29_28 (joined - (portRef (member channel_data_i_29 3) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_28)) + (net channel_data_i_28_21 (joined + (portRef (member channel_data_i_28 10) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_21)) )) - (net channel_data_reg_29_28 (joined - (portRef Q (instanceRef channel_data_reg_29_28)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) + (net channel_data_reg_28_21 (joined + (portRef Q (instanceRef channel_data_reg_28_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) )) - (net channel_data_i_28_10 (joined - (portRef (member channel_data_i_28 21) (instanceRef GEN_Channels_28_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_bm_10)) - (portRef D (instanceRef channel_data_reg_28_10)) + (net channel_data_i_27_8 (joined + (portRef (member channel_data_i_27 23) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_8)) + (portRef D (instanceRef channel_data_reg_27_8)) )) - (net channel_data_reg_28_10 (joined - (portRef Q (instanceRef channel_data_reg_28_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_10)) + (net channel_data_reg_27_8 (joined + (portRef Q (instanceRef channel_data_reg_27_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) + )) + (net channel_data_i_2_13 (joined + (portRef (member channel_data_i_2 18) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_13)) + )) + (net channel_data_reg_2_13 (joined + (portRef Q (instanceRef channel_data_reg_2_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) + )) + (net channel_data_i_29_31 (joined + (portRef (member channel_data_i_29 0) (instanceRef GEN_Channels_29_Channels)) + (portRef D (instanceRef channel_data_reg_29_31)) + )) + (net channel_data_reg_29_31 (joined + (portRef Q (instanceRef channel_data_reg_29_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) )) (net channel_data_i_28_17 (joined (portRef (member channel_data_i_28 14) (instanceRef GEN_Channels_28_Channels)) @@ -921837,72 +923344,6 @@ (portRef Q (instanceRef channel_data_reg_28_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) )) - (net channel_data_i_28_20 (joined - (portRef (member channel_data_i_28 11) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_20)) - )) - (net channel_data_reg_28_20 (joined - (portRef Q (instanceRef channel_data_reg_28_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) - )) - (net channel_data_i_28_21 (joined - (portRef (member channel_data_i_28 10) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_21)) - )) - (net channel_data_reg_28_21 (joined - (portRef Q (instanceRef channel_data_reg_28_21)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) - )) - (net channel_data_i_28_25 (joined - (portRef (member channel_data_i_28 6) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_25)) - )) - (net channel_data_reg_28_25 (joined - (portRef Q (instanceRef channel_data_reg_28_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) - )) - (net channel_data_i_28_26 (joined - (portRef (member channel_data_i_28 5) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_26)) - )) - (net channel_data_reg_28_26 (joined - (portRef Q (instanceRef channel_data_reg_28_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) - )) - (net channel_data_i_27_3 (joined - (portRef (member channel_data_i_27 28) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_3)) - (portRef D (instanceRef channel_data_reg_27_3)) - )) - (net channel_data_reg_27_3 (joined - (portRef Q (instanceRef channel_data_reg_27_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) - )) - (net channel_data_i_21_1 (joined - (portRef (member channel_data_i_21 30) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_1)) - (portRef D (instanceRef channel_data_reg_21_1)) - )) - (net channel_data_reg_21_1 (joined - (portRef Q (instanceRef channel_data_reg_21_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_1)) - )) - (net channel_data_i_21_11 (joined - (portRef (member channel_data_i_21 20) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_11)) - )) - (net channel_data_reg_21_11 (joined - (portRef Q (instanceRef channel_data_reg_21_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_11)) - )) - (net channel_data_i_2_18 (joined - (portRef (member channel_data_i_2 13) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_18)) - )) - (net channel_data_reg_2_18 (joined - (portRef Q (instanceRef channel_data_reg_2_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_18)) - )) (net channel_data_i_28_22 (joined (portRef (member channel_data_i_28 9) (instanceRef GEN_Channels_28_Channels)) (portRef D (instanceRef channel_data_reg_28_22)) @@ -921927,6 +923368,22 @@ (portRef Q (instanceRef channel_data_reg_28_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) )) + (net channel_data_i_28_25 (joined + (portRef (member channel_data_i_28 6) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_25)) + )) + (net channel_data_reg_28_25 (joined + (portRef Q (instanceRef channel_data_reg_28_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) + )) + (net channel_data_i_28_26 (joined + (portRef (member channel_data_i_28 5) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_26)) + )) + (net channel_data_reg_28_26 (joined + (portRef Q (instanceRef channel_data_reg_28_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) + )) (net channel_data_i_28_27 (joined (portRef (member channel_data_i_28 4) (instanceRef GEN_Channels_28_Channels)) (portRef D (instanceRef channel_data_reg_28_27)) @@ -921935,13 +923392,38 @@ (portRef Q (instanceRef channel_data_reg_28_27)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) )) - (net channel_data_i_28_29 (joined - (portRef (member channel_data_i_28 2) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_29)) + (net channel_data_i_28_28 (joined + (portRef (member channel_data_i_28 3) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_28)) )) - (net channel_data_reg_28_29 (joined - (portRef Q (instanceRef channel_data_reg_28_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) + (net channel_data_reg_28_28 (joined + (portRef Q (instanceRef channel_data_reg_28_28)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) + )) + (net channel_data_i_28_30 (joined + (portRef (member channel_data_i_28 1) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_30)) + )) + (net channel_data_reg_28_30 (joined + (portRef Q (instanceRef channel_data_reg_28_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) + )) + (net channel_data_i_28_31 (joined + (portRef (member channel_data_i_28 0) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_31)) + )) + (net channel_data_reg_28_31 (joined + (portRef Q (instanceRef channel_data_reg_28_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) + )) + (net channel_data_i_27_0 (joined + (portRef (member channel_data_i_27 31) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_0)) + (portRef D (instanceRef channel_data_reg_27_0)) + )) + (net channel_data_reg_27_0 (joined + (portRef Q (instanceRef channel_data_reg_27_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) )) (net channel_data_i_27_1 (joined (portRef (member channel_data_i_27 30) (instanceRef GEN_Channels_27_Channels)) @@ -921952,30 +923434,6 @@ (portRef Q (instanceRef channel_data_reg_27_1)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) )) - (net channel_data_i_2_25 (joined - (portRef (member channel_data_i_2 6) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_25)) - )) - (net channel_data_reg_2_25 (joined - (portRef Q (instanceRef channel_data_reg_2_25)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_25)) - )) - (net channel_data_i_29_29 (joined - (portRef (member channel_data_i_29 2) (instanceRef GEN_Channels_29_Channels)) - (portRef D (instanceRef channel_data_reg_29_29)) - )) - (net channel_data_reg_29_29 (joined - (portRef Q (instanceRef channel_data_reg_29_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) - )) - (net channel_data_i_28_11 (joined - (portRef (member channel_data_i_28 20) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_11)) - )) - (net channel_data_reg_28_11 (joined - (portRef Q (instanceRef channel_data_reg_28_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) - )) (net channel_data_i_27_2 (joined (portRef (member channel_data_i_27 29) (instanceRef GEN_Channels_27_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_bm_2)) @@ -921985,6 +923443,24 @@ (portRef Q (instanceRef channel_data_reg_27_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_2)) )) + (net channel_data_i_27_4 (joined + (portRef (member channel_data_i_27 27) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_4)) + (portRef D (instanceRef channel_data_reg_27_4)) + )) + (net channel_data_reg_27_4 (joined + (portRef Q (instanceRef channel_data_reg_27_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) + )) + (net channel_data_i_27_5 (joined + (portRef (member channel_data_i_27 26) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_5)) + (portRef D (instanceRef channel_data_reg_27_5)) + )) + (net channel_data_reg_27_5 (joined + (portRef Q (instanceRef channel_data_reg_27_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_5)) + )) (net channel_data_i_27_6 (joined (portRef (member channel_data_i_27 25) (instanceRef GEN_Channels_27_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_bm_6)) @@ -922012,6 +923488,15 @@ (portRef Q (instanceRef channel_data_reg_27_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_9)) )) + (net channel_data_i_27_10 (joined + (portRef (member channel_data_i_27 21) (instanceRef GEN_Channels_27_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_bm_10)) + (portRef D (instanceRef channel_data_reg_27_10)) + )) + (net channel_data_reg_27_10 (joined + (portRef Q (instanceRef channel_data_reg_27_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) + )) (net channel_data_i_27_11 (joined (portRef (member channel_data_i_27 20) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_11)) @@ -922036,74 +923521,6 @@ (portRef Q (instanceRef channel_data_reg_27_13)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_13)) )) - (net channel_data_i_2_27 (joined - (portRef (member channel_data_i_2 4) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_27)) - )) - (net channel_data_reg_2_27 (joined - (portRef Q (instanceRef channel_data_reg_2_27)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_27)) - )) - (net channel_data_i_28_28 (joined - (portRef (member channel_data_i_28 3) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_28)) - )) - (net channel_data_reg_28_28 (joined - (portRef Q (instanceRef channel_data_reg_28_28)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) - )) - (net channel_data_i_28_30 (joined - (portRef (member channel_data_i_28 1) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_30)) - )) - (net channel_data_reg_28_30 (joined - (portRef Q (instanceRef channel_data_reg_28_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) - )) - (net channel_data_i_28_31 (joined - (portRef (member channel_data_i_28 0) (instanceRef GEN_Channels_28_Channels)) - (portRef D (instanceRef channel_data_reg_28_31)) - )) - (net channel_data_reg_28_31 (joined - (portRef Q (instanceRef channel_data_reg_28_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) - )) - (net channel_data_i_27_4 (joined - (portRef (member channel_data_i_27 27) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_4)) - (portRef D (instanceRef channel_data_reg_27_4)) - )) - (net channel_data_reg_27_4 (joined - (portRef Q (instanceRef channel_data_reg_27_4)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) - )) - (net channel_data_i_27_5 (joined - (portRef (member channel_data_i_27 26) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_5)) - (portRef D (instanceRef channel_data_reg_27_5)) - )) - (net channel_data_reg_27_5 (joined - (portRef Q (instanceRef channel_data_reg_27_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_5)) - )) - (net channel_data_i_27_8 (joined - (portRef (member channel_data_i_27 23) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_8)) - (portRef D (instanceRef channel_data_reg_27_8)) - )) - (net channel_data_reg_27_8 (joined - (portRef Q (instanceRef channel_data_reg_27_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) - )) - (net channel_data_i_27_10 (joined - (portRef (member channel_data_i_27 21) (instanceRef GEN_Channels_27_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_bm_10)) - (portRef D (instanceRef channel_data_reg_27_10)) - )) - (net channel_data_reg_27_10 (joined - (portRef Q (instanceRef channel_data_reg_27_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) - )) (net channel_data_i_27_14 (joined (portRef (member channel_data_i_27 17) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_14)) @@ -922136,6 +923553,14 @@ (portRef Q (instanceRef channel_data_reg_27_17)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_17)) )) + (net channel_data_i_27_18 (joined + (portRef (member channel_data_i_27 13) (instanceRef GEN_Channels_27_Channels)) + (portRef D (instanceRef channel_data_reg_27_18)) + )) + (net channel_data_reg_27_18 (joined + (portRef Q (instanceRef channel_data_reg_27_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_18)) + )) (net channel_data_i_27_19 (joined (portRef (member channel_data_i_27 12) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_19)) @@ -922160,6 +923585,14 @@ (portRef Q (instanceRef channel_data_reg_27_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_21)) )) + (net channel_data_i_27_22 (joined + (portRef (member channel_data_i_27 9) (instanceRef GEN_Channels_27_Channels)) + (portRef D (instanceRef channel_data_reg_27_22)) + )) + (net channel_data_reg_27_22 (joined + (portRef Q (instanceRef channel_data_reg_27_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_22)) + )) (net channel_data_i_27_23 (joined (portRef (member channel_data_i_27 8) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_23)) @@ -922176,56 +923609,6 @@ (portRef Q (instanceRef channel_data_reg_27_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_24)) )) - (net channel_data_i_27_26 (joined - (portRef (member channel_data_i_27 5) (instanceRef GEN_Channels_27_Channels)) - (portRef D (instanceRef channel_data_reg_27_26)) - )) - (net channel_data_reg_27_26 (joined - (portRef Q (instanceRef channel_data_reg_27_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) - )) - (net channel_data_i_26_1 (joined - (portRef (member channel_data_i_26 30) (instanceRef GEN_Channels_26_Channels)) - (portRef B (instanceRef un2_channel_hit_time_11_bm_1)) - (portRef D (instanceRef channel_data_reg_26_1)) - )) - (net channel_data_reg_26_1 (joined - (portRef Q (instanceRef channel_data_reg_26_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) - )) - (net channel_data_i_26_2 (joined - (portRef (member channel_data_i_26 29) (instanceRef GEN_Channels_26_Channels)) - (portRef B (instanceRef un2_channel_hit_time_11_bm_2)) - (portRef D (instanceRef channel_data_reg_26_2)) - )) - (net channel_data_reg_26_2 (joined - (portRef Q (instanceRef channel_data_reg_26_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) - )) - (net channel_data_i_2_17 (joined - (portRef (member channel_data_i_2 14) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_17)) - )) - (net channel_data_reg_2_17 (joined - (portRef Q (instanceRef channel_data_reg_2_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_17)) - )) - (net channel_data_i_27_18 (joined - (portRef (member channel_data_i_27 13) (instanceRef GEN_Channels_27_Channels)) - (portRef D (instanceRef channel_data_reg_27_18)) - )) - (net channel_data_reg_27_18 (joined - (portRef Q (instanceRef channel_data_reg_27_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_18)) - )) - (net channel_data_i_27_22 (joined - (portRef (member channel_data_i_27 9) (instanceRef GEN_Channels_27_Channels)) - (portRef D (instanceRef channel_data_reg_27_22)) - )) - (net channel_data_reg_27_22 (joined - (portRef Q (instanceRef channel_data_reg_27_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_22)) - )) (net channel_data_i_27_25 (joined (portRef (member channel_data_i_27 6) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_25)) @@ -922234,6 +923617,14 @@ (portRef Q (instanceRef channel_data_reg_27_25)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_25)) )) + (net channel_data_i_27_26 (joined + (portRef (member channel_data_i_27 5) (instanceRef GEN_Channels_27_Channels)) + (portRef D (instanceRef channel_data_reg_27_26)) + )) + (net channel_data_reg_27_26 (joined + (portRef Q (instanceRef channel_data_reg_27_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) + )) (net channel_data_i_27_27 (joined (portRef (member channel_data_i_27 4) (instanceRef GEN_Channels_27_Channels)) (portRef D (instanceRef channel_data_reg_27_27)) @@ -922283,6 +923674,24 @@ (portRef Q (instanceRef channel_data_reg_26_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) )) + (net channel_data_i_26_1 (joined + (portRef (member channel_data_i_26 30) (instanceRef GEN_Channels_26_Channels)) + (portRef B (instanceRef un2_channel_hit_time_11_bm_1)) + (portRef D (instanceRef channel_data_reg_26_1)) + )) + (net channel_data_reg_26_1 (joined + (portRef Q (instanceRef channel_data_reg_26_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) + )) + (net channel_data_i_26_2 (joined + (portRef (member channel_data_i_26 29) (instanceRef GEN_Channels_26_Channels)) + (portRef B (instanceRef un2_channel_hit_time_11_bm_2)) + (portRef D (instanceRef channel_data_reg_26_2)) + )) + (net channel_data_reg_26_2 (joined + (portRef Q (instanceRef channel_data_reg_26_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) + )) (net channel_data_i_26_3 (joined (portRef (member channel_data_i_26 28) (instanceRef GEN_Channels_26_Channels)) (portRef B (instanceRef un2_channel_hit_time_11_bm_3)) @@ -922403,14 +923812,6 @@ (portRef Q (instanceRef channel_data_reg_26_16)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) )) - (net channel_data_i_26_17 (joined - (portRef (member channel_data_i_26 14) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_17)) - )) - (net channel_data_reg_26_17 (joined - (portRef Q (instanceRef channel_data_reg_26_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) - )) (net channel_data_i_26_18 (joined (portRef (member channel_data_i_26 13) (instanceRef GEN_Channels_26_Channels)) (portRef D (instanceRef channel_data_reg_26_18)) @@ -922427,14 +923828,6 @@ (portRef Q (instanceRef channel_data_reg_26_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) )) - (net channel_data_i_26_20 (joined - (portRef (member channel_data_i_26 11) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_20)) - )) - (net channel_data_reg_26_20 (joined - (portRef Q (instanceRef channel_data_reg_26_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) - )) (net channel_data_i_26_21 (joined (portRef (member channel_data_i_26 10) (instanceRef GEN_Channels_26_Channels)) (portRef D (instanceRef channel_data_reg_26_21)) @@ -922443,22 +923836,6 @@ (portRef Q (instanceRef channel_data_reg_26_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_21)) )) - (net channel_data_i_26_22 (joined - (portRef (member channel_data_i_26 9) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_22)) - )) - (net channel_data_reg_26_22 (joined - (portRef Q (instanceRef channel_data_reg_26_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) - )) - (net channel_data_i_26_23 (joined - (portRef (member channel_data_i_26 8) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_23)) - )) - (net channel_data_reg_26_23 (joined - (portRef Q (instanceRef channel_data_reg_26_23)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) - )) (net channel_data_i_26_24 (joined (portRef (member channel_data_i_26 7) (instanceRef GEN_Channels_26_Channels)) (portRef D (instanceRef channel_data_reg_26_24)) @@ -922475,14 +923852,6 @@ (portRef Q (instanceRef channel_data_reg_26_25)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) )) - (net channel_data_i_26_26 (joined - (portRef (member channel_data_i_26 5) (instanceRef GEN_Channels_26_Channels)) - (portRef D (instanceRef channel_data_reg_26_26)) - )) - (net channel_data_reg_26_26 (joined - (portRef Q (instanceRef channel_data_reg_26_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) - )) (net channel_data_i_26_27 (joined (portRef (member channel_data_i_26 4) (instanceRef GEN_Channels_26_Channels)) (portRef D (instanceRef channel_data_reg_26_27)) @@ -922569,24 +923938,6 @@ (portRef Q (instanceRef channel_data_reg_25_5)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_5)) )) - (net channel_data_i_25_6 (joined - (portRef (member channel_data_i_25 25) (instanceRef GEN_Channels_25_Channels)) - (portRef B (instanceRef un2_channel_hit_time_19_bm_6)) - (portRef D (instanceRef channel_data_reg_25_6)) - )) - (net channel_data_reg_25_6 (joined - (portRef Q (instanceRef channel_data_reg_25_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) - )) - (net channel_data_i_25_7 (joined - (portRef (member channel_data_i_25 24) (instanceRef GEN_Channels_25_Channels)) - (portRef B (instanceRef un2_channel_hit_time_19_bm_7)) - (portRef D (instanceRef channel_data_reg_25_7)) - )) - (net channel_data_reg_25_7 (joined - (portRef Q (instanceRef channel_data_reg_25_7)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) - )) (net channel_data_i_25_8 (joined (portRef (member channel_data_i_25 23) (instanceRef GEN_Channels_25_Channels)) (portRef B (instanceRef un2_channel_hit_time_19_bm_8)) @@ -922596,15 +923947,6 @@ (portRef Q (instanceRef channel_data_reg_25_8)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_8)) )) - (net channel_data_i_25_9 (joined - (portRef (member channel_data_i_25 22) (instanceRef GEN_Channels_25_Channels)) - (portRef B (instanceRef un2_channel_hit_time_19_bm_9)) - (portRef D (instanceRef channel_data_reg_25_9)) - )) - (net channel_data_reg_25_9 (joined - (portRef Q (instanceRef channel_data_reg_25_9)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) - )) (net channel_data_i_25_10 (joined (portRef (member channel_data_i_25 21) (instanceRef GEN_Channels_25_Channels)) (portRef B (instanceRef un2_channel_hit_time_19_bm_10)) @@ -922630,37 +923972,21 @@ (portRef Q (instanceRef channel_data_reg_25_12)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_12)) )) - (net channel_data_i_25_13 (joined - (portRef (member channel_data_i_25 18) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_13)) - )) - (net channel_data_reg_25_13 (joined - (portRef Q (instanceRef channel_data_reg_25_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_13)) - )) - (net channel_data_i_25_14 (joined - (portRef (member channel_data_i_25 17) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_14)) - )) - (net channel_data_reg_25_14 (joined - (portRef Q (instanceRef channel_data_reg_25_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_14)) - )) - (net channel_data_i_25_15 (joined - (portRef (member channel_data_i_25 16) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_15)) + (net channel_data_i_25_16 (joined + (portRef (member channel_data_i_25 15) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_16)) )) - (net channel_data_reg_25_15 (joined - (portRef Q (instanceRef channel_data_reg_25_15)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_15)) + (net channel_data_reg_25_16 (joined + (portRef Q (instanceRef channel_data_reg_25_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_16)) )) - (net channel_data_i_25_18 (joined - (portRef (member channel_data_i_25 13) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_18)) + (net channel_data_i_25_17 (joined + (portRef (member channel_data_i_25 14) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_17)) )) - (net channel_data_reg_25_18 (joined - (portRef Q (instanceRef channel_data_reg_25_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_18)) + (net channel_data_reg_25_17 (joined + (portRef Q (instanceRef channel_data_reg_25_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_17)) )) (net channel_data_i_25_19 (joined (portRef (member channel_data_i_25 12) (instanceRef GEN_Channels_25_Channels)) @@ -922670,6 +923996,32 @@ (portRef Q (instanceRef channel_data_reg_25_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_19)) )) + (net channel_data_i_25_20 (joined + (portRef (member channel_data_i_25 11) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_20)) + )) + (net channel_data_reg_25_20 (joined + (portRef Q (instanceRef channel_data_reg_25_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_20)) + )) + (net channel_data_i_24_0 (joined + (portRef (member channel_data_i_24 31) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_0)) + (portRef D (instanceRef channel_data_reg_24_0)) + )) + (net channel_data_reg_24_0 (joined + (portRef Q (instanceRef channel_data_reg_24_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_0)) + )) + (net channel_data_i_24_6 (joined + (portRef (member channel_data_i_24 25) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_6)) + (portRef D (instanceRef channel_data_reg_24_6)) + )) + (net channel_data_reg_24_6 (joined + (portRef Q (instanceRef channel_data_reg_24_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_6)) + )) (net channel_data_i_24_7 (joined (portRef (member channel_data_i_24 24) (instanceRef GEN_Channels_24_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_bm_7)) @@ -922688,78 +924040,98 @@ (portRef Q (instanceRef channel_data_reg_24_8)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_8)) )) - (net channel_data_i_2_19 (joined - (portRef (member channel_data_i_2 12) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_19)) + (net channel_data_i_24_9 (joined + (portRef (member channel_data_i_24 22) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_9)) + (portRef D (instanceRef channel_data_reg_24_9)) )) - (net channel_data_reg_2_19 (joined - (portRef Q (instanceRef channel_data_reg_2_19)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_19)) + (net channel_data_reg_24_9 (joined + (portRef Q (instanceRef channel_data_reg_24_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_9)) )) - (net channel_data_i_25_21 (joined - (portRef (member channel_data_i_25 10) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_21)) + (net channel_data_i_24_10 (joined + (portRef (member channel_data_i_24 21) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_10)) + (portRef D (instanceRef channel_data_reg_24_10)) )) - (net channel_data_reg_25_21 (joined - (portRef Q (instanceRef channel_data_reg_25_21)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_21)) + (net channel_data_reg_24_10 (joined + (portRef Q (instanceRef channel_data_reg_24_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_10)) )) - (net channel_data_i_24_11 (joined - (portRef (member channel_data_i_24 20) (instanceRef GEN_Channels_24_Channels)) - (portRef D (instanceRef channel_data_reg_24_11)) + (net channel_data_i_21_1 (joined + (portRef (member channel_data_i_21 30) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_1)) + (portRef D (instanceRef channel_data_reg_21_1)) )) - (net channel_data_reg_24_11 (joined - (portRef Q (instanceRef channel_data_reg_24_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_11)) + (net channel_data_reg_21_1 (joined + (portRef Q (instanceRef channel_data_reg_21_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_1)) )) - (net channel_data_i_2_20 (joined - (portRef (member channel_data_i_2 11) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_20)) + (net channel_data_i_21_6 (joined + (portRef (member channel_data_i_21 25) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_6)) + (portRef D (instanceRef channel_data_reg_21_6)) )) - (net channel_data_reg_2_20 (joined - (portRef Q (instanceRef channel_data_reg_2_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_20)) + (net channel_data_reg_21_6 (joined + (portRef Q (instanceRef channel_data_reg_21_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_6)) )) - (net channel_data_i_25_20 (joined - (portRef (member channel_data_i_25 11) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_20)) + (net channel_data_i_2_17 (joined + (portRef (member channel_data_i_2 14) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_17)) )) - (net channel_data_reg_25_20 (joined - (portRef Q (instanceRef channel_data_reg_25_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_20)) + (net channel_data_reg_2_17 (joined + (portRef Q (instanceRef channel_data_reg_2_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_17)) )) - (net channel_data_i_24_0 (joined - (portRef (member channel_data_i_24 31) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_0)) - (portRef D (instanceRef channel_data_reg_24_0)) + (net channel_data_i_28_29 (joined + (portRef (member channel_data_i_28 2) (instanceRef GEN_Channels_28_Channels)) + (portRef D (instanceRef channel_data_reg_28_29)) )) - (net channel_data_reg_24_0 (joined - (portRef Q (instanceRef channel_data_reg_24_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_0)) + (net channel_data_reg_28_29 (joined + (portRef Q (instanceRef channel_data_reg_28_29)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) )) - (net channel_data_i_2_15 (joined - (portRef (member channel_data_i_2 16) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_15)) + (net channel_data_i_26_17 (joined + (portRef (member channel_data_i_26 14) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_17)) )) - (net channel_data_reg_2_15 (joined - (portRef Q (instanceRef channel_data_reg_2_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) + (net channel_data_reg_26_17 (joined + (portRef Q (instanceRef channel_data_reg_26_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) )) - (net channel_data_i_25_16 (joined - (portRef (member channel_data_i_25 15) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_16)) + (net channel_data_i_25_15 (joined + (portRef (member channel_data_i_25 16) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_15)) )) - (net channel_data_reg_25_16 (joined - (portRef Q (instanceRef channel_data_reg_25_16)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_16)) + (net channel_data_reg_25_15 (joined + (portRef Q (instanceRef channel_data_reg_25_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_15)) )) - (net channel_data_i_25_17 (joined - (portRef (member channel_data_i_25 14) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_17)) + (net channel_data_i_23_15 (joined + (portRef (member channel_data_i_23 16) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_15)) )) - (net channel_data_reg_25_17 (joined - (portRef Q (instanceRef channel_data_reg_25_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_17)) + (net channel_data_reg_23_15 (joined + (portRef Q (instanceRef channel_data_reg_23_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_15)) + )) + (net channel_data_i_23_20 (joined + (portRef (member channel_data_i_23 11) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_20)) + )) + (net channel_data_reg_23_20 (joined + (portRef Q (instanceRef channel_data_reg_23_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_20)) + )) + (net channel_data_i_21_9 (joined + (portRef (member channel_data_i_21 22) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_9)) + (portRef D (instanceRef channel_data_reg_21_9)) + )) + (net channel_data_reg_21_9 (joined + (portRef Q (instanceRef channel_data_reg_21_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_9)) )) (net channel_data_i_2_21 (joined (portRef (member channel_data_i_2 10) (instanceRef GEN_Channels_2_Channels)) @@ -922769,47 +924141,72 @@ (portRef Q (instanceRef channel_data_reg_2_21)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_21)) )) - (net channel_data_i_25_22 (joined - (portRef (member channel_data_i_25 9) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_22)) + (net channel_data_i_26_23 (joined + (portRef (member channel_data_i_26 8) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_23)) )) - (net channel_data_reg_25_22 (joined - (portRef Q (instanceRef channel_data_reg_25_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_22)) + (net channel_data_reg_26_23 (joined + (portRef Q (instanceRef channel_data_reg_26_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) )) - (net channel_data_i_25_23 (joined - (portRef (member channel_data_i_25 8) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_23)) + (net channel_data_i_26_26 (joined + (portRef (member channel_data_i_26 5) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_26)) )) - (net channel_data_reg_25_23 (joined - (portRef Q (instanceRef channel_data_reg_25_23)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_23)) + (net channel_data_reg_26_26 (joined + (portRef Q (instanceRef channel_data_reg_26_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) )) - (net channel_data_i_25_24 (joined - (portRef (member channel_data_i_25 7) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_24)) + (net channel_data_i_25_6 (joined + (portRef (member channel_data_i_25 25) (instanceRef GEN_Channels_25_Channels)) + (portRef B (instanceRef un2_channel_hit_time_19_bm_6)) + (portRef D (instanceRef channel_data_reg_25_6)) )) - (net channel_data_reg_25_24 (joined - (portRef Q (instanceRef channel_data_reg_25_24)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_24)) + (net channel_data_reg_25_6 (joined + (portRef Q (instanceRef channel_data_reg_25_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) )) - (net channel_data_i_24_2 (joined - (portRef (member channel_data_i_24 29) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_2)) - (portRef D (instanceRef channel_data_reg_24_2)) + (net channel_data_i_25_31 (joined + (portRef (member channel_data_i_25 0) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_31)) )) - (net channel_data_reg_24_2 (joined - (portRef Q (instanceRef channel_data_reg_24_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_2)) + (net channel_data_reg_25_31 (joined + (portRef Q (instanceRef channel_data_reg_25_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) )) - (net channel_data_i_24_5 (joined - (portRef (member channel_data_i_24 26) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_5)) - (portRef D (instanceRef channel_data_reg_24_5)) + (net channel_data_i_23_17 (joined + (portRef (member channel_data_i_23 14) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_17)) )) - (net channel_data_reg_24_5 (joined - (portRef Q (instanceRef channel_data_reg_24_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_5)) + (net channel_data_reg_23_17 (joined + (portRef Q (instanceRef channel_data_reg_23_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_17)) + )) + (net channel_data_i_23_19 (joined + (portRef (member channel_data_i_23 12) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_19)) + )) + (net channel_data_reg_23_19 (joined + (portRef Q (instanceRef channel_data_reg_23_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_19)) + )) + (net channel_data_i_22_2 (joined + (portRef (member channel_data_i_22 29) (instanceRef GEN_Channels_22_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_am_2)) + (portRef D (instanceRef channel_data_reg_22_2)) + )) + (net channel_data_reg_22_2 (joined + (portRef Q (instanceRef channel_data_reg_22_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_2)) + )) + (net channel_data_i_21_0 (joined + (portRef (member channel_data_i_21 31) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_0)) + (portRef D (instanceRef channel_data_reg_21_0)) + )) + (net channel_data_reg_21_0 (joined + (portRef Q (instanceRef channel_data_reg_21_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_0)) )) (net channel_data_i_2_22 (joined (portRef (member channel_data_i_2 9) (instanceRef GEN_Channels_2_Channels)) @@ -922827,6 +924224,129 @@ (portRef Q (instanceRef channel_data_reg_26_28)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_28)) )) + (net channel_data_i_25_7 (joined + (portRef (member channel_data_i_25 24) (instanceRef GEN_Channels_25_Channels)) + (portRef B (instanceRef un2_channel_hit_time_19_bm_7)) + (portRef D (instanceRef channel_data_reg_25_7)) + )) + (net channel_data_reg_25_7 (joined + (portRef Q (instanceRef channel_data_reg_25_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) + )) + (net channel_data_i_2_18 (joined + (portRef (member channel_data_i_2 13) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_18)) + )) + (net channel_data_reg_2_18 (joined + (portRef Q (instanceRef channel_data_reg_2_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_18)) + )) + (net channel_data_i_26_20 (joined + (portRef (member channel_data_i_26 11) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_20)) + )) + (net channel_data_reg_26_20 (joined + (portRef Q (instanceRef channel_data_reg_26_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) + )) + (net channel_data_i_25_13 (joined + (portRef (member channel_data_i_25 18) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_13)) + )) + (net channel_data_reg_25_13 (joined + (portRef Q (instanceRef channel_data_reg_25_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_13)) + )) + (net channel_data_i_25_14 (joined + (portRef (member channel_data_i_25 17) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_14)) + )) + (net channel_data_reg_25_14 (joined + (portRef Q (instanceRef channel_data_reg_25_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_14)) + )) + (net channel_data_i_25_22 (joined + (portRef (member channel_data_i_25 9) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_22)) + )) + (net channel_data_reg_25_22 (joined + (portRef Q (instanceRef channel_data_reg_25_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_22)) + )) + (net channel_data_i_24_5 (joined + (portRef (member channel_data_i_24 26) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_5)) + (portRef D (instanceRef channel_data_reg_24_5)) + )) + (net channel_data_reg_24_5 (joined + (portRef Q (instanceRef channel_data_reg_24_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_5)) + )) + (net channel_data_i_2_19 (joined + (portRef (member channel_data_i_2 12) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_19)) + )) + (net channel_data_reg_2_19 (joined + (portRef Q (instanceRef channel_data_reg_2_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_19)) + )) + (net channel_data_i_26_22 (joined + (portRef (member channel_data_i_26 9) (instanceRef GEN_Channels_26_Channels)) + (portRef D (instanceRef channel_data_reg_26_22)) + )) + (net channel_data_reg_26_22 (joined + (portRef Q (instanceRef channel_data_reg_26_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) + )) + (net channel_data_i_25_9 (joined + (portRef (member channel_data_i_25 22) (instanceRef GEN_Channels_25_Channels)) + (portRef B (instanceRef un2_channel_hit_time_19_bm_9)) + (portRef D (instanceRef channel_data_reg_25_9)) + )) + (net channel_data_reg_25_9 (joined + (portRef Q (instanceRef channel_data_reg_25_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) + )) + (net channel_data_i_25_18 (joined + (portRef (member channel_data_i_25 13) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_18)) + )) + (net channel_data_reg_25_18 (joined + (portRef Q (instanceRef channel_data_reg_25_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_18)) + )) + (net channel_data_i_25_21 (joined + (portRef (member channel_data_i_25 10) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_21)) + )) + (net channel_data_reg_25_21 (joined + (portRef Q (instanceRef channel_data_reg_25_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_21)) + )) + (net channel_data_i_2_23 (joined + (portRef (member channel_data_i_2 8) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_23)) + )) + (net channel_data_reg_2_23 (joined + (portRef Q (instanceRef channel_data_reg_2_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_23)) + )) + (net channel_data_i_25_23 (joined + (portRef (member channel_data_i_25 8) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_23)) + )) + (net channel_data_reg_25_23 (joined + (portRef Q (instanceRef channel_data_reg_25_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_23)) + )) + (net channel_data_i_25_24 (joined + (portRef (member channel_data_i_25 7) (instanceRef GEN_Channels_25_Channels)) + (portRef D (instanceRef channel_data_reg_25_24)) + )) + (net channel_data_reg_25_24 (joined + (portRef Q (instanceRef channel_data_reg_25_24)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_24)) + )) (net channel_data_i_25_25 (joined (portRef (member channel_data_i_25 6) (instanceRef GEN_Channels_25_Channels)) (portRef D (instanceRef channel_data_reg_25_25)) @@ -922875,14 +924395,6 @@ (portRef Q (instanceRef channel_data_reg_25_30)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) )) - (net channel_data_i_25_31 (joined - (portRef (member channel_data_i_25 0) (instanceRef GEN_Channels_25_Channels)) - (portRef D (instanceRef channel_data_reg_25_31)) - )) - (net channel_data_reg_25_31 (joined - (portRef Q (instanceRef channel_data_reg_25_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) - )) (net channel_data_i_24_1 (joined (portRef (member channel_data_i_24 30) (instanceRef GEN_Channels_24_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_bm_1)) @@ -922892,6 +924404,15 @@ (portRef Q (instanceRef channel_data_reg_24_1)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_1)) )) + (net channel_data_i_24_2 (joined + (portRef (member channel_data_i_24 29) (instanceRef GEN_Channels_24_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_bm_2)) + (portRef D (instanceRef channel_data_reg_24_2)) + )) + (net channel_data_reg_24_2 (joined + (portRef Q (instanceRef channel_data_reg_24_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_2)) + )) (net channel_data_i_24_3 (joined (portRef (member channel_data_i_24 28) (instanceRef GEN_Channels_24_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_bm_3)) @@ -922910,32 +924431,13 @@ (portRef Q (instanceRef channel_data_reg_24_4)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_4)) )) - (net channel_data_i_24_6 (joined - (portRef (member channel_data_i_24 25) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_6)) - (portRef D (instanceRef channel_data_reg_24_6)) - )) - (net channel_data_reg_24_6 (joined - (portRef Q (instanceRef channel_data_reg_24_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_6)) - )) - (net channel_data_i_24_9 (joined - (portRef (member channel_data_i_24 22) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_9)) - (portRef D (instanceRef channel_data_reg_24_9)) - )) - (net channel_data_reg_24_9 (joined - (portRef Q (instanceRef channel_data_reg_24_9)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_9)) - )) - (net channel_data_i_24_10 (joined - (portRef (member channel_data_i_24 21) (instanceRef GEN_Channels_24_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_bm_10)) - (portRef D (instanceRef channel_data_reg_24_10)) + (net channel_data_i_24_11 (joined + (portRef (member channel_data_i_24 20) (instanceRef GEN_Channels_24_Channels)) + (portRef D (instanceRef channel_data_reg_24_11)) )) - (net channel_data_reg_24_10 (joined - (portRef Q (instanceRef channel_data_reg_24_10)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_10)) + (net channel_data_reg_24_11 (joined + (portRef Q (instanceRef channel_data_reg_24_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_11)) )) (net channel_data_i_24_12 (joined (portRef (member channel_data_i_24 19) (instanceRef GEN_Channels_24_Channels)) @@ -923001,6 +924503,14 @@ (portRef Q (instanceRef channel_data_reg_24_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_19)) )) + (net channel_data_i_2_20 (joined + (portRef (member channel_data_i_2 11) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_20)) + )) + (net channel_data_reg_2_20 (joined + (portRef Q (instanceRef channel_data_reg_2_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_20)) + )) (net channel_data_i_24_20 (joined (portRef (member channel_data_i_24 11) (instanceRef GEN_Channels_24_Channels)) (portRef D (instanceRef channel_data_reg_24_20)) @@ -923081,14 +924591,6 @@ (portRef Q (instanceRef channel_data_reg_24_29)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_29)) )) - (net channel_data_i_24_30 (joined - (portRef (member channel_data_i_24 1) (instanceRef GEN_Channels_24_Channels)) - (portRef D (instanceRef channel_data_reg_24_30)) - )) - (net channel_data_reg_24_30 (joined - (portRef Q (instanceRef channel_data_reg_24_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) - )) (net channel_data_i_24_31 (joined (portRef (member channel_data_i_24 0) (instanceRef GEN_Channels_24_Channels)) (portRef D (instanceRef channel_data_reg_24_31)) @@ -923106,15 +924608,6 @@ (portRef Q (instanceRef channel_data_reg_23_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_0)) )) - (net channel_data_i_23_1 (joined - (portRef (member channel_data_i_23 30) (instanceRef GEN_Channels_23_Channels)) - (portRef B (instanceRef un2_channel_hit_time_29_am_1)) - (portRef D (instanceRef channel_data_reg_23_1)) - )) - (net channel_data_reg_23_1 (joined - (portRef Q (instanceRef channel_data_reg_23_1)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_1)) - )) (net channel_data_i_23_2 (joined (portRef (member channel_data_i_23 29) (instanceRef GEN_Channels_23_Channels)) (portRef B (instanceRef un2_channel_hit_time_29_am_2)) @@ -923196,45 +924689,110 @@ (portRef Q (instanceRef channel_data_reg_23_10)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_10)) )) - (net channel_data_i_23_11 (joined - (portRef (member channel_data_i_23 20) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_11)) + (net channel_data_i_23_11 (joined + (portRef (member channel_data_i_23 20) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_11)) + )) + (net channel_data_reg_23_11 (joined + (portRef Q (instanceRef channel_data_reg_23_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_11)) + )) + (net channel_data_i_23_12 (joined + (portRef (member channel_data_i_23 19) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_12)) + )) + (net channel_data_reg_23_12 (joined + (portRef Q (instanceRef channel_data_reg_23_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_12)) + )) + (net channel_data_i_23_13 (joined + (portRef (member channel_data_i_23 18) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_13)) + )) + (net channel_data_reg_23_13 (joined + (portRef Q (instanceRef channel_data_reg_23_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_13)) + )) + (net channel_data_i_23_14 (joined + (portRef (member channel_data_i_23 17) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_14)) + )) + (net channel_data_reg_23_14 (joined + (portRef Q (instanceRef channel_data_reg_23_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_14)) + )) + (net channel_data_i_23_18 (joined + (portRef (member channel_data_i_23 13) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_18)) + )) + (net channel_data_reg_23_18 (joined + (portRef Q (instanceRef channel_data_reg_23_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_18)) + )) + (net channel_data_i_23_22 (joined + (portRef (member channel_data_i_23 9) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_22)) + )) + (net channel_data_reg_23_22 (joined + (portRef Q (instanceRef channel_data_reg_23_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_22)) + )) + (net channel_data_i_23_25 (joined + (portRef (member channel_data_i_23 6) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_25)) + )) + (net channel_data_reg_23_25 (joined + (portRef Q (instanceRef channel_data_reg_23_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_25)) + )) + (net channel_data_i_23_26 (joined + (portRef (member channel_data_i_23 5) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_26)) + )) + (net channel_data_reg_23_26 (joined + (portRef Q (instanceRef channel_data_reg_23_26)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_26)) + )) + (net channel_data_i_21_14 (joined + (portRef (member channel_data_i_21 17) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_14)) )) - (net channel_data_reg_23_11 (joined - (portRef Q (instanceRef channel_data_reg_23_11)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_11)) + (net channel_data_reg_21_14 (joined + (portRef Q (instanceRef channel_data_reg_21_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_14)) )) - (net channel_data_i_23_12 (joined - (portRef (member channel_data_i_23 19) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_12)) + (net channel_data_i_21_18 (joined + (portRef (member channel_data_i_21 13) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_18)) )) - (net channel_data_reg_23_12 (joined - (portRef Q (instanceRef channel_data_reg_23_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_12)) + (net channel_data_reg_21_18 (joined + (portRef Q (instanceRef channel_data_reg_21_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_18)) )) - (net channel_data_i_23_13 (joined - (portRef (member channel_data_i_23 18) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_13)) + (net channel_data_i_2_24 (joined + (portRef (member channel_data_i_2 7) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_24)) )) - (net channel_data_reg_23_13 (joined - (portRef Q (instanceRef channel_data_reg_23_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_13)) + (net channel_data_reg_2_24 (joined + (portRef Q (instanceRef channel_data_reg_2_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_24)) )) - (net channel_data_i_23_14 (joined - (portRef (member channel_data_i_23 17) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_14)) + (net channel_data_i_24_30 (joined + (portRef (member channel_data_i_24 1) (instanceRef GEN_Channels_24_Channels)) + (portRef D (instanceRef channel_data_reg_24_30)) )) - (net channel_data_reg_23_14 (joined - (portRef Q (instanceRef channel_data_reg_23_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_14)) + (net channel_data_reg_24_30 (joined + (portRef Q (instanceRef channel_data_reg_24_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) )) - (net channel_data_i_23_15 (joined - (portRef (member channel_data_i_23 16) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_15)) + (net channel_data_i_23_1 (joined + (portRef (member channel_data_i_23 30) (instanceRef GEN_Channels_23_Channels)) + (portRef B (instanceRef un2_channel_hit_time_29_am_1)) + (portRef D (instanceRef channel_data_reg_23_1)) )) - (net channel_data_reg_23_15 (joined - (portRef Q (instanceRef channel_data_reg_23_15)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_15)) + (net channel_data_reg_23_1 (joined + (portRef Q (instanceRef channel_data_reg_23_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_1)) )) (net channel_data_i_23_16 (joined (portRef (member channel_data_i_23 15) (instanceRef GEN_Channels_23_Channels)) @@ -923244,38 +924802,6 @@ (portRef Q (instanceRef channel_data_reg_23_16)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_16)) )) - (net channel_data_i_23_17 (joined - (portRef (member channel_data_i_23 14) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_17)) - )) - (net channel_data_reg_23_17 (joined - (portRef Q (instanceRef channel_data_reg_23_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_17)) - )) - (net channel_data_i_23_18 (joined - (portRef (member channel_data_i_23 13) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_18)) - )) - (net channel_data_reg_23_18 (joined - (portRef Q (instanceRef channel_data_reg_23_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_18)) - )) - (net channel_data_i_23_19 (joined - (portRef (member channel_data_i_23 12) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_19)) - )) - (net channel_data_reg_23_19 (joined - (portRef Q (instanceRef channel_data_reg_23_19)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_19)) - )) - (net channel_data_i_23_20 (joined - (portRef (member channel_data_i_23 11) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_20)) - )) - (net channel_data_reg_23_20 (joined - (portRef Q (instanceRef channel_data_reg_23_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_20)) - )) (net channel_data_i_23_21 (joined (portRef (member channel_data_i_23 10) (instanceRef GEN_Channels_23_Channels)) (portRef D (instanceRef channel_data_reg_23_21)) @@ -923284,14 +924810,6 @@ (portRef Q (instanceRef channel_data_reg_23_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_21)) )) - (net channel_data_i_23_22 (joined - (portRef (member channel_data_i_23 9) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_22)) - )) - (net channel_data_reg_23_22 (joined - (portRef Q (instanceRef channel_data_reg_23_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_22)) - )) (net channel_data_i_23_23 (joined (portRef (member channel_data_i_23 8) (instanceRef GEN_Channels_23_Channels)) (portRef D (instanceRef channel_data_reg_23_23)) @@ -923300,23 +924818,6 @@ (portRef Q (instanceRef channel_data_reg_23_23)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_23)) )) - (net channel_data_i_21_2 (joined - (portRef (member channel_data_i_21 29) (instanceRef GEN_Channels_21_Channels)) - (portRef B (instanceRef un2_channel_hit_time_22_am_2)) - (portRef D (instanceRef channel_data_reg_21_2)) - )) - (net channel_data_reg_21_2 (joined - (portRef Q (instanceRef channel_data_reg_21_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_2)) - )) - (net channel_data_i_2_24 (joined - (portRef (member channel_data_i_2 7) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_24)) - )) - (net channel_data_reg_2_24 (joined - (portRef Q (instanceRef channel_data_reg_2_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_24)) - )) (net channel_data_i_23_24 (joined (portRef (member channel_data_i_23 7) (instanceRef GEN_Channels_23_Channels)) (portRef D (instanceRef channel_data_reg_23_24)) @@ -923325,22 +924826,6 @@ (portRef Q (instanceRef channel_data_reg_23_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_24)) )) - (net channel_data_i_23_25 (joined - (portRef (member channel_data_i_23 6) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_25)) - )) - (net channel_data_reg_23_25 (joined - (portRef Q (instanceRef channel_data_reg_23_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_25)) - )) - (net channel_data_i_23_26 (joined - (portRef (member channel_data_i_23 5) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_26)) - )) - (net channel_data_reg_23_26 (joined - (portRef Q (instanceRef channel_data_reg_23_26)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_26)) - )) (net channel_data_i_23_27 (joined (portRef (member channel_data_i_23 4) (instanceRef GEN_Channels_23_Channels)) (portRef D (instanceRef channel_data_reg_23_27)) @@ -923365,6 +924850,22 @@ (portRef Q (instanceRef channel_data_reg_23_29)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_29)) )) + (net channel_data_i_23_30 (joined + (portRef (member channel_data_i_23 1) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_30)) + )) + (net channel_data_reg_23_30 (joined + (portRef Q (instanceRef channel_data_reg_23_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) + )) + (net channel_data_i_23_31 (joined + (portRef (member channel_data_i_23 0) (instanceRef GEN_Channels_23_Channels)) + (portRef D (instanceRef channel_data_reg_23_31)) + )) + (net channel_data_reg_23_31 (joined + (portRef Q (instanceRef channel_data_reg_23_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_31)) + )) (net channel_data_i_22_0 (joined (portRef (member channel_data_i_22 31) (instanceRef GEN_Channels_22_Channels)) (portRef B (instanceRef un2_channel_hit_time_14_am_0)) @@ -923392,48 +924893,6 @@ (portRef Q (instanceRef channel_data_reg_22_3)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_3)) )) - (net channel_data_i_22_6 (joined - (portRef (member channel_data_i_22 25) (instanceRef GEN_Channels_22_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_am_6)) - (portRef D (instanceRef channel_data_reg_22_6)) - )) - (net channel_data_reg_22_6 (joined - (portRef Q (instanceRef channel_data_reg_22_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_6)) - )) - (net channel_data_i_2_28 (joined - (portRef (member channel_data_i_2 3) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_28)) - )) - (net channel_data_reg_2_28 (joined - (portRef Q (instanceRef channel_data_reg_2_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_28)) - )) - (net channel_data_i_23_30 (joined - (portRef (member channel_data_i_23 1) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_30)) - )) - (net channel_data_reg_23_30 (joined - (portRef Q (instanceRef channel_data_reg_23_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) - )) - (net channel_data_i_23_31 (joined - (portRef (member channel_data_i_23 0) (instanceRef GEN_Channels_23_Channels)) - (portRef D (instanceRef channel_data_reg_23_31)) - )) - (net channel_data_reg_23_31 (joined - (portRef Q (instanceRef channel_data_reg_23_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_29_am_31)) - )) - (net channel_data_i_22_2 (joined - (portRef (member channel_data_i_22 29) (instanceRef GEN_Channels_22_Channels)) - (portRef B (instanceRef un2_channel_hit_time_14_am_2)) - (portRef D (instanceRef channel_data_reg_22_2)) - )) - (net channel_data_reg_22_2 (joined - (portRef Q (instanceRef channel_data_reg_22_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_2)) - )) (net channel_data_i_22_4 (joined (portRef (member channel_data_i_22 27) (instanceRef GEN_Channels_22_Channels)) (portRef B (instanceRef un2_channel_hit_time_14_am_4)) @@ -923452,6 +924911,15 @@ (portRef Q (instanceRef channel_data_reg_22_5)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_5)) )) + (net channel_data_i_22_6 (joined + (portRef (member channel_data_i_22 25) (instanceRef GEN_Channels_22_Channels)) + (portRef B (instanceRef un2_channel_hit_time_14_am_6)) + (portRef D (instanceRef channel_data_reg_22_6)) + )) + (net channel_data_reg_22_6 (joined + (portRef Q (instanceRef channel_data_reg_22_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_6)) + )) (net channel_data_i_22_7 (joined (portRef (member channel_data_i_22 24) (instanceRef GEN_Channels_22_Channels)) (portRef B (instanceRef un2_channel_hit_time_14_am_7)) @@ -923600,6 +925068,14 @@ (portRef Q (instanceRef channel_data_reg_22_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_24)) )) + (net channel_data_i_22_25 (joined + (portRef (member channel_data_i_22 6) (instanceRef GEN_Channels_22_Channels)) + (portRef D (instanceRef channel_data_reg_22_25)) + )) + (net channel_data_reg_22_25 (joined + (portRef Q (instanceRef channel_data_reg_22_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_25)) + )) (net channel_data_i_22_26 (joined (portRef (member channel_data_i_22 5) (instanceRef GEN_Channels_22_Channels)) (portRef D (instanceRef channel_data_reg_22_26)) @@ -923616,46 +925092,6 @@ (portRef Q (instanceRef channel_data_reg_22_27)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_27)) )) - (net channel_data_i_21_12 (joined - (portRef (member channel_data_i_21 19) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_12)) - )) - (net channel_data_reg_21_12 (joined - (portRef Q (instanceRef channel_data_reg_21_12)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_12)) - )) - (net channel_data_i_21_17 (joined - (portRef (member channel_data_i_21 14) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_17)) - )) - (net channel_data_reg_21_17 (joined - (portRef Q (instanceRef channel_data_reg_21_17)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_17)) - )) - (net channel_data_i_21_19 (joined - (portRef (member channel_data_i_21 12) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_19)) - )) - (net channel_data_reg_21_19 (joined - (portRef Q (instanceRef channel_data_reg_21_19)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_19)) - )) - (net channel_data_i_2_23 (joined - (portRef (member channel_data_i_2 8) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_23)) - )) - (net channel_data_reg_2_23 (joined - (portRef Q (instanceRef channel_data_reg_2_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_23)) - )) - (net channel_data_i_22_25 (joined - (portRef (member channel_data_i_22 6) (instanceRef GEN_Channels_22_Channels)) - (portRef D (instanceRef channel_data_reg_22_25)) - )) - (net channel_data_reg_22_25 (joined - (portRef Q (instanceRef channel_data_reg_22_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_25)) - )) (net channel_data_i_22_28 (joined (portRef (member channel_data_i_22 3) (instanceRef GEN_Channels_22_Channels)) (portRef D (instanceRef channel_data_reg_22_28)) @@ -923680,6 +925116,39 @@ (portRef Q (instanceRef channel_data_reg_22_30)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) )) + (net channel_data_i_22_31 (joined + (portRef (member channel_data_i_22 0) (instanceRef GEN_Channels_22_Channels)) + (portRef D (instanceRef channel_data_reg_22_31)) + )) + (net channel_data_reg_22_31 (joined + (portRef Q (instanceRef channel_data_reg_22_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) + )) + (net channel_data_i_21_10 (joined + (portRef (member channel_data_i_21 21) (instanceRef GEN_Channels_21_Channels)) + (portRef B (instanceRef un2_channel_hit_time_22_am_10)) + (portRef D (instanceRef channel_data_reg_21_10)) + )) + (net channel_data_reg_21_10 (joined + (portRef Q (instanceRef channel_data_reg_21_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_10)) + )) + (net channel_data_i_21_11 (joined + (portRef (member channel_data_i_21 20) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_11)) + )) + (net channel_data_reg_21_11 (joined + (portRef Q (instanceRef channel_data_reg_21_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_11)) + )) + (net channel_data_i_21_12 (joined + (portRef (member channel_data_i_21 19) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_12)) + )) + (net channel_data_reg_21_12 (joined + (portRef Q (instanceRef channel_data_reg_21_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_12)) + )) (net channel_data_i_21_13 (joined (portRef (member channel_data_i_21 18) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_13)) @@ -923688,14 +925157,6 @@ (portRef Q (instanceRef channel_data_reg_21_13)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_13)) )) - (net channel_data_i_21_14 (joined - (portRef (member channel_data_i_21 17) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_14)) - )) - (net channel_data_reg_21_14 (joined - (portRef Q (instanceRef channel_data_reg_21_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_14)) - )) (net channel_data_i_21_15 (joined (portRef (member channel_data_i_21 16) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_15)) @@ -923712,6 +925173,30 @@ (portRef Q (instanceRef channel_data_reg_21_16)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_16)) )) + (net channel_data_i_21_17 (joined + (portRef (member channel_data_i_21 14) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_17)) + )) + (net channel_data_reg_21_17 (joined + (portRef Q (instanceRef channel_data_reg_21_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_17)) + )) + (net channel_data_i_21_19 (joined + (portRef (member channel_data_i_21 12) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_19)) + )) + (net channel_data_reg_21_19 (joined + (portRef Q (instanceRef channel_data_reg_21_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_19)) + )) + (net channel_data_i_21_20 (joined + (portRef (member channel_data_i_21 11) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_20)) + )) + (net channel_data_reg_21_20 (joined + (portRef Q (instanceRef channel_data_reg_21_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_20)) + )) (net channel_data_i_21_21 (joined (portRef (member channel_data_i_21 10) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_21)) @@ -923720,6 +925205,14 @@ (portRef Q (instanceRef channel_data_reg_21_21)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_21)) )) + (net channel_data_i_21_22 (joined + (portRef (member channel_data_i_21 9) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_22)) + )) + (net channel_data_reg_21_22 (joined + (portRef Q (instanceRef channel_data_reg_21_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_22)) + )) (net channel_data_i_21_23 (joined (portRef (member channel_data_i_21 8) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_23)) @@ -923736,54 +925229,6 @@ (portRef Q (instanceRef channel_data_reg_21_24)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_24)) )) - (net channel_data_i_2_26 (joined - (portRef (member channel_data_i_2 5) (instanceRef GEN_Channels_2_Channels)) - (portRef D (instanceRef channel_data_reg_2_26)) - )) - (net channel_data_reg_2_26 (joined - (portRef Q (instanceRef channel_data_reg_2_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_26)) - )) - (net channel_data_i_22_31 (joined - (portRef (member channel_data_i_22 0) (instanceRef GEN_Channels_22_Channels)) - (portRef D (instanceRef channel_data_reg_22_31)) - )) - (net channel_data_reg_22_31 (joined - (portRef Q (instanceRef channel_data_reg_22_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) - )) - (net channel_data_i_21_18 (joined - (portRef (member channel_data_i_21 13) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_18)) - )) - (net channel_data_reg_21_18 (joined - (portRef Q (instanceRef channel_data_reg_21_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_18)) - )) - (net channel_data_i_21_20 (joined - (portRef (member channel_data_i_21 11) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_20)) - )) - (net channel_data_reg_21_20 (joined - (portRef Q (instanceRef channel_data_reg_21_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_20)) - )) - (net channel_data_i_21_22 (joined - (portRef (member channel_data_i_21 9) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_22)) - )) - (net channel_data_reg_21_22 (joined - (portRef Q (instanceRef channel_data_reg_21_22)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_22)) - )) - (net channel_data_i_21_25 (joined - (portRef (member channel_data_i_21 6) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_25)) - )) - (net channel_data_reg_21_25 (joined - (portRef Q (instanceRef channel_data_reg_21_25)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_25)) - )) (net channel_data_i_21_26 (joined (portRef (member channel_data_i_21 5) (instanceRef GEN_Channels_21_Channels)) (portRef D (instanceRef channel_data_reg_21_26)) @@ -923816,22 +925261,6 @@ (portRef Q (instanceRef channel_data_reg_21_29)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_29)) )) - (net channel_data_i_21_30 (joined - (portRef (member channel_data_i_21 1) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_30)) - )) - (net channel_data_reg_21_30 (joined - (portRef Q (instanceRef channel_data_reg_21_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) - )) - (net channel_data_i_21_31 (joined - (portRef (member channel_data_i_21 0) (instanceRef GEN_Channels_21_Channels)) - (portRef D (instanceRef channel_data_reg_21_31)) - )) - (net channel_data_reg_21_31 (joined - (portRef Q (instanceRef channel_data_reg_21_31)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) - )) (net channel_data_i_20_0 (joined (portRef (member channel_data_i_20 31) (instanceRef GEN_Channels_20_Channels)) (portRef B (instanceRef un2_channel_hit_time_7_am_0)) @@ -923859,15 +925288,6 @@ (portRef Q (instanceRef channel_data_reg_20_2)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_2)) )) - (net channel_data_i_20_3 (joined - (portRef (member channel_data_i_20 28) (instanceRef GEN_Channels_20_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_am_3)) - (portRef D (instanceRef channel_data_reg_20_3)) - )) - (net channel_data_reg_20_3 (joined - (portRef Q (instanceRef channel_data_reg_20_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_3)) - )) (net channel_data_i_20_4 (joined (portRef (member channel_data_i_20 27) (instanceRef GEN_Channels_20_Channels)) (portRef B (instanceRef un2_channel_hit_time_7_am_4)) @@ -923904,15 +925324,6 @@ (portRef Q (instanceRef channel_data_reg_20_7)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_7)) )) - (net channel_data_i_20_8 (joined - (portRef (member channel_data_i_20 23) (instanceRef GEN_Channels_20_Channels)) - (portRef B (instanceRef un2_channel_hit_time_7_am_8)) - (portRef D (instanceRef channel_data_reg_20_8)) - )) - (net channel_data_reg_20_8 (joined - (portRef Q (instanceRef channel_data_reg_20_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_8)) - )) (net channel_data_i_20_9 (joined (portRef (member channel_data_i_20 22) (instanceRef GEN_Channels_20_Channels)) (portRef B (instanceRef un2_channel_hit_time_7_am_9)) @@ -923922,6 +925333,48 @@ (portRef Q (instanceRef channel_data_reg_20_9)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_9)) )) + (net channel_data_i_2_25 (joined + (portRef (member channel_data_i_2 6) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_25)) + )) + (net channel_data_reg_2_25 (joined + (portRef Q (instanceRef channel_data_reg_2_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_25)) + )) + (net channel_data_i_21_25 (joined + (portRef (member channel_data_i_21 6) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_25)) + )) + (net channel_data_reg_21_25 (joined + (portRef Q (instanceRef channel_data_reg_21_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_25)) + )) + (net channel_data_i_21_30 (joined + (portRef (member channel_data_i_21 1) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_30)) + )) + (net channel_data_reg_21_30 (joined + (portRef Q (instanceRef channel_data_reg_21_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) + )) + (net channel_data_i_20_3 (joined + (portRef (member channel_data_i_20 28) (instanceRef GEN_Channels_20_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_am_3)) + (portRef D (instanceRef channel_data_reg_20_3)) + )) + (net channel_data_reg_20_3 (joined + (portRef Q (instanceRef channel_data_reg_20_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_3)) + )) + (net channel_data_i_20_8 (joined + (portRef (member channel_data_i_20 23) (instanceRef GEN_Channels_20_Channels)) + (portRef B (instanceRef un2_channel_hit_time_7_am_8)) + (portRef D (instanceRef channel_data_reg_20_8)) + )) + (net channel_data_reg_20_8 (joined + (portRef Q (instanceRef channel_data_reg_20_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_8)) + )) (net channel_data_i_20_10 (joined (portRef (member channel_data_i_20 21) (instanceRef GEN_Channels_20_Channels)) (portRef B (instanceRef un2_channel_hit_time_7_am_10)) @@ -924059,6 +925512,14 @@ (portRef Q (instanceRef channel_data_reg_20_26)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_26)) )) + (net channel_data_i_2_26 (joined + (portRef (member channel_data_i_2 5) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_26)) + )) + (net channel_data_reg_2_26 (joined + (portRef Q (instanceRef channel_data_reg_2_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_26)) + )) (net channel_data_i_20_27 (joined (portRef (member channel_data_i_20 4) (instanceRef GEN_Channels_20_Channels)) (portRef D (instanceRef channel_data_reg_20_27)) @@ -924067,6 +925528,22 @@ (portRef Q (instanceRef channel_data_reg_20_27)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_27)) )) + (net channel_data_i_2_27 (joined + (portRef (member channel_data_i_2 4) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_27)) + )) + (net channel_data_reg_2_27 (joined + (portRef Q (instanceRef channel_data_reg_2_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_27)) + )) + (net channel_data_i_2_28 (joined + (portRef (member channel_data_i_2 3) (instanceRef GEN_Channels_2_Channels)) + (portRef D (instanceRef channel_data_reg_2_28)) + )) + (net channel_data_reg_2_28 (joined + (portRef Q (instanceRef channel_data_reg_2_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_28)) + )) (net channel_data_i_20_28 (joined (portRef (member channel_data_i_20 3) (instanceRef GEN_Channels_20_Channels)) (portRef D (instanceRef channel_data_reg_20_28)) @@ -924075,14 +925552,6 @@ (portRef Q (instanceRef channel_data_reg_20_28)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_28)) )) - (net channel_data_i_20_29 (joined - (portRef (member channel_data_i_20 2) (instanceRef GEN_Channels_20_Channels)) - (portRef D (instanceRef channel_data_reg_20_29)) - )) - (net channel_data_reg_20_29 (joined - (portRef Q (instanceRef channel_data_reg_20_29)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) - )) (net channel_data_i_2_29 (joined (portRef (member channel_data_i_2 2) (instanceRef GEN_Channels_2_Channels)) (portRef D (instanceRef channel_data_reg_2_29)) @@ -924091,13 +925560,13 @@ (portRef Q (instanceRef channel_data_reg_2_29)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_29)) )) - (net channel_data_i_20_30 (joined - (portRef (member channel_data_i_20 1) (instanceRef GEN_Channels_20_Channels)) - (portRef D (instanceRef channel_data_reg_20_30)) + (net channel_data_i_20_29 (joined + (portRef (member channel_data_i_20 2) (instanceRef GEN_Channels_20_Channels)) + (portRef D (instanceRef channel_data_reg_20_29)) )) - (net channel_data_reg_20_30 (joined - (portRef Q (instanceRef channel_data_reg_20_30)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) + (net channel_data_reg_20_29 (joined + (portRef Q (instanceRef channel_data_reg_20_29)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) )) (net channel_data_i_2_30 (joined (portRef (member channel_data_i_2 1) (instanceRef GEN_Channels_2_Channels)) @@ -924115,6 +925584,22 @@ (portRef Q (instanceRef channel_data_reg_2_31)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) )) + (net channel_data_i_21_31 (joined + (portRef (member channel_data_i_21 0) (instanceRef GEN_Channels_21_Channels)) + (portRef D (instanceRef channel_data_reg_21_31)) + )) + (net channel_data_reg_21_31 (joined + (portRef Q (instanceRef channel_data_reg_21_31)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) + )) + (net channel_data_i_20_30 (joined + (portRef (member channel_data_i_20 1) (instanceRef GEN_Channels_20_Channels)) + (portRef D (instanceRef channel_data_reg_20_30)) + )) + (net channel_data_reg_20_30 (joined + (portRef Q (instanceRef channel_data_reg_20_30)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) + )) (net channel_data_i_20_31 (joined (portRef (member channel_data_i_20 0) (instanceRef GEN_Channels_20_Channels)) (portRef D (instanceRef channel_data_reg_20_31)) @@ -924141,24 +925626,6 @@ (portRef Q (instanceRef channel_data_reg_19_0)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_0)) )) - (net channel_data_i_19_2 (joined - (portRef (member channel_data_i_19 29) (instanceRef GEN_Channels_19_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_am_2)) - (portRef D (instanceRef channel_data_reg_19_2)) - )) - (net channel_data_reg_19_2 (joined - (portRef Q (instanceRef channel_data_reg_19_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_2)) - )) - (net channel_data_i_16_0 (joined - (portRef (member channel_data_i_16 31) (instanceRef GEN_Channels_16_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_am_0)) - (portRef D (instanceRef channel_data_reg_16_0)) - )) - (net channel_data_reg_16_0 (joined - (portRef Q (instanceRef channel_data_reg_16_0)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_0)) - )) (net channel_data_i_1_1 (joined (portRef (member channel_data_i_1 30) (instanceRef GEN_Channels_1_Channels)) (portRef A (instanceRef un2_channel_hit_time_19_am_1)) @@ -924186,14 +925653,14 @@ (portRef Q (instanceRef channel_data_reg_1_2)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_2)) )) - (net channel_data_i_19_3 (joined - (portRef (member channel_data_i_19 28) (instanceRef GEN_Channels_19_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_am_3)) - (portRef D (instanceRef channel_data_reg_19_3)) + (net channel_data_i_19_2 (joined + (portRef (member channel_data_i_19 29) (instanceRef GEN_Channels_19_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_am_2)) + (portRef D (instanceRef channel_data_reg_19_2)) )) - (net channel_data_reg_19_3 (joined - (portRef Q (instanceRef channel_data_reg_19_3)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_3)) + (net channel_data_reg_19_2 (joined + (portRef Q (instanceRef channel_data_reg_19_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_2)) )) (net channel_data_i_1_3 (joined (portRef (member channel_data_i_1 28) (instanceRef GEN_Channels_1_Channels)) @@ -924204,6 +925671,15 @@ (portRef Q (instanceRef channel_data_reg_1_3)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_3)) )) + (net channel_data_i_19_3 (joined + (portRef (member channel_data_i_19 28) (instanceRef GEN_Channels_19_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_am_3)) + (portRef D (instanceRef channel_data_reg_19_3)) + )) + (net channel_data_reg_19_3 (joined + (portRef Q (instanceRef channel_data_reg_19_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_3)) + )) (net channel_data_i_1_4 (joined (portRef (member channel_data_i_1 27) (instanceRef GEN_Channels_1_Channels)) (portRef A (instanceRef un2_channel_hit_time_19_am_4)) @@ -924222,15 +925698,6 @@ (portRef Q (instanceRef channel_data_reg_19_4)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_4)) )) - (net channel_data_i_1_5 (joined - (portRef (member channel_data_i_1 26) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_5)) - (portRef D (instanceRef channel_data_reg_1_5)) - )) - (net channel_data_reg_1_5 (joined - (portRef Q (instanceRef channel_data_reg_1_5)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_5)) - )) (net channel_data_i_19_5 (joined (portRef (member channel_data_i_19 26) (instanceRef GEN_Channels_19_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_am_5)) @@ -924240,14 +925707,14 @@ (portRef Q (instanceRef channel_data_reg_19_5)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_5)) )) - (net channel_data_i_1_6 (joined - (portRef (member channel_data_i_1 25) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_6)) - (portRef D (instanceRef channel_data_reg_1_6)) + (net channel_data_i_1_5 (joined + (portRef (member channel_data_i_1 26) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_5)) + (portRef D (instanceRef channel_data_reg_1_5)) )) - (net channel_data_reg_1_6 (joined - (portRef Q (instanceRef channel_data_reg_1_6)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_6)) + (net channel_data_reg_1_5 (joined + (portRef Q (instanceRef channel_data_reg_1_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_5)) )) (net channel_data_i_19_6 (joined (portRef (member channel_data_i_19 25) (instanceRef GEN_Channels_19_Channels)) @@ -924258,6 +925725,15 @@ (portRef Q (instanceRef channel_data_reg_19_6)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_6)) )) + (net channel_data_i_1_6 (joined + (portRef (member channel_data_i_1 25) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_6)) + (portRef D (instanceRef channel_data_reg_1_6)) + )) + (net channel_data_reg_1_6 (joined + (portRef Q (instanceRef channel_data_reg_1_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_6)) + )) (net channel_data_i_19_7 (joined (portRef (member channel_data_i_19 24) (instanceRef GEN_Channels_19_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_am_7)) @@ -924267,14 +925743,14 @@ (portRef Q (instanceRef channel_data_reg_19_7)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_7)) )) - (net channel_data_i_19_8 (joined - (portRef (member channel_data_i_19 23) (instanceRef GEN_Channels_19_Channels)) - (portRef B (instanceRef un2_channel_hit_time_26_am_8)) - (portRef D (instanceRef channel_data_reg_19_8)) + (net channel_data_i_1_7 (joined + (portRef (member channel_data_i_1 24) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_7)) + (portRef D (instanceRef channel_data_reg_1_7)) )) - (net channel_data_reg_19_8 (joined - (portRef Q (instanceRef channel_data_reg_19_8)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_8)) + (net channel_data_reg_1_7 (joined + (portRef Q (instanceRef channel_data_reg_1_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_7)) )) (net channel_data_i_1_8 (joined (portRef (member channel_data_i_1 23) (instanceRef GEN_Channels_1_Channels)) @@ -924285,6 +925761,15 @@ (portRef Q (instanceRef channel_data_reg_1_8)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_8)) )) + (net channel_data_i_19_8 (joined + (portRef (member channel_data_i_19 23) (instanceRef GEN_Channels_19_Channels)) + (portRef B (instanceRef un2_channel_hit_time_26_am_8)) + (portRef D (instanceRef channel_data_reg_19_8)) + )) + (net channel_data_reg_19_8 (joined + (portRef Q (instanceRef channel_data_reg_19_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_8)) + )) (net channel_data_i_19_9 (joined (portRef (member channel_data_i_19 22) (instanceRef GEN_Channels_19_Channels)) (portRef B (instanceRef un2_channel_hit_time_26_am_9)) @@ -924383,14 +925868,6 @@ (portRef Q (instanceRef channel_data_reg_19_20)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_20)) )) - (net channel_data_i_19_21 (joined - (portRef (member channel_data_i_19 10) (instanceRef GEN_Channels_19_Channels)) - (portRef D (instanceRef channel_data_reg_19_21)) - )) - (net channel_data_reg_19_21 (joined - (portRef Q (instanceRef channel_data_reg_19_21)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_21)) - )) (net channel_data_i_19_22 (joined (portRef (member channel_data_i_19 9) (instanceRef GEN_Channels_19_Channels)) (portRef D (instanceRef channel_data_reg_19_22)) @@ -924586,22 +926063,6 @@ (portRef Q (instanceRef channel_data_reg_18_12)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_12)) )) - (net channel_data_i_18_13 (joined - (portRef (member channel_data_i_18 18) (instanceRef GEN_Channels_18_Channels)) - (portRef D (instanceRef channel_data_reg_18_13)) - )) - (net channel_data_reg_18_13 (joined - (portRef Q (instanceRef channel_data_reg_18_13)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) - )) - (net channel_data_i_18_14 (joined - (portRef (member channel_data_i_18 17) (instanceRef GEN_Channels_18_Channels)) - (portRef D (instanceRef channel_data_reg_18_14)) - )) - (net channel_data_reg_18_14 (joined - (portRef Q (instanceRef channel_data_reg_18_14)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) - )) (net channel_data_i_18_15 (joined (portRef (member channel_data_i_18 16) (instanceRef GEN_Channels_18_Channels)) (portRef D (instanceRef channel_data_reg_18_15)) @@ -924610,6 +926071,31 @@ (portRef Q (instanceRef channel_data_reg_18_15)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_15)) )) + (net channel_data_i_15_6 (joined + (portRef (member channel_data_i_15 25) (instanceRef GEN_Channels_15_Channels)) + (portRef A (instanceRef un2_channel_hit_time_29_bm_6)) + (portRef D (instanceRef channel_data_reg_15_6)) + )) + (net channel_data_reg_15_6 (joined + (portRef Q (instanceRef channel_data_reg_15_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) + )) + (net channel_data_i_1_12 (joined + (portRef (member channel_data_i_1 19) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_12)) + )) + (net channel_data_reg_1_12 (joined + (portRef Q (instanceRef channel_data_reg_1_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_12)) + )) + (net channel_data_i_18_13 (joined + (portRef (member channel_data_i_18 18) (instanceRef GEN_Channels_18_Channels)) + (portRef D (instanceRef channel_data_reg_18_13)) + )) + (net channel_data_reg_18_13 (joined + (portRef Q (instanceRef channel_data_reg_18_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_13)) + )) (net channel_data_i_18_16 (joined (portRef (member channel_data_i_18 15) (instanceRef GEN_Channels_18_Channels)) (portRef D (instanceRef channel_data_reg_18_16)) @@ -924837,6 +926323,92 @@ (portRef Q (instanceRef channel_data_reg_17_10)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_am_10)) )) + (net channel_data_i_17_18 (joined + (portRef (member channel_data_i_17 13) (instanceRef GEN_Channels_17_Channels)) + (portRef D (instanceRef channel_data_reg_17_18)) + )) + (net channel_data_reg_17_18 (joined + (portRef Q (instanceRef channel_data_reg_17_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_am_18)) + )) + (net channel_data_i_16_0 (joined + (portRef (member channel_data_i_16 31) (instanceRef GEN_Channels_16_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_am_0)) + (portRef D (instanceRef channel_data_reg_16_0)) + )) + (net channel_data_reg_16_0 (joined + (portRef Q (instanceRef channel_data_reg_16_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_0)) + )) + (net channel_data_i_16_2 (joined + (portRef (member channel_data_i_16 29) (instanceRef GEN_Channels_16_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_am_2)) + (portRef D (instanceRef channel_data_reg_16_2)) + )) + (net channel_data_reg_16_2 (joined + (portRef Q (instanceRef channel_data_reg_16_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_2)) + )) + (net channel_data_i_16_5 (joined + (portRef (member channel_data_i_16 26) (instanceRef GEN_Channels_16_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_am_5)) + (portRef D (instanceRef channel_data_reg_16_5)) + )) + (net channel_data_reg_16_5 (joined + (portRef Q (instanceRef channel_data_reg_16_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_5)) + )) + (net channel_data_i_16_6 (joined + (portRef (member channel_data_i_16 25) (instanceRef GEN_Channels_16_Channels)) + (portRef B (instanceRef un2_channel_hit_time_4_am_6)) + (portRef D (instanceRef channel_data_reg_16_6)) + )) + (net channel_data_reg_16_6 (joined + (portRef Q (instanceRef channel_data_reg_16_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_6)) + )) + (net channel_data_i_1_9 (joined + (portRef (member channel_data_i_1 22) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_9)) + (portRef D (instanceRef channel_data_reg_1_9)) + )) + (net channel_data_reg_1_9 (joined + (portRef Q (instanceRef channel_data_reg_1_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_9)) + )) + (net channel_data_i_1_10 (joined + (portRef (member channel_data_i_1 21) (instanceRef GEN_Channels_1_Channels)) + (portRef A (instanceRef un2_channel_hit_time_19_am_10)) + (portRef D (instanceRef channel_data_reg_1_10)) + )) + (net channel_data_reg_1_10 (joined + (portRef Q (instanceRef channel_data_reg_1_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_10)) + )) + (net channel_data_i_1_11 (joined + (portRef (member channel_data_i_1 20) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_11)) + )) + (net channel_data_reg_1_11 (joined + (portRef Q (instanceRef channel_data_reg_1_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_11)) + )) + (net channel_data_i_19_21 (joined + (portRef (member channel_data_i_19 10) (instanceRef GEN_Channels_19_Channels)) + (portRef D (instanceRef channel_data_reg_19_21)) + )) + (net channel_data_reg_19_21 (joined + (portRef Q (instanceRef channel_data_reg_19_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_26_am_21)) + )) + (net channel_data_i_18_14 (joined + (portRef (member channel_data_i_18 17) (instanceRef GEN_Channels_18_Channels)) + (portRef D (instanceRef channel_data_reg_18_14)) + )) + (net channel_data_reg_18_14 (joined + (portRef Q (instanceRef channel_data_reg_18_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_11_am_14)) + )) (net channel_data_i_17_11 (joined (portRef (member channel_data_i_17 20) (instanceRef GEN_Channels_17_Channels)) (portRef D (instanceRef channel_data_reg_17_11)) @@ -924893,14 +926465,6 @@ (portRef Q (instanceRef channel_data_reg_17_17)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_am_17)) )) - (net channel_data_i_17_18 (joined - (portRef (member channel_data_i_17 13) (instanceRef GEN_Channels_17_Channels)) - (portRef D (instanceRef channel_data_reg_17_18)) - )) - (net channel_data_reg_17_18 (joined - (portRef Q (instanceRef channel_data_reg_17_18)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_19_am_18)) - )) (net channel_data_i_17_19 (joined (portRef (member channel_data_i_17 12) (instanceRef GEN_Channels_17_Channels)) (portRef D (instanceRef channel_data_reg_17_19)) @@ -925014,15 +926578,6 @@ (portRef Q (instanceRef channel_data_reg_16_1)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_1)) )) - (net channel_data_i_16_2 (joined - (portRef (member channel_data_i_16 29) (instanceRef GEN_Channels_16_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_am_2)) - (portRef D (instanceRef channel_data_reg_16_2)) - )) - (net channel_data_reg_16_2 (joined - (portRef Q (instanceRef channel_data_reg_16_2)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_2)) - )) (net channel_data_i_16_3 (joined (portRef (member channel_data_i_16 28) (instanceRef GEN_Channels_16_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_am_3)) @@ -925041,24 +926596,6 @@ (portRef Q (instanceRef channel_data_reg_16_4)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_4)) )) - (net channel_data_i_16_5 (joined - (portRef (member channel_data_i_16 26) (instanceRef GEN_Channels_16_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_am_5)) - (portRef D (instanceRef channel_data_reg_16_5)) - )) - (net channel_data_reg_16_5 (joined - (portRef Q (instanceRef channel_data_reg_16_5)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_5)) - )) - (net channel_data_i_16_6 (joined - (portRef (member channel_data_i_16 25) (instanceRef GEN_Channels_16_Channels)) - (portRef B (instanceRef un2_channel_hit_time_4_am_6)) - (portRef D (instanceRef channel_data_reg_16_6)) - )) - (net channel_data_reg_16_6 (joined - (portRef Q (instanceRef channel_data_reg_16_6)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_6)) - )) (net channel_data_i_16_7 (joined (portRef (member channel_data_i_16 24) (instanceRef GEN_Channels_16_Channels)) (portRef B (instanceRef un2_channel_hit_time_4_am_7)) @@ -925167,14 +926704,6 @@ (portRef Q (instanceRef channel_data_reg_16_19)) (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_19)) )) - (net channel_data_i_16_20 (joined - (portRef (member channel_data_i_16 11) (instanceRef GEN_Channels_16_Channels)) - (portRef D (instanceRef channel_data_reg_16_20)) - )) - (net channel_data_reg_16_20 (joined - (portRef Q (instanceRef channel_data_reg_16_20)) - (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_20)) - )) (net channel_data_i_16_21 (joined (portRef (member channel_data_i_16 10) (instanceRef GEN_Channels_16_Channels)) (portRef D (instanceRef channel_data_reg_16_21)) @@ -925272,24 +926801,6 @@ (portRef Q (instanceRef channel_data_reg_15_0)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) )) - (net channel_data_i_15_1 (joined - (portRef (member channel_data_i_15 30) (instanceRef GEN_Channels_15_Channels)) - (portRef A (instanceRef un2_channel_hit_time_29_bm_1)) - (portRef D (instanceRef channel_data_reg_15_1)) - )) - (net channel_data_reg_15_1 (joined - (portRef Q (instanceRef channel_data_reg_15_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) - )) - (net channel_data_i_15_2 (joined - (portRef (member channel_data_i_15 29) (instanceRef GEN_Channels_15_Channels)) - (portRef A (instanceRef un2_channel_hit_time_29_bm_2)) - (portRef D (instanceRef channel_data_reg_15_2)) - )) - (net channel_data_reg_15_2 (joined - (portRef Q (instanceRef channel_data_reg_15_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) - )) (net channel_data_i_15_3 (joined (portRef (member channel_data_i_15 28) (instanceRef GEN_Channels_15_Channels)) (portRef A (instanceRef un2_channel_hit_time_29_bm_3)) @@ -925308,6 +926819,48 @@ (portRef Q (instanceRef channel_data_reg_15_4)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) )) + (net channel_data_i_15_17 (joined + (portRef (member channel_data_i_15 14) (instanceRef GEN_Channels_15_Channels)) + (portRef D (instanceRef channel_data_reg_15_17)) + )) + (net channel_data_reg_15_17 (joined + (portRef Q (instanceRef channel_data_reg_15_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) + )) + (net channel_data_i_1_18 (joined + (portRef (member channel_data_i_1 13) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_18)) + )) + (net channel_data_reg_1_18 (joined + (portRef Q (instanceRef channel_data_reg_1_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_18)) + )) + (net channel_data_i_16_20 (joined + (portRef (member channel_data_i_16 11) (instanceRef GEN_Channels_16_Channels)) + (portRef D (instanceRef channel_data_reg_16_20)) + )) + (net channel_data_reg_16_20 (joined + (portRef Q (instanceRef channel_data_reg_16_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_4_am_20)) + )) + (net channel_data_i_15_1 (joined + (portRef (member channel_data_i_15 30) (instanceRef GEN_Channels_15_Channels)) + (portRef A (instanceRef un2_channel_hit_time_29_bm_1)) + (portRef D (instanceRef channel_data_reg_15_1)) + )) + (net channel_data_reg_15_1 (joined + (portRef Q (instanceRef channel_data_reg_15_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) + )) + (net channel_data_i_15_2 (joined + (portRef (member channel_data_i_15 29) (instanceRef GEN_Channels_15_Channels)) + (portRef A (instanceRef un2_channel_hit_time_29_bm_2)) + (portRef D (instanceRef channel_data_reg_15_2)) + )) + (net channel_data_reg_15_2 (joined + (portRef Q (instanceRef channel_data_reg_15_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) + )) (net channel_data_i_15_5 (joined (portRef (member channel_data_i_15 26) (instanceRef GEN_Channels_15_Channels)) (portRef A (instanceRef un2_channel_hit_time_29_bm_5)) @@ -925317,15 +926870,6 @@ (portRef Q (instanceRef channel_data_reg_15_5)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) )) - (net channel_data_i_15_6 (joined - (portRef (member channel_data_i_15 25) (instanceRef GEN_Channels_15_Channels)) - (portRef A (instanceRef un2_channel_hit_time_29_bm_6)) - (portRef D (instanceRef channel_data_reg_15_6)) - )) - (net channel_data_reg_15_6 (joined - (portRef Q (instanceRef channel_data_reg_15_6)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) - )) (net channel_data_i_15_7 (joined (portRef (member channel_data_i_15 24) (instanceRef GEN_Channels_15_Channels)) (portRef A (instanceRef un2_channel_hit_time_29_bm_7)) @@ -925410,14 +926954,6 @@ (portRef Q (instanceRef channel_data_reg_15_16)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) )) - (net channel_data_i_15_17 (joined - (portRef (member channel_data_i_15 14) (instanceRef GEN_Channels_15_Channels)) - (portRef D (instanceRef channel_data_reg_15_17)) - )) - (net channel_data_reg_15_17 (joined - (portRef Q (instanceRef channel_data_reg_15_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) - )) (net channel_data_i_15_18 (joined (portRef (member channel_data_i_15 13) (instanceRef GEN_Channels_15_Channels)) (portRef D (instanceRef channel_data_reg_15_18)) @@ -925669,6 +927205,14 @@ (portRef Q (instanceRef channel_data_reg_14_15)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_15)) )) + (net channel_data_i_14_16 (joined + (portRef (member channel_data_i_14 15) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_16)) + )) + (net channel_data_reg_14_16 (joined + (portRef Q (instanceRef channel_data_reg_14_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_16)) + )) (net channel_data_i_14_17 (joined (portRef (member channel_data_i_14 14) (instanceRef GEN_Channels_14_Channels)) (portRef D (instanceRef channel_data_reg_14_17)) @@ -925693,6 +927237,14 @@ (portRef Q (instanceRef channel_data_reg_14_19)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_19)) )) + (net channel_data_i_14_20 (joined + (portRef (member channel_data_i_14 11) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_20)) + )) + (net channel_data_reg_14_20 (joined + (portRef Q (instanceRef channel_data_reg_14_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) + )) (net channel_data_i_14_21 (joined (portRef (member channel_data_i_14 10) (instanceRef GEN_Channels_14_Channels)) (portRef D (instanceRef channel_data_reg_14_21)) @@ -925701,40 +927253,21 @@ (portRef Q (instanceRef channel_data_reg_14_21)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_21)) )) - (net channel_data_i_13_0 (joined - (portRef (member channel_data_i_13 31) (instanceRef GEN_Channels_13_Channels)) - (portRef A (instanceRef un2_channel_hit_time_22_bm_0)) - (portRef D (instanceRef channel_data_reg_13_0)) - )) - (net channel_data_reg_13_0 (joined - (portRef Q (instanceRef channel_data_reg_13_0)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) - )) - (net channel_data_i_13_1 (joined - (portRef (member channel_data_i_13 30) (instanceRef GEN_Channels_13_Channels)) - (portRef A (instanceRef un2_channel_hit_time_22_bm_1)) - (portRef D (instanceRef channel_data_reg_13_1)) - )) - (net channel_data_reg_13_1 (joined - (portRef Q (instanceRef channel_data_reg_13_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_1)) - )) - (net channel_data_i_1_7 (joined - (portRef (member channel_data_i_1 24) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_7)) - (portRef D (instanceRef channel_data_reg_1_7)) + (net channel_data_i_14_22 (joined + (portRef (member channel_data_i_14 9) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_22)) )) - (net channel_data_reg_1_7 (joined - (portRef Q (instanceRef channel_data_reg_1_7)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_7)) + (net channel_data_reg_14_22 (joined + (portRef Q (instanceRef channel_data_reg_14_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_22)) )) - (net channel_data_i_14_20 (joined - (portRef (member channel_data_i_14 11) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_20)) + (net channel_data_i_14_23 (joined + (portRef (member channel_data_i_14 8) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_23)) )) - (net channel_data_reg_14_20 (joined - (portRef Q (instanceRef channel_data_reg_14_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) + (net channel_data_reg_14_23 (joined + (portRef Q (instanceRef channel_data_reg_14_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_23)) )) (net channel_data_i_14_24 (joined (portRef (member channel_data_i_14 7) (instanceRef GEN_Channels_14_Channels)) @@ -925760,56 +927293,6 @@ (portRef Q (instanceRef channel_data_reg_14_26)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_26)) )) - (net channel_data_i_14_29 (joined - (portRef (member channel_data_i_14 2) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_29)) - )) - (net channel_data_reg_14_29 (joined - (portRef Q (instanceRef channel_data_reg_14_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) - )) - (net channel_data_i_13_2 (joined - (portRef (member channel_data_i_13 29) (instanceRef GEN_Channels_13_Channels)) - (portRef A (instanceRef un2_channel_hit_time_22_bm_2)) - (portRef D (instanceRef channel_data_reg_13_2)) - )) - (net channel_data_reg_13_2 (joined - (portRef Q (instanceRef channel_data_reg_13_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_2)) - )) - (net channel_data_i_1_9 (joined - (portRef (member channel_data_i_1 22) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_9)) - (portRef D (instanceRef channel_data_reg_1_9)) - )) - (net channel_data_reg_1_9 (joined - (portRef Q (instanceRef channel_data_reg_1_9)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_9)) - )) - (net channel_data_i_14_16 (joined - (portRef (member channel_data_i_14 15) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_16)) - )) - (net channel_data_reg_14_16 (joined - (portRef Q (instanceRef channel_data_reg_14_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_16)) - )) - (net channel_data_i_14_22 (joined - (portRef (member channel_data_i_14 9) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_22)) - )) - (net channel_data_reg_14_22 (joined - (portRef Q (instanceRef channel_data_reg_14_22)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_22)) - )) - (net channel_data_i_14_23 (joined - (portRef (member channel_data_i_14 8) (instanceRef GEN_Channels_14_Channels)) - (portRef D (instanceRef channel_data_reg_14_23)) - )) - (net channel_data_reg_14_23 (joined - (portRef Q (instanceRef channel_data_reg_14_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_23)) - )) (net channel_data_i_14_27 (joined (portRef (member channel_data_i_14 4) (instanceRef GEN_Channels_14_Channels)) (portRef D (instanceRef channel_data_reg_14_27)) @@ -925826,6 +927309,14 @@ (portRef Q (instanceRef channel_data_reg_14_28)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_28)) )) + (net channel_data_i_14_29 (joined + (portRef (member channel_data_i_14 2) (instanceRef GEN_Channels_14_Channels)) + (portRef D (instanceRef channel_data_reg_14_29)) + )) + (net channel_data_reg_14_29 (joined + (portRef Q (instanceRef channel_data_reg_14_29)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) + )) (net channel_data_i_14_30 (joined (portRef (member channel_data_i_14 1) (instanceRef GEN_Channels_14_Channels)) (portRef D (instanceRef channel_data_reg_14_30)) @@ -925842,6 +927333,33 @@ (portRef Q (instanceRef channel_data_reg_14_31)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) )) + (net channel_data_i_13_0 (joined + (portRef (member channel_data_i_13 31) (instanceRef GEN_Channels_13_Channels)) + (portRef A (instanceRef un2_channel_hit_time_22_bm_0)) + (portRef D (instanceRef channel_data_reg_13_0)) + )) + (net channel_data_reg_13_0 (joined + (portRef Q (instanceRef channel_data_reg_13_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) + )) + (net channel_data_i_13_1 (joined + (portRef (member channel_data_i_13 30) (instanceRef GEN_Channels_13_Channels)) + (portRef A (instanceRef un2_channel_hit_time_22_bm_1)) + (portRef D (instanceRef channel_data_reg_13_1)) + )) + (net channel_data_reg_13_1 (joined + (portRef Q (instanceRef channel_data_reg_13_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_1)) + )) + (net channel_data_i_13_2 (joined + (portRef (member channel_data_i_13 29) (instanceRef GEN_Channels_13_Channels)) + (portRef A (instanceRef un2_channel_hit_time_22_bm_2)) + (portRef D (instanceRef channel_data_reg_13_2)) + )) + (net channel_data_reg_13_2 (joined + (portRef Q (instanceRef channel_data_reg_13_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_2)) + )) (net channel_data_i_13_3 (joined (portRef (member channel_data_i_13 28) (instanceRef GEN_Channels_13_Channels)) (portRef A (instanceRef un2_channel_hit_time_22_bm_3)) @@ -925930,48 +927448,6 @@ (portRef Q (instanceRef channel_data_reg_13_12)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_12)) )) - (net channel_data_i_13_16 (joined - (portRef (member channel_data_i_13 15) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_16)) - )) - (net channel_data_reg_13_16 (joined - (portRef Q (instanceRef channel_data_reg_13_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) - )) - (net channel_data_i_13_18 (joined - (portRef (member channel_data_i_13 13) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_18)) - )) - (net channel_data_reg_13_18 (joined - (portRef Q (instanceRef channel_data_reg_13_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) - )) - (net channel_data_i_12_8 (joined - (portRef (member channel_data_i_12 23) (instanceRef GEN_Channels_12_Channels)) - (portRef A (instanceRef un2_channel_hit_time_7_bm_8)) - (portRef D (instanceRef channel_data_reg_12_8)) - )) - (net channel_data_reg_12_8 (joined - (portRef Q (instanceRef channel_data_reg_12_8)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) - )) - (net channel_data_i_1_10 (joined - (portRef (member channel_data_i_1 21) (instanceRef GEN_Channels_1_Channels)) - (portRef A (instanceRef un2_channel_hit_time_19_am_10)) - (portRef D (instanceRef channel_data_reg_1_10)) - )) - (net channel_data_reg_1_10 (joined - (portRef Q (instanceRef channel_data_reg_1_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_10)) - )) - (net channel_data_i_1_11 (joined - (portRef (member channel_data_i_1 20) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_11)) - )) - (net channel_data_reg_1_11 (joined - (portRef Q (instanceRef channel_data_reg_1_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_11)) - )) (net channel_data_i_13_13 (joined (portRef (member channel_data_i_13 18) (instanceRef GEN_Channels_13_Channels)) (portRef D (instanceRef channel_data_reg_13_13)) @@ -925996,6 +927472,14 @@ (portRef Q (instanceRef channel_data_reg_13_15)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_15)) )) + (net channel_data_i_13_16 (joined + (portRef (member channel_data_i_13 15) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_16)) + )) + (net channel_data_reg_13_16 (joined + (portRef Q (instanceRef channel_data_reg_13_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) + )) (net channel_data_i_13_17 (joined (portRef (member channel_data_i_13 14) (instanceRef GEN_Channels_13_Channels)) (portRef D (instanceRef channel_data_reg_13_17)) @@ -926004,6 +927488,14 @@ (portRef Q (instanceRef channel_data_reg_13_17)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) )) + (net channel_data_i_13_18 (joined + (portRef (member channel_data_i_13 13) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_18)) + )) + (net channel_data_reg_13_18 (joined + (portRef Q (instanceRef channel_data_reg_13_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) + )) (net channel_data_i_13_19 (joined (portRef (member channel_data_i_13 12) (instanceRef GEN_Channels_13_Channels)) (portRef D (instanceRef channel_data_reg_13_19)) @@ -926036,6 +927528,22 @@ (portRef Q (instanceRef channel_data_reg_13_22)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) )) + (net channel_data_i_13_23 (joined + (portRef (member channel_data_i_13 8) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_23)) + )) + (net channel_data_reg_13_23 (joined + (portRef Q (instanceRef channel_data_reg_13_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) + )) + (net channel_data_i_13_24 (joined + (portRef (member channel_data_i_13 7) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_24)) + )) + (net channel_data_reg_13_24 (joined + (portRef Q (instanceRef channel_data_reg_13_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) + )) (net channel_data_i_13_25 (joined (portRef (member channel_data_i_13 6) (instanceRef GEN_Channels_13_Channels)) (portRef D (instanceRef channel_data_reg_13_25)) @@ -926044,13 +927552,13 @@ (portRef Q (instanceRef channel_data_reg_13_25)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_25)) )) - (net channel_data_i_13_28 (joined - (portRef (member channel_data_i_13 3) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_28)) + (net channel_data_i_13_26 (joined + (portRef (member channel_data_i_13 5) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_26)) )) - (net channel_data_reg_13_28 (joined - (portRef Q (instanceRef channel_data_reg_13_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) + (net channel_data_reg_13_26 (joined + (portRef Q (instanceRef channel_data_reg_13_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) )) (net channel_data_i_13_30 (joined (portRef (member channel_data_i_13 1) (instanceRef GEN_Channels_13_Channels)) @@ -926060,6 +927568,14 @@ (portRef Q (instanceRef channel_data_reg_13_30)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) )) + (net channel_data_i_13_31 (joined + (portRef (member channel_data_i_13 0) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_31)) + )) + (net channel_data_reg_13_31 (joined + (portRef Q (instanceRef channel_data_reg_13_31)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + )) (net channel_data_i_12_0 (joined (portRef (member channel_data_i_12 31) (instanceRef GEN_Channels_12_Channels)) (portRef A (instanceRef un2_channel_hit_time_7_bm_0)) @@ -926078,6 +927594,15 @@ (portRef Q (instanceRef channel_data_reg_12_1)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_1)) )) + (net channel_data_i_12_2 (joined + (portRef (member channel_data_i_12 29) (instanceRef GEN_Channels_12_Channels)) + (portRef A (instanceRef un2_channel_hit_time_7_bm_2)) + (portRef D (instanceRef channel_data_reg_12_2)) + )) + (net channel_data_reg_12_2 (joined + (portRef Q (instanceRef channel_data_reg_12_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_2)) + )) (net channel_data_i_12_3 (joined (portRef (member channel_data_i_12 28) (instanceRef GEN_Channels_12_Channels)) (portRef A (instanceRef un2_channel_hit_time_7_bm_3)) @@ -926123,6 +927648,15 @@ (portRef Q (instanceRef channel_data_reg_12_7)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_7)) )) + (net channel_data_i_12_8 (joined + (portRef (member channel_data_i_12 23) (instanceRef GEN_Channels_12_Channels)) + (portRef A (instanceRef un2_channel_hit_time_7_bm_8)) + (portRef D (instanceRef channel_data_reg_12_8)) + )) + (net channel_data_reg_12_8 (joined + (portRef Q (instanceRef channel_data_reg_12_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) + )) (net channel_data_i_12_9 (joined (portRef (member channel_data_i_12 22) (instanceRef GEN_Channels_12_Channels)) (portRef A (instanceRef un2_channel_hit_time_7_bm_9)) @@ -926149,6 +927683,30 @@ (portRef Q (instanceRef channel_data_reg_12_11)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) )) + (net channel_data_i_1_13 (joined + (portRef (member channel_data_i_1 18) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_13)) + )) + (net channel_data_reg_1_13 (joined + (portRef Q (instanceRef channel_data_reg_1_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_13)) + )) + (net channel_data_i_13_27 (joined + (portRef (member channel_data_i_13 4) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_27)) + )) + (net channel_data_reg_13_27 (joined + (portRef Q (instanceRef channel_data_reg_13_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_27)) + )) + (net channel_data_i_13_29 (joined + (portRef (member channel_data_i_13 2) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_29)) + )) + (net channel_data_reg_13_29 (joined + (portRef Q (instanceRef channel_data_reg_13_29)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) + )) (net channel_data_i_12_12 (joined (portRef (member channel_data_i_12 19) (instanceRef GEN_Channels_12_Channels)) (portRef D (instanceRef channel_data_reg_12_12)) @@ -926173,21 +927731,13 @@ (portRef Q (instanceRef channel_data_reg_12_14)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) )) - (net channel_data_i_1_15 (joined - (portRef (member channel_data_i_1 16) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_15)) - )) - (net channel_data_reg_1_15 (joined - (portRef Q (instanceRef channel_data_reg_1_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_15)) - )) - (net channel_data_i_13_23 (joined - (portRef (member channel_data_i_13 8) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_23)) + (net channel_data_i_12_15 (joined + (portRef (member channel_data_i_12 16) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_15)) )) - (net channel_data_reg_13_23 (joined - (portRef Q (instanceRef channel_data_reg_13_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) + (net channel_data_reg_12_15 (joined + (portRef Q (instanceRef channel_data_reg_12_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) )) (net channel_data_i_12_16 (joined (portRef (member channel_data_i_12 15) (instanceRef GEN_Channels_12_Channels)) @@ -926197,14 +927747,6 @@ (portRef Q (instanceRef channel_data_reg_12_16)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) )) - (net channel_data_i_12_18 (joined - (portRef (member channel_data_i_12 13) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_18)) - )) - (net channel_data_reg_12_18 (joined - (portRef Q (instanceRef channel_data_reg_12_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) - )) (net channel_data_i_12_19 (joined (portRef (member channel_data_i_12 12) (instanceRef GEN_Channels_12_Channels)) (portRef D (instanceRef channel_data_reg_12_19)) @@ -926213,72 +927755,128 @@ (portRef Q (instanceRef channel_data_reg_12_19)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) )) - (net channel_data_i_12_24 (joined - (portRef (member channel_data_i_12 7) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_24)) + (net channel_data_i_12_20 (joined + (portRef (member channel_data_i_12 11) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_20)) )) - (net channel_data_reg_12_24 (joined - (portRef Q (instanceRef channel_data_reg_12_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) + (net channel_data_reg_12_20 (joined + (portRef Q (instanceRef channel_data_reg_12_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) )) - (net channel_data_i_11_2 (joined - (portRef (member channel_data_i_11 29) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_2)) - (portRef D (instanceRef channel_data_reg_11_2)) + (net channel_data_i_12_21 (joined + (portRef (member channel_data_i_12 10) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_21)) )) - (net channel_data_reg_11_2 (joined - (portRef Q (instanceRef channel_data_reg_11_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_2)) + (net channel_data_reg_12_21 (joined + (portRef Q (instanceRef channel_data_reg_12_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) )) - (net channel_data_i_11_3 (joined - (portRef (member channel_data_i_11 28) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_3)) - (portRef D (instanceRef channel_data_reg_11_3)) + (net channel_data_i_12_28 (joined + (portRef (member channel_data_i_12 3) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_28)) )) - (net channel_data_reg_11_3 (joined - (portRef Q (instanceRef channel_data_reg_11_3)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) + (net channel_data_reg_12_28 (joined + (portRef Q (instanceRef channel_data_reg_12_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) )) - (net channel_data_i_1_17 (joined - (portRef (member channel_data_i_1 14) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_17)) + (net channel_data_i_12_30 (joined + (portRef (member channel_data_i_12 1) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_30)) )) - (net channel_data_reg_1_17 (joined - (portRef Q (instanceRef channel_data_reg_1_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_17)) + (net channel_data_reg_12_30 (joined + (portRef Q (instanceRef channel_data_reg_12_30)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) )) - (net channel_data_i_13_24 (joined - (portRef (member channel_data_i_13 7) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_24)) + (net channel_data_i_11_0 (joined + (portRef (member channel_data_i_11 31) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_0)) + (portRef D (instanceRef channel_data_reg_11_0)) )) - (net channel_data_reg_13_24 (joined - (portRef Q (instanceRef channel_data_reg_13_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) + (net channel_data_reg_11_0 (joined + (portRef Q (instanceRef channel_data_reg_11_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) )) - (net channel_data_i_13_31 (joined - (portRef (member channel_data_i_13 0) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_31)) + (net channel_data_i_11_11 (joined + (portRef (member channel_data_i_11 20) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_11)) )) - (net channel_data_reg_13_31 (joined - (portRef Q (instanceRef channel_data_reg_13_31)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + (net channel_data_reg_11_11 (joined + (portRef Q (instanceRef channel_data_reg_11_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_11)) )) - (net channel_data_i_12_2 (joined - (portRef (member channel_data_i_12 29) (instanceRef GEN_Channels_12_Channels)) - (portRef A (instanceRef un2_channel_hit_time_7_bm_2)) - (portRef D (instanceRef channel_data_reg_12_2)) + (net channel_data_i_10_1 (joined + (portRef (member channel_data_i_10 30) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_1)) + (portRef D (instanceRef channel_data_reg_10_1)) )) - (net channel_data_reg_12_2 (joined - (portRef Q (instanceRef channel_data_reg_12_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_2)) + (net channel_data_reg_10_1 (joined + (portRef Q (instanceRef channel_data_reg_10_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) )) - (net channel_data_i_12_17 (joined - (portRef (member channel_data_i_12 14) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_17)) + (net channel_data_i_10_2 (joined + (portRef (member channel_data_i_10 29) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_2)) + (portRef D (instanceRef channel_data_reg_10_2)) )) - (net channel_data_reg_12_17 (joined - (portRef Q (instanceRef channel_data_reg_12_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) + (net channel_data_reg_10_2 (joined + (portRef Q (instanceRef channel_data_reg_10_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) + )) + (net channel_data_i_1_14 (joined + (portRef (member channel_data_i_1 17) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_14)) + )) + (net channel_data_reg_1_14 (joined + (portRef Q (instanceRef channel_data_reg_1_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_14)) + )) + (net channel_data_i_12_18 (joined + (portRef (member channel_data_i_12 13) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_18)) + )) + (net channel_data_reg_12_18 (joined + (portRef Q (instanceRef channel_data_reg_12_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) + )) + (net channel_data_i_11_12 (joined + (portRef (member channel_data_i_11 19) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_12)) + )) + (net channel_data_reg_11_12 (joined + (portRef Q (instanceRef channel_data_reg_11_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_12)) + )) + (net channel_data_i_1_20 (joined + (portRef (member channel_data_i_1 11) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_20)) + )) + (net channel_data_reg_1_20 (joined + (portRef Q (instanceRef channel_data_reg_1_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_20)) + )) + (net channel_data_i_1_23 (joined + (portRef (member channel_data_i_1 8) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_23)) + )) + (net channel_data_reg_1_23 (joined + (portRef Q (instanceRef channel_data_reg_1_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_23)) + )) + (net channel_data_i_1_24 (joined + (portRef (member channel_data_i_1 7) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_24)) + )) + (net channel_data_reg_1_24 (joined + (portRef Q (instanceRef channel_data_reg_1_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_24)) + )) + (net channel_data_i_13_28 (joined + (portRef (member channel_data_i_13 3) (instanceRef GEN_Channels_13_Channels)) + (portRef D (instanceRef channel_data_reg_13_28)) + )) + (net channel_data_reg_13_28 (joined + (portRef Q (instanceRef channel_data_reg_13_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) )) (net channel_data_i_12_22 (joined (portRef (member channel_data_i_12 9) (instanceRef GEN_Channels_12_Channels)) @@ -926296,31 +927894,13 @@ (portRef Q (instanceRef channel_data_reg_12_23)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_23)) )) - (net channel_data_i_11_4 (joined - (portRef (member channel_data_i_11 27) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_4)) - (portRef D (instanceRef channel_data_reg_11_4)) - )) - (net channel_data_reg_11_4 (joined - (portRef Q (instanceRef channel_data_reg_11_4)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) - )) - (net channel_data_i_11_10 (joined - (portRef (member channel_data_i_11 21) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_10)) - (portRef D (instanceRef channel_data_reg_11_10)) - )) - (net channel_data_reg_11_10 (joined - (portRef Q (instanceRef channel_data_reg_11_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) - )) - (net channel_data_i_1_12 (joined - (portRef (member channel_data_i_1 19) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_12)) + (net channel_data_i_12_24 (joined + (portRef (member channel_data_i_12 7) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_24)) )) - (net channel_data_reg_1_12 (joined - (portRef Q (instanceRef channel_data_reg_1_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_12)) + (net channel_data_reg_12_24 (joined + (portRef Q (instanceRef channel_data_reg_12_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) )) (net channel_data_i_12_25 (joined (portRef (member channel_data_i_12 6) (instanceRef GEN_Channels_12_Channels)) @@ -926346,71 +927926,6 @@ (portRef Q (instanceRef channel_data_reg_12_27)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) )) - (net channel_data_i_11_6 (joined - (portRef (member channel_data_i_11 25) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_6)) - (portRef D (instanceRef channel_data_reg_11_6)) - )) - (net channel_data_reg_11_6 (joined - (portRef Q (instanceRef channel_data_reg_11_6)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_6)) - )) - (net channel_data_i_1_16 (joined - (portRef (member channel_data_i_1 15) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_16)) - )) - (net channel_data_reg_1_16 (joined - (portRef Q (instanceRef channel_data_reg_1_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_16)) - )) - (net channel_data_i_13_26 (joined - (portRef (member channel_data_i_13 5) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_26)) - )) - (net channel_data_reg_13_26 (joined - (portRef Q (instanceRef channel_data_reg_13_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) - )) - (net channel_data_i_13_27 (joined - (portRef (member channel_data_i_13 4) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_27)) - )) - (net channel_data_reg_13_27 (joined - (portRef Q (instanceRef channel_data_reg_13_27)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_27)) - )) - (net channel_data_i_12_15 (joined - (portRef (member channel_data_i_12 16) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_15)) - )) - (net channel_data_reg_12_15 (joined - (portRef Q (instanceRef channel_data_reg_12_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) - )) - (net channel_data_i_12_20 (joined - (portRef (member channel_data_i_12 11) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_20)) - )) - (net channel_data_reg_12_20 (joined - (portRef Q (instanceRef channel_data_reg_12_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) - )) - (net channel_data_i_12_28 (joined - (portRef (member channel_data_i_12 3) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_28)) - )) - (net channel_data_reg_12_28 (joined - (portRef Q (instanceRef channel_data_reg_12_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) - )) - (net channel_data_i_12_30 (joined - (portRef (member channel_data_i_12 1) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_30)) - )) - (net channel_data_reg_12_30 (joined - (portRef Q (instanceRef channel_data_reg_12_30)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) - )) (net channel_data_i_12_31 (joined (portRef (member channel_data_i_12 0) (instanceRef GEN_Channels_12_Channels)) (portRef D (instanceRef channel_data_reg_12_31)) @@ -926419,6 +927934,33 @@ (portRef Q (instanceRef channel_data_reg_12_31)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) )) + (net channel_data_i_11_1 (joined + (portRef (member channel_data_i_11 30) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_1)) + (portRef D (instanceRef channel_data_reg_11_1)) + )) + (net channel_data_reg_11_1 (joined + (portRef Q (instanceRef channel_data_reg_11_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) + )) + (net channel_data_i_11_3 (joined + (portRef (member channel_data_i_11 28) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_3)) + (portRef D (instanceRef channel_data_reg_11_3)) + )) + (net channel_data_reg_11_3 (joined + (portRef Q (instanceRef channel_data_reg_11_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) + )) + (net channel_data_i_11_4 (joined + (portRef (member channel_data_i_11 27) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_4)) + (portRef D (instanceRef channel_data_reg_11_4)) + )) + (net channel_data_reg_11_4 (joined + (portRef Q (instanceRef channel_data_reg_11_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) + )) (net channel_data_i_11_5 (joined (portRef (member channel_data_i_11 26) (instanceRef GEN_Channels_11_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_bm_5)) @@ -926428,6 +927970,33 @@ (portRef Q (instanceRef channel_data_reg_11_5)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_5)) )) + (net channel_data_i_11_6 (joined + (portRef (member channel_data_i_11 25) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_6)) + (portRef D (instanceRef channel_data_reg_11_6)) + )) + (net channel_data_reg_11_6 (joined + (portRef Q (instanceRef channel_data_reg_11_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_6)) + )) + (net channel_data_i_11_7 (joined + (portRef (member channel_data_i_11 24) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_7)) + (portRef D (instanceRef channel_data_reg_11_7)) + )) + (net channel_data_reg_11_7 (joined + (portRef Q (instanceRef channel_data_reg_11_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_7)) + )) + (net channel_data_i_11_8 (joined + (portRef (member channel_data_i_11 23) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_8)) + (portRef D (instanceRef channel_data_reg_11_8)) + )) + (net channel_data_reg_11_8 (joined + (portRef Q (instanceRef channel_data_reg_11_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) + )) (net channel_data_i_11_9 (joined (portRef (member channel_data_i_11 22) (instanceRef GEN_Channels_11_Channels)) (portRef A (instanceRef un2_channel_hit_time_26_bm_9)) @@ -926437,62 +928006,6 @@ (portRef Q (instanceRef channel_data_reg_11_9)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_9)) )) - (net channel_data_i_11_14 (joined - (portRef (member channel_data_i_11 17) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_14)) - )) - (net channel_data_reg_11_14 (joined - (portRef Q (instanceRef channel_data_reg_11_14)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_14)) - )) - (net channel_data_i_11_17 (joined - (portRef (member channel_data_i_11 14) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_17)) - )) - (net channel_data_reg_11_17 (joined - (portRef Q (instanceRef channel_data_reg_11_17)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_17)) - )) - (net channel_data_i_1_18 (joined - (portRef (member channel_data_i_1 13) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_18)) - )) - (net channel_data_reg_1_18 (joined - (portRef Q (instanceRef channel_data_reg_1_18)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_18)) - )) - (net channel_data_i_12_21 (joined - (portRef (member channel_data_i_12 10) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_21)) - )) - (net channel_data_reg_12_21 (joined - (portRef Q (instanceRef channel_data_reg_12_21)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) - )) - (net channel_data_i_12_29 (joined - (portRef (member channel_data_i_12 2) (instanceRef GEN_Channels_12_Channels)) - (portRef D (instanceRef channel_data_reg_12_29)) - )) - (net channel_data_reg_12_29 (joined - (portRef Q (instanceRef channel_data_reg_12_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) - )) - (net channel_data_i_11_11 (joined - (portRef (member channel_data_i_11 20) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_11)) - )) - (net channel_data_reg_11_11 (joined - (portRef Q (instanceRef channel_data_reg_11_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_11)) - )) - (net channel_data_i_11_12 (joined - (portRef (member channel_data_i_11 19) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_12)) - )) - (net channel_data_reg_11_12 (joined - (portRef Q (instanceRef channel_data_reg_11_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_12)) - )) (net channel_data_i_11_13 (joined (portRef (member channel_data_i_11 18) (instanceRef GEN_Channels_11_Channels)) (portRef D (instanceRef channel_data_reg_11_13)) @@ -926501,114 +928014,104 @@ (portRef Q (instanceRef channel_data_reg_11_13)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_13)) )) - (net channel_data_i_11_19 (joined - (portRef (member channel_data_i_11 12) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_19)) - )) - (net channel_data_reg_11_19 (joined - (portRef Q (instanceRef channel_data_reg_11_19)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_19)) - )) - (net channel_data_i_11_23 (joined - (portRef (member channel_data_i_11 8) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_23)) + (net channel_data_i_11_15 (joined + (portRef (member channel_data_i_11 16) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_15)) )) - (net channel_data_reg_11_23 (joined - (portRef Q (instanceRef channel_data_reg_11_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_23)) + (net channel_data_reg_11_15 (joined + (portRef Q (instanceRef channel_data_reg_11_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_15)) )) - (net channel_data_i_10_7 (joined - (portRef (member channel_data_i_10 24) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_7)) - (portRef D (instanceRef channel_data_reg_10_7)) + (net channel_data_i_11_16 (joined + (portRef (member channel_data_i_11 15) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_16)) )) - (net channel_data_reg_10_7 (joined - (portRef Q (instanceRef channel_data_reg_10_7)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) + (net channel_data_reg_11_16 (joined + (portRef Q (instanceRef channel_data_reg_11_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_16)) )) - (net channel_data_i_10_11 (joined - (portRef (member channel_data_i_10 20) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_11)) + (net channel_data_i_10_3 (joined + (portRef (member channel_data_i_10 28) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_3)) + (portRef D (instanceRef channel_data_reg_10_3)) )) - (net channel_data_reg_10_11 (joined - (portRef Q (instanceRef channel_data_reg_10_11)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) + (net channel_data_reg_10_3 (joined + (portRef Q (instanceRef channel_data_reg_10_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) )) - (net channel_data_i_10_12 (joined - (portRef (member channel_data_i_10 19) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_12)) + (net channel_data_i_1_16 (joined + (portRef (member channel_data_i_1 15) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_16)) )) - (net channel_data_reg_10_12 (joined - (portRef Q (instanceRef channel_data_reg_10_12)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) + (net channel_data_reg_1_16 (joined + (portRef Q (instanceRef channel_data_reg_1_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_16)) )) - (net channel_data_i_1_22 (joined - (portRef (member channel_data_i_1 9) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_22)) + (net channel_data_i_1_17 (joined + (portRef (member channel_data_i_1 14) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_17)) )) - (net channel_data_reg_1_22 (joined - (portRef Q (instanceRef channel_data_reg_1_22)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_22)) + (net channel_data_reg_1_17 (joined + (portRef Q (instanceRef channel_data_reg_1_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_17)) )) - (net channel_data_i_13_29 (joined - (portRef (member channel_data_i_13 2) (instanceRef GEN_Channels_13_Channels)) - (portRef D (instanceRef channel_data_reg_13_29)) + (net channel_data_i_12_17 (joined + (portRef (member channel_data_i_12 14) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_17)) )) - (net channel_data_reg_13_29 (joined - (portRef Q (instanceRef channel_data_reg_13_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) + (net channel_data_reg_12_17 (joined + (portRef Q (instanceRef channel_data_reg_12_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) )) - (net channel_data_i_11_0 (joined - (portRef (member channel_data_i_11 31) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_0)) - (portRef D (instanceRef channel_data_reg_11_0)) + (net channel_data_i_12_29 (joined + (portRef (member channel_data_i_12 2) (instanceRef GEN_Channels_12_Channels)) + (portRef D (instanceRef channel_data_reg_12_29)) )) - (net channel_data_reg_11_0 (joined - (portRef Q (instanceRef channel_data_reg_11_0)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) + (net channel_data_reg_12_29 (joined + (portRef Q (instanceRef channel_data_reg_12_29)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) )) - (net channel_data_i_11_1 (joined - (portRef (member channel_data_i_11 30) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_1)) - (portRef D (instanceRef channel_data_reg_11_1)) + (net channel_data_i_11_2 (joined + (portRef (member channel_data_i_11 29) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_2)) + (portRef D (instanceRef channel_data_reg_11_2)) )) - (net channel_data_reg_11_1 (joined - (portRef Q (instanceRef channel_data_reg_11_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) + (net channel_data_reg_11_2 (joined + (portRef Q (instanceRef channel_data_reg_11_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_2)) )) - (net channel_data_i_11_7 (joined - (portRef (member channel_data_i_11 24) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_7)) - (portRef D (instanceRef channel_data_reg_11_7)) + (net channel_data_i_11_10 (joined + (portRef (member channel_data_i_11 21) (instanceRef GEN_Channels_11_Channels)) + (portRef A (instanceRef un2_channel_hit_time_26_bm_10)) + (portRef D (instanceRef channel_data_reg_11_10)) )) - (net channel_data_reg_11_7 (joined - (portRef Q (instanceRef channel_data_reg_11_7)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_7)) + (net channel_data_reg_11_10 (joined + (portRef Q (instanceRef channel_data_reg_11_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) )) - (net channel_data_i_11_8 (joined - (portRef (member channel_data_i_11 23) (instanceRef GEN_Channels_11_Channels)) - (portRef A (instanceRef un2_channel_hit_time_26_bm_8)) - (portRef D (instanceRef channel_data_reg_11_8)) + (net channel_data_i_11_14 (joined + (portRef (member channel_data_i_11 17) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_14)) )) - (net channel_data_reg_11_8 (joined - (portRef Q (instanceRef channel_data_reg_11_8)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) + (net channel_data_reg_11_14 (joined + (portRef Q (instanceRef channel_data_reg_11_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_14)) )) - (net channel_data_i_11_15 (joined - (portRef (member channel_data_i_11 16) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_15)) + (net channel_data_i_1_15 (joined + (portRef (member channel_data_i_1 16) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_15)) )) - (net channel_data_reg_11_15 (joined - (portRef Q (instanceRef channel_data_reg_11_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_15)) + (net channel_data_reg_1_15 (joined + (portRef Q (instanceRef channel_data_reg_1_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_15)) )) - (net channel_data_i_11_16 (joined - (portRef (member channel_data_i_11 15) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_16)) + (net channel_data_i_11_17 (joined + (portRef (member channel_data_i_11 14) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_17)) )) - (net channel_data_reg_11_16 (joined - (portRef Q (instanceRef channel_data_reg_11_16)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_16)) + (net channel_data_reg_11_17 (joined + (portRef Q (instanceRef channel_data_reg_11_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_17)) )) (net channel_data_i_11_18 (joined (portRef (member channel_data_i_11 13) (instanceRef GEN_Channels_11_Channels)) @@ -926618,56 +928121,13 @@ (portRef Q (instanceRef channel_data_reg_11_18)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_18)) )) - (net channel_data_i_11_26 (joined - (portRef (member channel_data_i_11 5) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_26)) - )) - (net channel_data_reg_11_26 (joined - (portRef Q (instanceRef channel_data_reg_11_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) - )) - (net channel_data_i_11_30 (joined - (portRef (member channel_data_i_11 1) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_30)) - )) - (net channel_data_reg_11_30 (joined - (portRef Q (instanceRef channel_data_reg_11_30)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) - )) - (net channel_data_i_10_1 (joined - (portRef (member channel_data_i_10 30) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_1)) - (portRef D (instanceRef channel_data_reg_10_1)) - )) - (net channel_data_reg_10_1 (joined - (portRef Q (instanceRef channel_data_reg_10_1)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) - )) - (net channel_data_i_10_5 (joined - (portRef (member channel_data_i_10 26) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_5)) - (portRef D (instanceRef channel_data_reg_10_5)) - )) - (net channel_data_reg_10_5 (joined - (portRef Q (instanceRef channel_data_reg_10_5)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) - )) - (net channel_data_i_10_10 (joined - (portRef (member channel_data_i_10 21) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_10)) - (portRef D (instanceRef channel_data_reg_10_10)) - )) - (net channel_data_reg_10_10 (joined - (portRef Q (instanceRef channel_data_reg_10_10)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) - )) - (net channel_data_i_1_13 (joined - (portRef (member channel_data_i_1 18) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_13)) + (net channel_data_i_11_19 (joined + (portRef (member channel_data_i_11 12) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_19)) )) - (net channel_data_reg_1_13 (joined - (portRef Q (instanceRef channel_data_reg_1_13)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_13)) + (net channel_data_reg_11_19 (joined + (portRef Q (instanceRef channel_data_reg_11_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_19)) )) (net channel_data_i_1_19 (joined (portRef (member channel_data_i_1 12) (instanceRef GEN_Channels_1_Channels)) @@ -926701,6 +928161,22 @@ (portRef Q (instanceRef channel_data_reg_11_22)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_22)) )) + (net channel_data_i_11_23 (joined + (portRef (member channel_data_i_11 8) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_23)) + )) + (net channel_data_reg_11_23 (joined + (portRef Q (instanceRef channel_data_reg_11_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_23)) + )) + (net channel_data_i_11_24 (joined + (portRef (member channel_data_i_11 7) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_24)) + )) + (net channel_data_reg_11_24 (joined + (portRef Q (instanceRef channel_data_reg_11_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_24)) + )) (net channel_data_i_11_25 (joined (portRef (member channel_data_i_11 6) (instanceRef GEN_Channels_11_Channels)) (portRef D (instanceRef channel_data_reg_11_25)) @@ -926709,13 +928185,21 @@ (portRef Q (instanceRef channel_data_reg_11_25)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_25)) )) - (net channel_data_i_11_27 (joined - (portRef (member channel_data_i_11 4) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_27)) + (net channel_data_i_11_26 (joined + (portRef (member channel_data_i_11 5) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_26)) )) - (net channel_data_reg_11_27 (joined - (portRef Q (instanceRef channel_data_reg_11_27)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_27)) + (net channel_data_reg_11_26 (joined + (portRef Q (instanceRef channel_data_reg_11_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) + )) + (net channel_data_i_11_28 (joined + (portRef (member channel_data_i_11 3) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_28)) + )) + (net channel_data_reg_11_28 (joined + (portRef Q (instanceRef channel_data_reg_11_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_28)) )) (net channel_data_i_11_29 (joined (portRef (member channel_data_i_11 2) (instanceRef GEN_Channels_11_Channels)) @@ -926734,56 +928218,6 @@ (portRef Q (instanceRef channel_data_reg_10_0)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) )) - (net channel_data_i_10_2 (joined - (portRef (member channel_data_i_10 29) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_2)) - (portRef D (instanceRef channel_data_reg_10_2)) - )) - (net channel_data_reg_10_2 (joined - (portRef Q (instanceRef channel_data_reg_10_2)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) - )) - (net channel_data_i_1_21 (joined - (portRef (member channel_data_i_1 10) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_21)) - )) - (net channel_data_reg_1_21 (joined - (portRef Q (instanceRef channel_data_reg_1_21)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_21)) - )) - (net channel_data_i_1_23 (joined - (portRef (member channel_data_i_1 8) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_23)) - )) - (net channel_data_reg_1_23 (joined - (portRef Q (instanceRef channel_data_reg_1_23)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_23)) - )) - (net channel_data_i_11_24 (joined - (portRef (member channel_data_i_11 7) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_24)) - )) - (net channel_data_reg_11_24 (joined - (portRef Q (instanceRef channel_data_reg_11_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_24)) - )) - (net channel_data_i_11_31 (joined - (portRef (member channel_data_i_11 0) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_31)) - )) - (net channel_data_reg_11_31 (joined - (portRef Q (instanceRef channel_data_reg_11_31)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) - )) - (net channel_data_i_10_3 (joined - (portRef (member channel_data_i_10 28) (instanceRef GEN_Channels_10_Channels)) - (portRef A (instanceRef un2_channel_hit_time_11_bm_3)) - (portRef D (instanceRef channel_data_reg_10_3)) - )) - (net channel_data_reg_10_3 (joined - (portRef Q (instanceRef channel_data_reg_10_3)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) - )) (net channel_data_i_10_4 (joined (portRef (member channel_data_i_10 27) (instanceRef GEN_Channels_10_Channels)) (portRef A (instanceRef un2_channel_hit_time_11_bm_4)) @@ -926793,6 +928227,15 @@ (portRef Q (instanceRef channel_data_reg_10_4)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_4)) )) + (net channel_data_i_10_5 (joined + (portRef (member channel_data_i_10 26) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_5)) + (portRef D (instanceRef channel_data_reg_10_5)) + )) + (net channel_data_reg_10_5 (joined + (portRef Q (instanceRef channel_data_reg_10_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) + )) (net channel_data_i_10_6 (joined (portRef (member channel_data_i_10 25) (instanceRef GEN_Channels_10_Channels)) (portRef A (instanceRef un2_channel_hit_time_11_bm_6)) @@ -926802,6 +928245,15 @@ (portRef Q (instanceRef channel_data_reg_10_6)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_6)) )) + (net channel_data_i_10_7 (joined + (portRef (member channel_data_i_10 24) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_7)) + (portRef D (instanceRef channel_data_reg_10_7)) + )) + (net channel_data_reg_10_7 (joined + (portRef Q (instanceRef channel_data_reg_10_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) + )) (net channel_data_i_10_8 (joined (portRef (member channel_data_i_10 23) (instanceRef GEN_Channels_10_Channels)) (portRef A (instanceRef un2_channel_hit_time_11_bm_8)) @@ -926820,6 +928272,31 @@ (portRef Q (instanceRef channel_data_reg_10_9)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_9)) )) + (net channel_data_i_10_10 (joined + (portRef (member channel_data_i_10 21) (instanceRef GEN_Channels_10_Channels)) + (portRef A (instanceRef un2_channel_hit_time_11_bm_10)) + (portRef D (instanceRef channel_data_reg_10_10)) + )) + (net channel_data_reg_10_10 (joined + (portRef Q (instanceRef channel_data_reg_10_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) + )) + (net channel_data_i_10_11 (joined + (portRef (member channel_data_i_10 20) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_11)) + )) + (net channel_data_reg_10_11 (joined + (portRef Q (instanceRef channel_data_reg_10_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) + )) + (net channel_data_i_10_12 (joined + (portRef (member channel_data_i_10 19) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_12)) + )) + (net channel_data_reg_10_12 (joined + (portRef Q (instanceRef channel_data_reg_10_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) + )) (net channel_data_i_10_13 (joined (portRef (member channel_data_i_10 18) (instanceRef GEN_Channels_10_Channels)) (portRef D (instanceRef channel_data_reg_10_13)) @@ -926836,13 +928313,13 @@ (portRef Q (instanceRef channel_data_reg_10_14)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_14)) )) - (net channel_data_i_1_14 (joined - (portRef (member channel_data_i_1 17) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_14)) + (net channel_data_i_10_15 (joined + (portRef (member channel_data_i_10 16) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_15)) )) - (net channel_data_reg_1_14 (joined - (portRef Q (instanceRef channel_data_reg_1_14)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_14)) + (net channel_data_reg_10_15 (joined + (portRef Q (instanceRef channel_data_reg_10_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) )) (net channel_data_i_10_16 (joined (portRef (member channel_data_i_10 15) (instanceRef GEN_Channels_10_Channels)) @@ -926852,29 +928329,45 @@ (portRef Q (instanceRef channel_data_reg_10_16)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) )) - (net channel_data_i_1_24 (joined - (portRef (member channel_data_i_1 7) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_24)) + (net channel_data_i_10_20 (joined + (portRef (member channel_data_i_10 11) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_20)) )) - (net channel_data_reg_1_24 (joined - (portRef Q (instanceRef channel_data_reg_1_24)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_24)) + (net channel_data_reg_10_20 (joined + (portRef Q (instanceRef channel_data_reg_10_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) )) - (net channel_data_i_11_28 (joined - (portRef (member channel_data_i_11 3) (instanceRef GEN_Channels_11_Channels)) - (portRef D (instanceRef channel_data_reg_11_28)) + (net channel_data_i_1_21 (joined + (portRef (member channel_data_i_1 10) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_21)) )) - (net channel_data_reg_11_28 (joined - (portRef Q (instanceRef channel_data_reg_11_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_28)) + (net channel_data_reg_1_21 (joined + (portRef Q (instanceRef channel_data_reg_1_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_21)) )) - (net channel_data_i_10_15 (joined - (portRef (member channel_data_i_10 16) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_15)) + (net channel_data_i_1_22 (joined + (portRef (member channel_data_i_1 9) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_22)) )) - (net channel_data_reg_10_15 (joined - (portRef Q (instanceRef channel_data_reg_10_15)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) + (net channel_data_reg_1_22 (joined + (portRef Q (instanceRef channel_data_reg_1_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_22)) + )) + (net channel_data_i_11_27 (joined + (portRef (member channel_data_i_11 4) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_27)) + )) + (net channel_data_reg_11_27 (joined + (portRef Q (instanceRef channel_data_reg_11_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_27)) + )) + (net channel_data_i_11_30 (joined + (portRef (member channel_data_i_11 1) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_30)) + )) + (net channel_data_reg_11_30 (joined + (portRef Q (instanceRef channel_data_reg_11_30)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) )) (net channel_data_i_10_17 (joined (portRef (member channel_data_i_10 14) (instanceRef GEN_Channels_10_Channels)) @@ -926900,22 +928393,6 @@ (portRef Q (instanceRef channel_data_reg_10_19)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) )) - (net channel_data_i_10_20 (joined - (portRef (member channel_data_i_10 11) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_20)) - )) - (net channel_data_reg_10_20 (joined - (portRef Q (instanceRef channel_data_reg_10_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) - )) - (net channel_data_i_1_20 (joined - (portRef (member channel_data_i_1 11) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_20)) - )) - (net channel_data_reg_1_20 (joined - (portRef Q (instanceRef channel_data_reg_1_20)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_20)) - )) (net channel_data_i_10_21 (joined (portRef (member channel_data_i_10 10) (instanceRef GEN_Channels_10_Channels)) (portRef D (instanceRef channel_data_reg_10_21)) @@ -926948,21 +928425,13 @@ (portRef Q (instanceRef channel_data_reg_10_24)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_24)) )) - (net channel_data_i_1_25 (joined - (portRef (member channel_data_i_1 6) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_25)) - )) - (net channel_data_reg_1_25 (joined - (portRef Q (instanceRef channel_data_reg_1_25)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_25)) - )) - (net channel_data_i_10_25 (joined - (portRef (member channel_data_i_10 6) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_25)) + (net channel_data_i_1_26 (joined + (portRef (member channel_data_i_1 5) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_26)) )) - (net channel_data_reg_10_25 (joined - (portRef Q (instanceRef channel_data_reg_10_25)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) + (net channel_data_reg_1_26 (joined + (portRef Q (instanceRef channel_data_reg_1_26)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_26)) )) (net channel_data_i_10_26 (joined (portRef (member channel_data_i_10 5) (instanceRef GEN_Channels_10_Channels)) @@ -926972,13 +928441,45 @@ (portRef Q (instanceRef channel_data_reg_10_26)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) )) - (net channel_data_i_1_26 (joined - (portRef (member channel_data_i_1 5) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_26)) + (net channel_data_i_1_27 (joined + (portRef (member channel_data_i_1 4) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_27)) )) - (net channel_data_reg_1_26 (joined - (portRef Q (instanceRef channel_data_reg_1_26)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_26)) + (net channel_data_reg_1_27 (joined + (portRef Q (instanceRef channel_data_reg_1_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_27)) + )) + (net channel_data_i_1_28 (joined + (portRef (member channel_data_i_1 3) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_28)) + )) + (net channel_data_reg_1_28 (joined + (portRef Q (instanceRef channel_data_reg_1_28)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_28)) + )) + (net channel_data_i_11_31 (joined + (portRef (member channel_data_i_11 0) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef channel_data_reg_11_31)) + )) + (net channel_data_reg_11_31 (joined + (portRef Q (instanceRef channel_data_reg_11_31)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) + )) + (net channel_data_i_10_25 (joined + (portRef (member channel_data_i_10 6) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_25)) + )) + (net channel_data_reg_10_25 (joined + (portRef Q (instanceRef channel_data_reg_10_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) + )) + (net channel_data_i_1_25 (joined + (portRef (member channel_data_i_1 6) (instanceRef GEN_Channels_1_Channels)) + (portRef D (instanceRef channel_data_reg_1_25)) + )) + (net channel_data_reg_1_25 (joined + (portRef Q (instanceRef channel_data_reg_1_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_25)) )) (net channel_data_i_10_27 (joined (portRef (member channel_data_i_10 4) (instanceRef GEN_Channels_10_Channels)) @@ -926988,14 +928489,6 @@ (portRef Q (instanceRef channel_data_reg_10_27)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_27)) )) - (net channel_data_i_1_27 (joined - (portRef (member channel_data_i_1 4) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_27)) - )) - (net channel_data_reg_1_27 (joined - (portRef Q (instanceRef channel_data_reg_1_27)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_27)) - )) (net channel_data_i_10_28 (joined (portRef (member channel_data_i_10 3) (instanceRef GEN_Channels_10_Channels)) (portRef D (instanceRef channel_data_reg_10_28)) @@ -927004,14 +928497,6 @@ (portRef Q (instanceRef channel_data_reg_10_28)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_28)) )) - (net channel_data_i_1_28 (joined - (portRef (member channel_data_i_1 3) (instanceRef GEN_Channels_1_Channels)) - (portRef D (instanceRef channel_data_reg_1_28)) - )) - (net channel_data_reg_1_28 (joined - (portRef Q (instanceRef channel_data_reg_1_28)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_28)) - )) (net channel_data_i_1_29 (joined (portRef (member channel_data_i_1 2) (instanceRef GEN_Channels_1_Channels)) (portRef D (instanceRef channel_data_reg_1_29)) @@ -927028,14 +928513,6 @@ (portRef Q (instanceRef channel_data_reg_10_29)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_29)) )) - (net channel_data_i_10_30 (joined - (portRef (member channel_data_i_10 1) (instanceRef GEN_Channels_10_Channels)) - (portRef D (instanceRef channel_data_reg_10_30)) - )) - (net channel_data_reg_10_30 (joined - (portRef Q (instanceRef channel_data_reg_10_30)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) - )) (net channel_data_i_1_30 (joined (portRef (member channel_data_i_1 1) (instanceRef GEN_Channels_1_Channels)) (portRef D (instanceRef channel_data_reg_1_30)) @@ -927044,6 +928521,14 @@ (portRef Q (instanceRef channel_data_reg_1_30)) (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) )) + (net channel_data_i_10_30 (joined + (portRef (member channel_data_i_10 1) (instanceRef GEN_Channels_10_Channels)) + (portRef D (instanceRef channel_data_reg_10_30)) + )) + (net channel_data_reg_10_30 (joined + (portRef Q (instanceRef channel_data_reg_10_30)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) + )) (net channel_data_i_10_31 (joined (portRef (member channel_data_i_10 0) (instanceRef GEN_Channels_10_Channels)) (portRef D (instanceRef channel_data_reg_10_31)) @@ -927505,32 +928990,32 @@ (portRef B1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) (portRef A (instanceRef un1_TW_pre_0)) )) - (net un1_i_2_axb_0 (joined + (net un1_i_3_axb_0 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_0)) (portRef A1 (instanceRef Statistics_Empty_Channel_Number_un2_i_0_0)) - (portRef A1 (instanceRef un1_i_2_cry_0_0)) + (portRef A1 (instanceRef un1_i_3_cry_0_0)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_31)) )) - (net un1_i_2_axb_1 (joined + (net un1_i_3_axb_1 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_1)) (portRef B1 (instanceRef Statistics_Empty_Channel_Number_un2_i_0_0)) - (portRef A0 (instanceRef un1_i_2_cry_1_0)) + (portRef A0 (instanceRef un1_i_3_cry_1_0)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_15)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_30)) )) - (net un1_i_2_axb_2 (joined + (net un1_i_3_axb_2 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_2)) (portRef C1 (instanceRef Statistics_Empty_Channel_Number_un2_i_0_0)) - (portRef A1 (instanceRef un1_i_2_cry_1_0)) + (portRef A1 (instanceRef un1_i_3_cry_1_0)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_7)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_14)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_22)) (portRef SD (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_29)) )) - (net un1_i_2_axb_3 (joined + (net un1_i_3_axb_3 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_3)) (portRef A0 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A0 (instanceRef un1_i_2_cry_3_0)) + (portRef A0 (instanceRef un1_i_3_cry_3_0)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_10)) @@ -927540,10 +929025,10 @@ (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_25)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28)) )) - (net un1_i_2_axb_4 (joined + (net un1_i_3_axb_4 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_4)) (portRef B0 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A1 (instanceRef un1_i_2_cry_3_0)) + (portRef A1 (instanceRef un1_i_3_cry_3_0)) (portRef C (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3_bm)) (portRef C (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3_am)) (portRef C (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6_bm)) @@ -927562,239 +929047,191 @@ (portRef C (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28_am)) )) (net i_4_5 (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_5)) + (portRef Z (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_0_a2_5)) (portRef D (instanceRef Statistics_Empty_Channel_Number_i_5)) )) - (net un1_i_2_axb_5 (joined + (net un1_i_3_axb_5 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_5)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un2_i_0_0)) - (portRef A0 (instanceRef un1_i_2_cry_5_0)) + (portRef A0 (instanceRef un1_i_3_cry_5_0)) )) - (net un1_i_2_axb_6 (joined + (net un1_i_3_axb_6 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_6)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A1 (instanceRef un1_i_2_cry_5_0)) + (portRef A1 (instanceRef un1_i_3_cry_5_0)) )) - (net un1_i_2_axb_7 (joined + (net un1_i_3_axb_7 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_7)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A0 (instanceRef un1_i_2_cry_7_0)) + (portRef A0 (instanceRef un1_i_3_cry_7_0)) )) - (net un1_i_2_axb_8 (joined + (net un1_i_3_axb_8 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_8)) (portRef C1 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A1 (instanceRef un1_i_2_cry_7_0)) + (portRef A1 (instanceRef un1_i_3_cry_7_0)) )) - (net un1_i_2_axb_9 (joined + (net un1_i_3_axb_9 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_9)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A0 (instanceRef un1_i_2_cry_9_0)) + (portRef A0 (instanceRef un1_i_3_cry_9_0)) )) - (net un1_i_2_axb_10 (joined + (net un1_i_3_axb_10 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_10)) (portRef A1 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A1 (instanceRef un1_i_2_cry_9_0)) + (portRef A1 (instanceRef un1_i_3_cry_9_0)) )) - (net un1_i_2_axb_11 (joined + (net un1_i_3_axb_11 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_11)) (portRef B1 (instanceRef Statistics_Empty_Channel_Number_un2_i_1_0)) - (portRef A0 (instanceRef un1_i_2_cry_11_0)) + (portRef A0 (instanceRef un1_i_3_cry_11_0)) )) - (net un1_i_2_axb_12 (joined + (net un1_i_3_axb_12 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_12)) (portRef A0 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A1 (instanceRef un1_i_2_cry_11_0)) + (portRef A1 (instanceRef un1_i_3_cry_11_0)) )) - (net un1_i_2_axb_13 (joined + (net un1_i_3_axb_13 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_13)) (portRef B0 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A0 (instanceRef un1_i_2_cry_13_0)) + (portRef A0 (instanceRef un1_i_3_cry_13_0)) )) - (net un1_i_2_axb_14 (joined + (net un1_i_3_axb_14 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_14)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A1 (instanceRef un1_i_2_cry_13_0)) + (portRef A1 (instanceRef un1_i_3_cry_13_0)) )) - (net un1_i_2_axb_15 (joined + (net un1_i_3_axb_15 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_15)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A0 (instanceRef un1_i_2_cry_15_0)) + (portRef A0 (instanceRef un1_i_3_cry_15_0)) )) - (net un1_i_2_axb_16 (joined + (net un1_i_3_axb_16 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_16)) (portRef A1 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A1 (instanceRef un1_i_2_cry_15_0)) + (portRef A1 (instanceRef un1_i_3_cry_15_0)) )) - (net un1_i_2_axb_17 (joined + (net un1_i_3_axb_17 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_17)) (portRef B1 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A0 (instanceRef un1_i_2_cry_17_0)) + (portRef A0 (instanceRef un1_i_3_cry_17_0)) )) - (net un1_i_2_axb_18 (joined + (net un1_i_3_axb_18 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_18)) (portRef C1 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A1 (instanceRef un1_i_2_cry_17_0)) + (portRef A1 (instanceRef un1_i_3_cry_17_0)) )) - (net un1_i_2_axb_19 (joined + (net un1_i_3_axb_19 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_19)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un2_i_3_0)) - (portRef A0 (instanceRef un1_i_2_cry_19_0)) + (portRef A0 (instanceRef un1_i_3_cry_19_0)) )) - (net un1_i_2_axb_20 (joined + (net un1_i_3_axb_20 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_20)) (portRef A0 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A1 (instanceRef un1_i_2_cry_19_0)) + (portRef A1 (instanceRef un1_i_3_cry_19_0)) )) - (net un1_i_2_axb_21 (joined + (net un1_i_3_axb_21 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_21)) (portRef B0 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A0 (instanceRef un1_i_2_cry_21_0)) + (portRef A0 (instanceRef un1_i_3_cry_21_0)) )) - (net un1_i_2_axb_22 (joined + (net un1_i_3_axb_22 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_22)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A1 (instanceRef un1_i_2_cry_21_0)) + (portRef A1 (instanceRef un1_i_3_cry_21_0)) )) - (net un1_i_2_axb_23 (joined + (net un1_i_3_axb_23 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_23)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A0 (instanceRef un1_i_2_cry_23_0)) + (portRef A0 (instanceRef un1_i_3_cry_23_0)) )) - (net un1_i_2_axb_24 (joined + (net un1_i_3_axb_24 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_24)) (portRef A1 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A1 (instanceRef un1_i_2_cry_23_0)) + (portRef A1 (instanceRef un1_i_3_cry_23_0)) )) - (net un1_i_2_axb_25 (joined + (net un1_i_3_axb_25 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_25)) (portRef B1 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A0 (instanceRef un1_i_2_cry_25_0)) + (portRef A0 (instanceRef un1_i_3_cry_25_0)) )) - (net un1_i_2_axb_26 (joined + (net un1_i_3_axb_26 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_26)) (portRef C1 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A1 (instanceRef un1_i_2_cry_25_0)) + (portRef A1 (instanceRef un1_i_3_cry_25_0)) )) - (net un1_i_2_axb_27 (joined + (net un1_i_3_axb_27 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_27)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un2_i_5_0)) - (portRef A0 (instanceRef un1_i_2_cry_27_0)) + (portRef A0 (instanceRef un1_i_3_cry_27_0)) )) - (net un1_i_2_axb_28 (joined + (net un1_i_3_axb_28 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_28)) (portRef A0 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef A1 (instanceRef un1_i_2_cry_27_0)) + (portRef A1 (instanceRef un1_i_3_cry_27_0)) )) - (net un1_i_2_axb_29 (joined + (net un1_i_3_axb_29 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_29)) (portRef B0 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef A0 (instanceRef un1_i_2_cry_29_0)) + (portRef A0 (instanceRef un1_i_3_cry_29_0)) )) - (net un1_i_2_axb_30 (joined + (net un1_i_3_axb_30 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_30)) (portRef C0 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef A1 (instanceRef un1_i_2_cry_29_0)) + (portRef A1 (instanceRef un1_i_3_cry_29_0)) )) - (net un1_i_2_axb_31 (joined + (net un1_i_3_axb_31 (joined (portRef Q (instanceRef Statistics_Empty_Channel_Number_i_31)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef A0 (instanceRef un1_i_2_s_31_0)) + (portRef A0 (instanceRef un1_i_3_s_31_0)) )) - (net N_157_i (joined + (net N_397_i (joined (portRef Z (instanceRef FSM_CURRENT_RNO_0)) (portRef D (instanceRef FSM_CURRENT_0)) )) - (net FSM_CURRENT_0 (joined - (portRef Q (instanceRef FSM_CURRENT_0)) - (portRef A (instanceRef FSM_CURRENT_RNO_5)) - (portRef A (instanceRef FSM_CURRENT_RNO_0)) - (portRef A (instanceRef fsm_debug_reg_RNO_0)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) - (portRef A (instanceRef updt_index_i_RNO)) - (portRef A (instanceRef rd_en_fsm_i_o2_1_19)) - (portRef A (instanceRef wr_ch_data_i_RNO)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_1_0)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) - (portRef A (instanceRef readout_i_RNO)) - )) - (net FSM_CURRENT_2 (joined - (portRef Q (instanceRef FSM_CURRENT_2)) - (portRef B (instanceRef rd_en_fsm_i_a2_19)) - (portRef C (instanceRef readout_i_RNO)) - (portRef A (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef A (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_5_1)) - (portRef D (instanceRef FSM_CURRENT_1)) - )) - (net FSM_CURRENT_1 (joined - (portRef Q (instanceRef FSM_CURRENT_1)) - (portRef B (instanceRef FSM_CURRENT_RNO_0)) - (portRef A (instanceRef rd_en_fsm_i_a2_19)) - (portRef B (instanceRef readout_i_RNO)) - )) - (net FSM_CURRENT_5 (joined - (portRef Q (instanceRef FSM_CURRENT_5)) - (portRef A (instanceRef fsm_debug_reg_RNO_3)) - (portRef C (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef C (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef B (instanceRef wait_fsm_i_a3_0_a2_0_a2_0_0)) - (portRef D (instanceRef FSM_CURRENT_3)) - )) - (net FSM_CURRENT_3 (joined - (portRef Q (instanceRef FSM_CURRENT_3)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef A (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef B (instanceRef rd_en_fsm_i_o2_1_19)) - (portRef B (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef B (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef A (instanceRef wait_fsm_i_a3_0_a2_0_a2_0_0)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_5_1)) - )) - (net N_532 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_4)) + (net FSM_CURRENT_nss_10 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_4)) (portRef D (instanceRef FSM_CURRENT_4)) )) - (net FSM_CURRENT_4 (joined - (portRef Q (instanceRef FSM_CURRENT_4)) - (portRef A (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) - (portRef A (instanceRef wr_header_fsm_0_i_s_0)) - (portRef D (instanceRef readout_i_RNO)) - (portRef A (instanceRef fsm_debug_fsm_i_a3_0_a2_4_a2_1_3)) - (portRef D (instanceRef FSM_CURRENT_6)) - )) - (net N_2284_i (joined + (net N_2504_i (joined (portRef Z (instanceRef FSM_CURRENT_RNO_5)) (portRef D (instanceRef FSM_CURRENT_5)) )) - (net FSM_CURRENT_6 (joined - (portRef Q (instanceRef FSM_CURRENT_6)) - (portRef B (instanceRef FSM_CURRENT_RNO_5)) - (portRef B (instanceRef updt_index_i_RNO)) - (portRef B (instanceRef fsm_debug_reg_RNO_3)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef D (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef C (instanceRef wait_fsm_i_a3_0_a2_0_a2_0_0)) - )) (net FSM_CURRENT_7 (joined (portRef Q (instanceRef FSM_CURRENT_7)) + (portRef A (instanceRef fsm_debug_reg_RNO_3)) (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_14)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_5_1)) + (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + (portRef A (instanceRef fsm_debug_fsm_i_0_0_0)) )) - (net N_583_0 (joined + (net N_653_0 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_8)) (portRef D (instanceRef FSM_CURRENT_8)) )) - (net FSM_CURRENT_nss_5 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) + (net FSM_CURRENT_srsts_0_i_0_9 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_0_i_0_9)) (portRef D (instanceRef FSM_CURRENT_9)) )) (net FSM_CURRENT_9 (joined (portRef Q (instanceRef FSM_CURRENT_9)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_8)) (portRef A (instanceRef data_finished_fsm_0_i_s_0)) - (portRef C (instanceRef fsm_debug_fsm_i_a3_0_a2_4_a2_1_3)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_2_8)) + (portRef B (instanceRef fsm_debug_fsm_i_a3_0_a3_0_a2_0_3)) + (portRef A (instanceRef wr_status_fsm_1_sqmuxa_0_a2_0_a2_0_a2)) + )) + (net FSM_CURRENT_11 (joined + (portRef Q (instanceRef FSM_CURRENT_11)) + (portRef A (instanceRef fsm_debug_fsm_i_0_o2_2)) + (portRef D (instanceRef FSM_CURRENT_10)) + )) + (net FSM_CURRENT_10 (joined + (portRef Q (instanceRef FSM_CURRENT_10)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_8)) + (portRef A (instanceRef wait_i_RNO)) + (portRef A (instanceRef wrong_readout_fsm_0_sqmuxa_i_i_a2)) + (portRef B (instanceRef fsm_debug_fsm_i_0_o2_0_2)) )) - (net N_533 (joined + (net N_704 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) (portRef D (instanceRef FSM_CURRENT_11)) )) @@ -927802,18 +929239,414 @@ (portRef Z (instanceRef FSM_CURRENT_srsts_0_i_0_12)) (portRef D (instanceRef FSM_CURRENT_12)) )) - (net N_43_i (joined + (net FSM_CURRENT_12 (joined + (portRef Q (instanceRef FSM_CURRENT_12)) + (portRef A (instanceRef fsm_debug_reg_RNO_1)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) + (portRef B (instanceRef wait_i_RNO)) + (portRef A (instanceRef fsm_debug_fsm_i_0_2_0)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) + (portRef A (instanceRef fsm_debug_fsm_i_0_a2_0_2)) + )) + (net N_2509_i (joined (portRef Z (instanceRef FSM_CURRENT_RNO_13)) (portRef D (instanceRef FSM_CURRENT_13)) )) - (net N_45 (joined + (net FSM_CURRENT_srsts_0_i_0_14 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_0_i_0_14)) (portRef D (instanceRef FSM_CURRENT_14)) )) + (net i_s_0 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_i_0)) + )) + (net i_0 (joined + (portRef Q (instanceRef Data_Out_MUX_i_0)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef A (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef A (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef A (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_2_sqmuxa_0_a2)) + (portRef A (instanceRef data_out_reg_9_sqmuxa_0_a2)) + (portRef A (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_0)) + )) + (net i_s_1 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef D (instanceRef Data_Out_MUX_i_1)) + )) + (net i_1 (joined + (portRef Q (instanceRef Data_Out_MUX_i_1)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + (portRef B (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef B (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef B (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_9_sqmuxa_0_a2)) + (portRef B (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_0)) + )) + (net i_s_2 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef D (instanceRef Data_Out_MUX_i_2)) + )) + (net i_2 (joined + (portRef Q (instanceRef Data_Out_MUX_i_2)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + (portRef C (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef C (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef C (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef C (instanceRef data_out_reg_9_sqmuxa_0_a2)) + (portRef C (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_0)) + )) + (net i_s_3 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef D (instanceRef Data_Out_MUX_i_3)) + )) + (net i_3 (joined + (portRef Q (instanceRef Data_Out_MUX_i_3)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef A (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + (portRef A (instanceRef un1_wr_header_i_2_i_0_tz)) + (portRef D (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + )) + (net i_s_4 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef D (instanceRef Data_Out_MUX_i_4)) + )) + (net i_4 (joined + (portRef Q (instanceRef Data_Out_MUX_i_4)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_1_6)) + )) + (net i_s_5 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef D (instanceRef Data_Out_MUX_i_5)) + )) + (net i_5 (joined + (portRef Q (instanceRef Data_Out_MUX_i_5)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_1_6)) + )) + (net i_s_6 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef D (instanceRef Data_Out_MUX_i_6)) + )) + (net i_6 (joined + (portRef Q (instanceRef Data_Out_MUX_i_6)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_1_6)) + )) + (net i_s_7 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D (instanceRef Data_Out_MUX_i_7)) + )) + (net i_7 (joined + (portRef Q (instanceRef Data_Out_MUX_i_7)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D (instanceRef un1_wr_header_i_2_i_o2_1_6)) + )) + (net i_s_8 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef D (instanceRef Data_Out_MUX_i_8)) + )) + (net i_8 (joined + (portRef Q (instanceRef Data_Out_MUX_i_8)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_1_7)) + )) + (net i_s_9 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef D (instanceRef Data_Out_MUX_i_9)) + )) + (net i_9 (joined + (portRef Q (instanceRef Data_Out_MUX_i_9)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_1_7)) + )) + (net i_s_10 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef D (instanceRef Data_Out_MUX_i_10)) + )) + (net i_10 (joined + (portRef Q (instanceRef Data_Out_MUX_i_10)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_1_7)) + )) + (net i_s_11 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D (instanceRef Data_Out_MUX_i_11)) + )) + (net i_11 (joined + (portRef Q (instanceRef Data_Out_MUX_i_11)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D (instanceRef un1_wr_header_i_2_i_o2_1_7)) + )) + (net i_s_12 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef D (instanceRef Data_Out_MUX_i_12)) + )) + (net i_12 (joined + (portRef Q (instanceRef Data_Out_MUX_i_12)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_1_8)) + )) + (net i_s_13 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef D (instanceRef Data_Out_MUX_i_13)) + )) + (net i_13 (joined + (portRef Q (instanceRef Data_Out_MUX_i_13)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_1_8)) + )) + (net i_s_14 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef D (instanceRef Data_Out_MUX_i_14)) + )) + (net i_14 (joined + (portRef Q (instanceRef Data_Out_MUX_i_14)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_1_8)) + )) + (net i_s_15 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D (instanceRef Data_Out_MUX_i_15)) + )) + (net i_15 (joined + (portRef Q (instanceRef Data_Out_MUX_i_15)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D (instanceRef un1_wr_header_i_2_i_o2_1_8)) + )) + (net i_s_16 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef D (instanceRef Data_Out_MUX_i_16)) + )) + (net i_16 (joined + (portRef Q (instanceRef Data_Out_MUX_i_16)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + )) + (net i_s_17 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef D (instanceRef Data_Out_MUX_i_17)) + )) + (net i_17 (joined + (portRef Q (instanceRef Data_Out_MUX_i_17)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + )) + (net i_s_18 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef D (instanceRef Data_Out_MUX_i_18)) + )) + (net i_18 (joined + (portRef Q (instanceRef Data_Out_MUX_i_18)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + )) + (net i_s_19 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D (instanceRef Data_Out_MUX_i_19)) + )) + (net i_19 (joined + (portRef Q (instanceRef Data_Out_MUX_i_19)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + )) + (net i_s_20 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef D (instanceRef Data_Out_MUX_i_20)) + )) + (net i_20 (joined + (portRef Q (instanceRef Data_Out_MUX_i_20)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + )) + (net i_s_21 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef D (instanceRef Data_Out_MUX_i_21)) + )) + (net i_21 (joined + (portRef Q (instanceRef Data_Out_MUX_i_21)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + )) + (net i_s_22 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef D (instanceRef Data_Out_MUX_i_22)) + )) + (net i_22 (joined + (portRef Q (instanceRef Data_Out_MUX_i_22)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + )) + (net i_s_23 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D (instanceRef Data_Out_MUX_i_23)) + )) + (net i_23 (joined + (portRef Q (instanceRef Data_Out_MUX_i_23)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + )) + (net i_s_24 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef D (instanceRef Data_Out_MUX_i_24)) + )) + (net i_24 (joined + (portRef Q (instanceRef Data_Out_MUX_i_24)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + )) + (net i_s_25 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef D (instanceRef Data_Out_MUX_i_25)) + )) + (net i_25 (joined + (portRef Q (instanceRef Data_Out_MUX_i_25)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + )) + (net i_s_26 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef D (instanceRef Data_Out_MUX_i_26)) + )) + (net i_26 (joined + (portRef Q (instanceRef Data_Out_MUX_i_26)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + )) + (net i_s_27 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D (instanceRef Data_Out_MUX_i_27)) + )) + (net i_27 (joined + (portRef Q (instanceRef Data_Out_MUX_i_27)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + )) + (net i_s_28 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef D (instanceRef Data_Out_MUX_i_28)) + )) + (net i_28 (joined + (portRef Q (instanceRef Data_Out_MUX_i_28)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + )) + (net i_s_29 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef D (instanceRef Data_Out_MUX_i_29)) + )) + (net i_29 (joined + (portRef Q (instanceRef Data_Out_MUX_i_29)) + (portRef A0 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + )) + (net i_s_30 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef D (instanceRef Data_Out_MUX_i_30)) + )) + (net i_30 (joined + (portRef Q (instanceRef Data_Out_MUX_i_30)) + (portRef A1 (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + )) + (net i_s_31 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef D (instanceRef Data_Out_MUX_i_31)) + )) + (net i_31 (joined + (portRef Q (instanceRef Data_Out_MUX_i_31)) + (portRef A0 (instanceRef Data_Out_MUX_i_s_0_31)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + )) (net un1_readout_i_0 (joined (portRef Z (instanceRef un1_readout_i)) (portRef B0 (instanceRef un1_readout_i_2_cry_0_0)) )) + (net readout_trigger_mode_200 (joined + (portRef readout_trigger_mode_200 (instanceRef Readout_trigger_mode_sync)) + (portRef A (instanceRef Coarse_Counter_Reset_reset_coarse_cnt_3_ss0_0_a3)) + ) + ) + (net un1_reset_tdc_2_sn (joined + (portRef un1_reset_tdc_2_sn (instanceRef Valid_timing_trigger_pulse)) + (portRef B (instanceRef Coarse_Counter_Reset_reset_coarse_cnt_3_ss0_0_a3)) + )) (net fifo_nr_hex_1_3 (joined (portRef (member fifo_nr_hex_1 0) (instanceRef GEN_1_ROM)) (portRef B (instanceRef fifo_nr_next_6_4)) @@ -927837,37 +929670,50 @@ (portRef C0 (instanceRef fifo_nr_next_6_m2_1)) (portRef C0 (instanceRef fifo_nr_next_6_m2_0)) )) - (net ctrl_reg_56 (joined - (portRef ctrl_reg_56) - (portRef B (instanceRef hit_in_i_28)) + (net ctrl_reg_58 (joined + (portRef ctrl_reg_58) + (portRef B (instanceRef hit_in_i_6)) )) - (net hit_in_i_28 (joined - (portRef Z (instanceRef hit_in_i_28)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_28_Channels)) + (net hit_in_i_6 (joined + (portRef Z (instanceRef hit_in_i_6)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_6_Channels)) )) - (net INP_c_13 (joined - (portRef (member inp_c 1)) - (portRef A (instanceRef hit_in_i_29)) - (portRef A (instanceRef hit_in_i_28)) + (net INP_c_2 (joined + (portRef (member inp_c 12)) + (portRef A (instanceRef hit_in_i_7)) + (portRef A (instanceRef hit_in_i_6)) )) - (net ctrl_reg_57 (joined - (portRef ctrl_reg_57) - (portRef B (instanceRef hit_in_i_29)) + (net ctrl_reg_59 (joined + (portRef ctrl_reg_59) + (portRef B (instanceRef hit_in_i_7)) )) - (net hit_in_i_29 (joined - (portRef Z (instanceRef hit_in_i_29)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_29_Channels)) + (net hit_in_i_7 (joined + (portRef Z (instanceRef hit_in_i_7)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_7_Channels)) )) - (net ctrl_reg_31 (joined - (portRef ctrl_reg_31) - (portRef B (instanceRef hit_in_i_3)) + (net ctrl_reg_76 (joined + (portRef ctrl_reg_76) + (portRef B (instanceRef hit_in_i_24)) )) - (net hit_in_i_3 (joined - (portRef Z (instanceRef hit_in_i_3)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_3_Channels)) + (net hit_in_i_24 (joined + (portRef Z (instanceRef hit_in_i_24)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_24_Channels)) )) - (net ctrl_reg_36 (joined - (portRef ctrl_reg_36) + (net INP_c_11 (joined + (portRef (member inp_c 3)) + (portRef A (instanceRef hit_in_i_25)) + (portRef A (instanceRef hit_in_i_24)) + )) + (net ctrl_reg_77 (joined + (portRef ctrl_reg_77) + (portRef B (instanceRef hit_in_i_25)) + )) + (net hit_in_i_25 (joined + (portRef Z (instanceRef hit_in_i_25)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_25_Channels)) + )) + (net ctrl_reg_60 (joined + (portRef ctrl_reg_60) (portRef B (instanceRef hit_in_i_8)) )) (net hit_in_i_8 (joined @@ -927879,313 +929725,239 @@ (portRef A (instanceRef hit_in_i_9)) (portRef A (instanceRef hit_in_i_8)) )) - (net ctrl_reg_37 (joined - (portRef ctrl_reg_37) + (net ctrl_reg_61 (joined + (portRef ctrl_reg_61) (portRef B (instanceRef hit_in_i_9)) )) (net hit_in_i_9 (joined (portRef Z (instanceRef hit_in_i_9)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_9_Channels)) )) - (net N_364 (joined + (net N_769 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_a2_0_2)) + (portRef A (instanceRef fsm_debug_fsm_i_0_2)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_12)) + (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_14)) + )) + (net N_3062 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_a2_2_8)) + (portRef B (instanceRef fsm_debug_fsm_i_0_2)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) + )) + (net N_2716 (joined + (portRef Z (instanceRef wr_header_i_RNI41LN)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) + )) + (net N_2617 (joined + (portRef Z (instanceRef i_1_sqmuxa_0_a2)) + (portRef B (instanceRef un1_wr_header_i_2_i_2_RNI6MFM)) + (portRef C (instanceRef stop_status_i_0_sqmuxa_i)) + (portRef D (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + )) + (net N_600 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_o2_0_2)) + (portRef D (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef A (instanceRef fsm_debug_fsm_i_0_4_0)) + (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + )) + (net N_529 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_o2_2)) + (portRef C (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef B (instanceRef fsm_debug_reg_RNO_1)) + (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_1)) + (portRef C (instanceRef wait_i_RNO)) + )) + (net N_528 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_i_i_0_o2_13)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_0)) + (portRef B (instanceRef fsm_debug_fsm_i_0_2_0)) )) - (net N_361 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_1_27)) - (portRef B (instanceRef rd_en_i_RNO_27)) - (portRef B (instanceRef rd_en_i_RNO_26)) - (portRef B (instanceRef rd_en_i_RNO_25)) - (portRef B (instanceRef rd_en_i_RNO_24)) + (net N_522 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_1_19)) + (portRef B (instanceRef rd_en_i_RNO_23)) + (portRef B (instanceRef rd_en_i_RNO_22)) + (portRef B (instanceRef rd_en_i_RNO_21)) + (portRef B (instanceRef rd_en_i_RNO_20)) (portRef B (instanceRef rd_en_i_RNO_19)) (portRef B (instanceRef rd_en_i_RNO_18)) (portRef B (instanceRef rd_en_i_RNO_17)) (portRef B (instanceRef rd_en_i_RNO_16)) - (portRef B (instanceRef mask_i_4_0_a2_24)) - (portRef B (instanceRef mask_i_4_0_a2_25)) - (portRef B (instanceRef mask_i_4_0_a2_26)) - (portRef B (instanceRef mask_i_4_0_a2_27)) - (portRef B (instanceRef mask_i_4_0_a2_16)) - (portRef B (instanceRef mask_i_4_0_a2_17)) - (portRef B (instanceRef mask_i_4_0_a2_18)) - (portRef B (instanceRef mask_i_4_0_a2_19)) - )) - (net N_360 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_0_31)) + (portRef A (instanceRef mask_i_4_0_0_a2_19)) + (portRef A (instanceRef mask_i_4_0_0_a2_16)) + (portRef A (instanceRef mask_i_4_0_0_a2_17)) + (portRef A (instanceRef mask_i_4_0_0_a2_18)) + (portRef A (instanceRef mask_i_4_0_0_a2_20)) + (portRef A (instanceRef mask_i_4_0_0_a2_21)) + (portRef A (instanceRef mask_i_4_0_0_a2_22)) + (portRef A (instanceRef mask_i_4_0_0_a2_23)) + )) + (net N_521 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_0_27)) (portRef B (instanceRef rd_en_i_RNO_31)) (portRef B (instanceRef rd_en_i_RNO_30)) (portRef B (instanceRef rd_en_i_RNO_29)) (portRef B (instanceRef rd_en_i_RNO_28)) - (portRef B (instanceRef rd_en_i_RNO_23)) - (portRef B (instanceRef rd_en_i_RNO_22)) - (portRef B (instanceRef rd_en_i_RNO_21)) - (portRef B (instanceRef rd_en_i_RNO_20)) - (portRef B (instanceRef mask_i_4_0_a2_29)) - (portRef B (instanceRef mask_i_4_0_a2_30)) - (portRef B (instanceRef mask_i_4_0_a2_31)) - (portRef B (instanceRef mask_i_4_0_a2_20)) - (portRef B (instanceRef mask_i_4_0_a2_21)) - (portRef B (instanceRef mask_i_4_0_a2_22)) - (portRef B (instanceRef mask_i_4_0_a2_23)) - (portRef B (instanceRef mask_i_4_0_a2_28)) - )) - (net N_359 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_15)) - (portRef A (instanceRef rd_en_i_RNO_31)) - (portRef A (instanceRef rd_en_i_RNO_27)) - (portRef A (instanceRef rd_en_i_RNO_23)) - (portRef A (instanceRef rd_en_i_RNO_19)) - (portRef B (instanceRef rd_en_i_RNO_15)) - (portRef B (instanceRef rd_en_i_RNO_11)) + (portRef B (instanceRef rd_en_i_RNO_27)) + (portRef B (instanceRef rd_en_i_RNO_26)) + (portRef B (instanceRef rd_en_i_RNO_25)) + (portRef B (instanceRef rd_en_i_RNO_24)) + (portRef A (instanceRef mask_i_4_0_0_a2_25)) + (portRef A (instanceRef mask_i_4_0_0_a2_26)) + (portRef A (instanceRef mask_i_4_0_0_a2_27)) + (portRef A (instanceRef mask_i_4_0_0_a2_30)) + (portRef A (instanceRef mask_i_4_0_0_a2_28)) + (portRef A (instanceRef mask_i_4_0_0_a2_29)) + (portRef A (instanceRef mask_i_4_0_0_a2_31)) + (portRef A (instanceRef mask_i_4_0_0_a2_24)) + )) + (net N_520 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_0_7)) (portRef B (instanceRef rd_en_i_RNO_7)) + (portRef B (instanceRef rd_en_i_RNO_6)) + (portRef B (instanceRef rd_en_i_RNO_5)) + (portRef B (instanceRef rd_en_i_RNO_4)) (portRef B (instanceRef rd_en_i_RNO_3)) - (portRef B (instanceRef mask_i_4_0_a2_11)) - (portRef B (instanceRef mask_i_4_0_a2_15)) - (portRef A (instanceRef mask_i_4_0_a2_31)) - (portRef B (instanceRef mask_i_4_0_a2_3)) - (portRef B (instanceRef mask_i_4_0_a2_7)) - (portRef A (instanceRef mask_i_4_0_a2_27)) - (portRef A (instanceRef mask_i_4_0_a2_19)) - (portRef A (instanceRef mask_i_4_0_a2_23)) - )) - (net N_358 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_0_2)) - (portRef A (instanceRef rd_en_i_RNO_11)) - (portRef B (instanceRef rd_en_i_RNO_10)) - (portRef B (instanceRef rd_en_i_RNO_9)) - (portRef B (instanceRef rd_en_i_RNO_8)) - (portRef A (instanceRef rd_en_i_RNO_3)) (portRef B (instanceRef rd_en_i_RNO_2)) (portRef B (instanceRef rd_en_i_RNO_1)) (portRef B (instanceRef rd_en_i_RNO_0)) - (portRef B (instanceRef mask_i_4_0_a2_0)) - (portRef B (instanceRef mask_i_4_0_a2_2)) - (portRef B (instanceRef mask_i_4_0_a2_8)) - (portRef B (instanceRef mask_i_4_0_a2_9)) - (portRef A (instanceRef mask_i_4_0_a2_11)) - (portRef A (instanceRef mask_i_4_0_a2_3)) - (portRef B (instanceRef mask_i_4_0_a2_1)) - (portRef B (instanceRef mask_i_4_0_a2_10)) - )) - (net N_357 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_4)) - (portRef A (instanceRef rd_en_i_RNO_28)) - (portRef A (instanceRef rd_en_i_RNO_24)) - (portRef A (instanceRef rd_en_i_RNO_20)) - (portRef A (instanceRef rd_en_i_RNO_16)) + (portRef A (instanceRef mask_i_4_0_0_a2_0)) + (portRef A (instanceRef mask_i_4_0_0_a2_1)) + (portRef A (instanceRef mask_i_4_0_0_a2_2)) + (portRef A (instanceRef mask_i_4_0_0_a2_3)) + (portRef A (instanceRef mask_i_4_0_0_a2_4)) + (portRef A (instanceRef mask_i_4_0_0_a2_5)) + (portRef A (instanceRef mask_i_4_0_0_a2_6)) + (portRef A (instanceRef mask_i_4_0_0_a2_7)) + )) + (net N_516 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_1_14)) + (portRef B (instanceRef rd_en_i_RNO_15)) + (portRef B (instanceRef rd_en_i_RNO_14)) + (portRef B (instanceRef rd_en_i_RNO_13)) (portRef B (instanceRef rd_en_i_RNO_12)) - (portRef A (instanceRef rd_en_i_RNO_8)) - (portRef B (instanceRef rd_en_i_RNO_4)) - (portRef A (instanceRef rd_en_i_RNO_0)) - (portRef A (instanceRef mask_i_4_0_a2_0)) - (portRef B (instanceRef mask_i_4_0_a2_4)) - (portRef A (instanceRef mask_i_4_0_a2_8)) - (portRef B (instanceRef mask_i_4_0_a2_12)) - (portRef A (instanceRef mask_i_4_0_a2_24)) - (portRef A (instanceRef mask_i_4_0_a2_16)) - (portRef A (instanceRef mask_i_4_0_a2_20)) - (portRef A (instanceRef mask_i_4_0_a2_28)) + (portRef B (instanceRef rd_en_i_RNO_11)) + (portRef B (instanceRef rd_en_i_RNO_10)) + (portRef B (instanceRef rd_en_i_RNO_9)) + (portRef B (instanceRef rd_en_i_RNO_8)) + (portRef A (instanceRef mask_i_4_0_0_a2_8)) + (portRef A (instanceRef mask_i_4_0_0_a2_9)) + (portRef A (instanceRef mask_i_4_0_0_a2_11)) + (portRef A (instanceRef mask_i_4_0_0_a2_12)) + (portRef A (instanceRef mask_i_4_0_0_a2_13)) + (portRef A (instanceRef mask_i_4_0_0_a2_14)) + (portRef A (instanceRef mask_i_4_0_0_a2_10)) + (portRef A (instanceRef mask_i_4_0_0_a2_15)) + )) + (net N_3063 (joined + (portRef Z (instanceRef readout_fsm_i_a3_0_a3_0_a2_0)) + (portRef B (instanceRef fsm_debug_reg_RNO_3)) + (portRef C (instanceRef fsm_debug_fsm_a3_0_a3_0_a2_4)) )) - (net N_356 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_5)) - (portRef A (instanceRef rd_en_i_RNO_29)) - (portRef A (instanceRef rd_en_i_RNO_25)) - (portRef A (instanceRef rd_en_i_RNO_21)) - (portRef A (instanceRef rd_en_i_RNO_17)) - (portRef B (instanceRef rd_en_i_RNO_13)) - (portRef A (instanceRef rd_en_i_RNO_9)) - (portRef B (instanceRef rd_en_i_RNO_5)) - (portRef A (instanceRef rd_en_i_RNO_1)) - (portRef B (instanceRef mask_i_4_0_a2_5)) - (portRef A (instanceRef mask_i_4_0_a2_9)) - (portRef B (instanceRef mask_i_4_0_a2_13)) - (portRef A (instanceRef mask_i_4_0_a2_29)) - (portRef A (instanceRef mask_i_4_0_a2_25)) - (portRef A (instanceRef mask_i_4_0_a2_1)) - (portRef A (instanceRef mask_i_4_0_a2_17)) - (portRef A (instanceRef mask_i_4_0_a2_21)) + (net N_767 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) + (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_1)) )) - (net N_355 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_1_6)) - (portRef A (instanceRef rd_en_i_RNO_15)) - (portRef B (instanceRef rd_en_i_RNO_14)) - (portRef A (instanceRef rd_en_i_RNO_13)) - (portRef A (instanceRef rd_en_i_RNO_12)) - (portRef A (instanceRef rd_en_i_RNO_7)) - (portRef B (instanceRef rd_en_i_RNO_6)) - (portRef A (instanceRef rd_en_i_RNO_5)) - (portRef A (instanceRef rd_en_i_RNO_4)) - (portRef A (instanceRef mask_i_4_0_a2_4)) - (portRef A (instanceRef mask_i_4_0_a2_5)) - (portRef B (instanceRef mask_i_4_0_a2_6)) - (portRef A (instanceRef mask_i_4_0_a2_12)) - (portRef A (instanceRef mask_i_4_0_a2_13)) - (portRef A (instanceRef mask_i_4_0_a2_15)) - (portRef A (instanceRef mask_i_4_0_a2_7)) - (portRef B (instanceRef mask_i_4_0_a2_14)) + (net un1_i_3_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_5_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_0_a2_5)) )) - (net N_354 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_6)) - (portRef A (instanceRef rd_en_i_RNO_30)) - (portRef A (instanceRef rd_en_i_RNO_26)) - (portRef A (instanceRef rd_en_i_RNO_22)) - (portRef A (instanceRef rd_en_i_RNO_18)) - (portRef A (instanceRef rd_en_i_RNO_14)) - (portRef A (instanceRef rd_en_i_RNO_10)) - (portRef A (instanceRef rd_en_i_RNO_6)) - (portRef A (instanceRef rd_en_i_RNO_2)) - (portRef A (instanceRef mask_i_4_0_a2_2)) - (portRef A (instanceRef mask_i_4_0_a2_6)) - (portRef A (instanceRef mask_i_4_0_a2_30)) - (portRef A (instanceRef mask_i_4_0_a2_14)) - (portRef A (instanceRef mask_i_4_0_a2_26)) - (portRef A (instanceRef mask_i_4_0_a2_10)) - (portRef A (instanceRef mask_i_4_0_a2_18)) - (portRef A (instanceRef mask_i_4_0_a2_22)) + (net ctrl_reg_67 (joined + (portRef ctrl_reg_67) + (portRef B (instanceRef hit_in_i_0_a3_15)) )) - (net trg_data_valid_i (joined - (portRef trg_data_valid_i) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_a2_3_0)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) - (portRef B (instanceRef fsm_debug_fsm_i_0_a2_0_2)) - (portRef C (instanceRef fsm_debug_fsm_i_0_RNO_2)) + (net hit_in_i_15 (joined + (portRef Z (instanceRef hit_in_i_0_a3_15)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_15_Channels)) )) - (net N_560 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_0_a2_0_2)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_12)) - (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_14)) + (net ctrl_reg_63 (joined + (portRef ctrl_reg_63) + (portRef B (instanceRef hit_in_i_11)) )) - (net N_558 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_a2_1_8)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_0_0)) - (portRef A (instanceRef fsm_debug_fsm_i_0_2)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) + (net hit_in_i_11 (joined + (portRef Z (instanceRef hit_in_i_11)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_11_Channels)) )) - (net N_553 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_4_1)) - (portRef B (instanceRef fsm_debug_reg_RNO_1)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_1_0)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_0_0)) - (portRef C (instanceRef wait_i_RNO)) + (net INP_c_4 (joined + (portRef (member inp_c 10)) + (portRef A (instanceRef hit_in_i_10)) + (portRef A (instanceRef hit_in_i_11)) )) - (net N_548 (joined - (portRef Z (instanceRef mask_i_4_0_a2_2_20)) - (portRef C (instanceRef mask_i_4_0_a2_0)) - (portRef C (instanceRef mask_i_4_0_a2_2)) - (portRef C (instanceRef mask_i_4_0_a2_4)) - (portRef C (instanceRef mask_i_4_0_a2_5)) - (portRef C (instanceRef mask_i_4_0_a2_6)) - (portRef C (instanceRef mask_i_4_0_a2_8)) - (portRef C (instanceRef mask_i_4_0_a2_9)) - (portRef C (instanceRef mask_i_4_0_a2_11)) - (portRef C (instanceRef mask_i_4_0_a2_12)) - (portRef C (instanceRef mask_i_4_0_a2_13)) - (portRef C (instanceRef mask_i_4_0_a2_15)) - (portRef C (instanceRef mask_i_4_0_a2_24)) - (portRef C (instanceRef mask_i_4_0_a2_29)) - (portRef C (instanceRef mask_i_4_0_a2_30)) - (portRef C (instanceRef mask_i_4_0_a2_31)) - (portRef C (instanceRef mask_i_4_0_a2_3)) - (portRef C (instanceRef mask_i_4_0_a2_7)) - (portRef C (instanceRef mask_i_4_0_a2_14)) - (portRef C (instanceRef mask_i_4_0_a2_25)) - (portRef C (instanceRef mask_i_4_0_a2_26)) - (portRef C (instanceRef mask_i_4_0_a2_27)) - (portRef C (instanceRef mask_i_4_0_a2_1)) - (portRef C (instanceRef mask_i_4_0_a2_10)) - (portRef C (instanceRef mask_i_4_0_a2_16)) - (portRef C (instanceRef mask_i_4_0_a2_17)) - (portRef C (instanceRef mask_i_4_0_a2_18)) - (portRef C (instanceRef mask_i_4_0_a2_19)) - (portRef C (instanceRef mask_i_4_0_a2_20)) - (portRef C (instanceRef mask_i_4_0_a2_21)) - (portRef C (instanceRef mask_i_4_0_a2_22)) - (portRef C (instanceRef mask_i_4_0_a2_23)) - (portRef C (instanceRef mask_i_4_0_a2_28)) - )) - (net un1_i_2_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_5_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_i_4_0_a2_5)) + (net ctrl_reg_62 (joined + (portRef ctrl_reg_62) + (portRef B (instanceRef hit_in_i_10)) + )) + (net hit_in_i_10 (joined + (portRef Z (instanceRef hit_in_i_10)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_10_Channels)) + )) + (net ctrl_reg_55 (joined + (portRef ctrl_reg_55) + (portRef B (instanceRef hit_in_i_3)) + )) + (net hit_in_i_3 (joined + (portRef Z (instanceRef hit_in_i_3)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_3_Channels)) )) (net INP_c_0 (joined (portRef (member inp_c 14)) (portRef A (instanceRef hit_in_i_2)) (portRef A (instanceRef hit_in_i_3)) )) - (net ctrl_reg_30 (joined - (portRef ctrl_reg_30) + (net ctrl_reg_54 (joined + (portRef ctrl_reg_54) (portRef B (instanceRef hit_in_i_2)) )) (net hit_in_i_2 (joined (portRef Z (instanceRef hit_in_i_2)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_2_Channels)) )) - (net ctrl_reg_33 (joined - (portRef ctrl_reg_33) - (portRef B (instanceRef hit_in_i_5)) - )) - (net hit_in_i_5 (joined - (portRef Z (instanceRef hit_in_i_5)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_5_Channels)) - )) - (net INP_c_1 (joined - (portRef (member inp_c 13)) - (portRef A (instanceRef hit_in_i_4)) - (portRef A (instanceRef hit_in_i_5)) - )) - (net ctrl_reg_32 (joined - (portRef ctrl_reg_32) - (portRef B (instanceRef hit_in_i_4)) - )) - (net hit_in_i_4 (joined - (portRef Z (instanceRef hit_in_i_4)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_4_Channels)) - )) - (net ctrl_reg_35 (joined - (portRef ctrl_reg_35) - (portRef B (instanceRef hit_in_i_7)) - )) - (net hit_in_i_7 (joined - (portRef Z (instanceRef hit_in_i_7)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_7_Channels)) - )) - (net INP_c_2 (joined - (portRef (member inp_c 12)) - (portRef A (instanceRef hit_in_i_6)) - (portRef A (instanceRef hit_in_i_7)) - )) - (net ctrl_reg_34 (joined - (portRef ctrl_reg_34) - (portRef B (instanceRef hit_in_i_6)) - )) - (net hit_in_i_6 (joined - (portRef Z (instanceRef hit_in_i_6)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_6_Channels)) - )) - (net ctrl_reg_39 (joined - (portRef ctrl_reg_39) - (portRef B (instanceRef hit_in_i_11)) + (net ctrl_reg_69 (joined + (portRef ctrl_reg_69) + (portRef B (instanceRef hit_in_i_17)) )) - (net hit_in_i_11 (joined - (portRef Z (instanceRef hit_in_i_11)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_11_Channels)) + (net hit_in_i_17 (joined + (portRef Z (instanceRef hit_in_i_17)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_17_Channels)) )) - (net INP_c_4 (joined - (portRef (member inp_c 10)) - (portRef A (instanceRef hit_in_i_10)) - (portRef A (instanceRef hit_in_i_11)) + (net INP_c_7 (joined + (portRef (member inp_c 7)) + (portRef A (instanceRef hit_in_i_16)) + (portRef A (instanceRef hit_in_i_17)) )) - (net ctrl_reg_38 (joined - (portRef ctrl_reg_38) - (portRef B (instanceRef hit_in_i_10)) + (net ctrl_reg_68 (joined + (portRef ctrl_reg_68) + (portRef B (instanceRef hit_in_i_16)) )) - (net hit_in_i_10 (joined - (portRef Z (instanceRef hit_in_i_10)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_10_Channels)) + (net hit_in_i_16 (joined + (portRef Z (instanceRef hit_in_i_16)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_16_Channels)) )) - (net ctrl_reg_41 (joined - (portRef ctrl_reg_41) + (net ctrl_reg_65 (joined + (portRef ctrl_reg_65) (portRef B (instanceRef hit_in_i_13)) )) (net hit_in_i_13 (joined @@ -928197,58 +929969,37 @@ (portRef A (instanceRef hit_in_i_12)) (portRef A (instanceRef hit_in_i_13)) )) - (net ctrl_reg_40 (joined - (portRef ctrl_reg_40) + (net ctrl_reg_64 (joined + (portRef ctrl_reg_64) (portRef B (instanceRef hit_in_i_12)) )) (net hit_in_i_12 (joined (portRef Z (instanceRef hit_in_i_12)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_12_Channels)) )) - (net ctrl_reg_43 (joined - (portRef ctrl_reg_43) - (portRef B (instanceRef hit_in_i_15)) - )) - (net hit_in_i_15 (joined - (portRef Z (instanceRef hit_in_i_15)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_15_Channels)) - )) - (net INP_c_6 (joined - (portRef (member inp_c 8)) - (portRef A (instanceRef hit_in_i_14)) - (portRef A (instanceRef hit_in_i_15)) - )) - (net ctrl_reg_42 (joined - (portRef ctrl_reg_42) - (portRef B (instanceRef hit_in_i_14)) - )) - (net hit_in_i_14 (joined - (portRef Z (instanceRef hit_in_i_14)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_14_Channels)) - )) - (net ctrl_reg_45 (joined - (portRef ctrl_reg_45) - (portRef B (instanceRef hit_in_i_17)) + (net ctrl_reg_57 (joined + (portRef ctrl_reg_57) + (portRef B (instanceRef hit_in_i_5)) )) - (net hit_in_i_17 (joined - (portRef Z (instanceRef hit_in_i_17)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_17_Channels)) + (net hit_in_i_5 (joined + (portRef Z (instanceRef hit_in_i_5)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_5_Channels)) )) - (net INP_c_7 (joined - (portRef (member inp_c 7)) - (portRef A (instanceRef hit_in_i_16)) - (portRef A (instanceRef hit_in_i_17)) + (net INP_c_1 (joined + (portRef (member inp_c 13)) + (portRef A (instanceRef hit_in_i_4)) + (portRef A (instanceRef hit_in_i_5)) )) - (net ctrl_reg_44 (joined - (portRef ctrl_reg_44) - (portRef B (instanceRef hit_in_i_16)) + (net ctrl_reg_56 (joined + (portRef ctrl_reg_56) + (portRef B (instanceRef hit_in_i_4)) )) - (net hit_in_i_16 (joined - (portRef Z (instanceRef hit_in_i_16)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_16_Channels)) + (net hit_in_i_4 (joined + (portRef Z (instanceRef hit_in_i_4)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_4_Channels)) )) - (net ctrl_reg_47 (joined - (portRef ctrl_reg_47) + (net ctrl_reg_71 (joined + (portRef ctrl_reg_71) (portRef B (instanceRef hit_in_i_19)) )) (net hit_in_i_19 (joined @@ -928260,16 +930011,16 @@ (portRef A (instanceRef hit_in_i_18)) (portRef A (instanceRef hit_in_i_19)) )) - (net ctrl_reg_46 (joined - (portRef ctrl_reg_46) + (net ctrl_reg_70 (joined + (portRef ctrl_reg_70) (portRef B (instanceRef hit_in_i_18)) )) (net hit_in_i_18 (joined (portRef Z (instanceRef hit_in_i_18)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_18_Channels)) )) - (net ctrl_reg_49 (joined - (portRef ctrl_reg_49) + (net ctrl_reg_73 (joined + (portRef ctrl_reg_73) (portRef B (instanceRef hit_in_i_21)) )) (net hit_in_i_21 (joined @@ -928281,16 +930032,37 @@ (portRef A (instanceRef hit_in_i_20)) (portRef A (instanceRef hit_in_i_21)) )) - (net ctrl_reg_48 (joined - (portRef ctrl_reg_48) + (net ctrl_reg_72 (joined + (portRef ctrl_reg_72) (portRef B (instanceRef hit_in_i_20)) )) (net hit_in_i_20 (joined (portRef Z (instanceRef hit_in_i_20)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_20_Channels)) )) - (net ctrl_reg_51 (joined - (portRef ctrl_reg_51) + (net ctrl_reg_83 (joined + (portRef ctrl_reg_83) + (portRef B (instanceRef hit_in_i_31)) + )) + (net hit_in_i_31 (joined + (portRef Z (instanceRef hit_in_i_31)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_31_Channels)) + )) + (net INP_c_14 (joined + (portRef (member inp_c 0)) + (portRef A (instanceRef hit_in_i_30)) + (portRef A (instanceRef hit_in_i_31)) + )) + (net ctrl_reg_82 (joined + (portRef ctrl_reg_82) + (portRef B (instanceRef hit_in_i_30)) + )) + (net hit_in_i_30 (joined + (portRef Z (instanceRef hit_in_i_30)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_30_Channels)) + )) + (net ctrl_reg_75 (joined + (portRef ctrl_reg_75) (portRef B (instanceRef hit_in_i_23)) )) (net hit_in_i_23 (joined @@ -928302,37 +930074,16 @@ (portRef A (instanceRef hit_in_i_22)) (portRef A (instanceRef hit_in_i_23)) )) - (net ctrl_reg_50 (joined - (portRef ctrl_reg_50) + (net ctrl_reg_74 (joined + (portRef ctrl_reg_74) (portRef B (instanceRef hit_in_i_22)) )) (net hit_in_i_22 (joined (portRef Z (instanceRef hit_in_i_22)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_22_Channels)) )) - (net ctrl_reg_53 (joined - (portRef ctrl_reg_53) - (portRef B (instanceRef hit_in_i_25)) - )) - (net hit_in_i_25 (joined - (portRef Z (instanceRef hit_in_i_25)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_25_Channels)) - )) - (net INP_c_11 (joined - (portRef (member inp_c 3)) - (portRef A (instanceRef hit_in_i_24)) - (portRef A (instanceRef hit_in_i_25)) - )) - (net ctrl_reg_52 (joined - (portRef ctrl_reg_52) - (portRef B (instanceRef hit_in_i_24)) - )) - (net hit_in_i_24 (joined - (portRef Z (instanceRef hit_in_i_24)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_24_Channels)) - )) - (net ctrl_reg_55 (joined - (portRef ctrl_reg_55) + (net ctrl_reg_79 (joined + (portRef ctrl_reg_79) (portRef B (instanceRef hit_in_i_27)) )) (net hit_in_i_27 (joined @@ -928344,52 +930095,121 @@ (portRef A (instanceRef hit_in_i_26)) (portRef A (instanceRef hit_in_i_27)) )) - (net ctrl_reg_54 (joined - (portRef ctrl_reg_54) + (net ctrl_reg_78 (joined + (portRef ctrl_reg_78) (portRef B (instanceRef hit_in_i_26)) )) (net hit_in_i_26 (joined (portRef Z (instanceRef hit_in_i_26)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_26_Channels)) )) - (net ctrl_reg_59 (joined - (portRef ctrl_reg_59) - (portRef B (instanceRef hit_in_i_31)) + (net ctrl_reg_81 (joined + (portRef ctrl_reg_81) + (portRef B (instanceRef hit_in_i_29)) )) - (net hit_in_i_31 (joined - (portRef Z (instanceRef hit_in_i_31)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_31_Channels)) + (net hit_in_i_29 (joined + (portRef Z (instanceRef hit_in_i_29)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_29_Channels)) )) - (net INP_c_14 (joined - (portRef (member inp_c 0)) - (portRef A (instanceRef hit_in_i_30)) - (portRef A (instanceRef hit_in_i_31)) + (net INP_c_13 (joined + (portRef (member inp_c 1)) + (portRef A (instanceRef hit_in_i_28)) + (portRef A (instanceRef hit_in_i_29)) )) - (net ctrl_reg_58 (joined - (portRef ctrl_reg_58) - (portRef B (instanceRef hit_in_i_30)) + (net ctrl_reg_80 (joined + (portRef ctrl_reg_80) + (portRef B (instanceRef hit_in_i_28)) )) - (net hit_in_i_30 (joined - (portRef Z (instanceRef hit_in_i_30)) - (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_30_Channels)) + (net hit_in_i_28 (joined + (portRef Z (instanceRef hit_in_i_28)) + (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_28_Channels)) )) - (net ctrl_reg_29 (joined - (portRef ctrl_reg_29) + (net ctrl_reg_53 (joined + (portRef ctrl_reg_53) (portRef B (instanceRef hit_in_i_1)) )) (net hit_in_i_1 (joined (portRef Z (instanceRef hit_in_i_1)) (portRef (member hit_in_iZ0 0) (instanceRef GEN_Channels_1_Channels)) )) + (net trg_data_valid_i (joined + (portRef trg_data_valid_i) + (portRef D (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) + (portRef C (instanceRef fsm_debug_fsm_i_0_2_0)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_i_i_a2_11)) + (portRef B (instanceRef fsm_debug_fsm_i_0_a2_0_2)) + )) + (net fsm_debug_fsm_i_a3_0_a3_0_a2_0_3 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_a3_0_a3_0_a2_0_3)) + (portRef D (instanceRef fsm_debug_reg_RNO_3)) + )) + (net data_out_reg_22_0_iv_i_a3_0_0_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + )) (net un1_TW_pre_0 (joined (portRef Z (instanceRef un1_TW_pre_0)) - (portRef C (instanceRef Data_Out_MUX_data_wr_reg_4_m3)) + (portRef C (instanceRef Data_Out_MUX_data_wr_reg_4_m4)) )) - (net N_671 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_5_1)) - (portRef C (instanceRef fsm_debug_reg_RNO_3)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) + (net INP_c_6 (joined + (portRef (member inp_c 8)) + (portRef A (instanceRef N_2892_i)) + (portRef A (instanceRef hit_in_i_0_a3_15)) + )) + (net ctrl_reg_66 (joined + (portRef ctrl_reg_66) + (portRef B (instanceRef N_2892_i)) + )) + (net N_2892_i (joined + (portRef Z (instanceRef N_2892_i)) + (portRef N_2892_i (instanceRef GEN_Channels_14_Channels)) + )) + (net N_3067 (joined + (portRef Z (instanceRef wr_header_fsm_0_i_s_0_a2)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_9)) + )) + (net N_762 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_1_20)) + (portRef B (instanceRef mask_i_4_0_0_a2_4)) + (portRef B (instanceRef mask_i_4_0_0_a2_5)) + (portRef B (instanceRef mask_i_4_0_0_a2_6)) + (portRef B (instanceRef mask_i_4_0_0_a2_7)) + (portRef B (instanceRef mask_i_4_0_0_a2_12)) + (portRef B (instanceRef mask_i_4_0_0_a2_13)) + (portRef B (instanceRef mask_i_4_0_0_a2_14)) + (portRef B (instanceRef mask_i_4_0_0_a2_30)) + (portRef B (instanceRef mask_i_4_0_0_a2_20)) + (portRef B (instanceRef mask_i_4_0_0_a2_21)) + (portRef B (instanceRef mask_i_4_0_0_a2_22)) + (portRef B (instanceRef mask_i_4_0_0_a2_23)) + (portRef B (instanceRef mask_i_4_0_0_a2_28)) + (portRef B (instanceRef mask_i_4_0_0_a2_29)) + (portRef B (instanceRef mask_i_4_0_0_a2_15)) + (portRef B (instanceRef mask_i_4_0_0_a2_31)) + )) + (net N_761 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_1_25)) + (portRef B (instanceRef mask_i_4_0_0_a2_0)) + (portRef B (instanceRef mask_i_4_0_0_a2_1)) + (portRef B (instanceRef mask_i_4_0_0_a2_2)) + (portRef B (instanceRef mask_i_4_0_0_a2_3)) + (portRef B (instanceRef mask_i_4_0_0_a2_8)) + (portRef B (instanceRef mask_i_4_0_0_a2_9)) + (portRef B (instanceRef mask_i_4_0_0_a2_11)) + (portRef B (instanceRef mask_i_4_0_0_a2_19)) + (portRef B (instanceRef mask_i_4_0_0_a2_25)) + (portRef B (instanceRef mask_i_4_0_0_a2_26)) + (portRef B (instanceRef mask_i_4_0_0_a2_27)) + (portRef B (instanceRef mask_i_4_0_0_a2_10)) + (portRef B (instanceRef mask_i_4_0_0_a2_16)) + (portRef B (instanceRef mask_i_4_0_0_a2_17)) + (portRef B (instanceRef mask_i_4_0_0_a2_18)) + (portRef B (instanceRef mask_i_4_0_0_a2_24)) + )) + (net N_69 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) + (portRef D (instanceRef un1_wr_header_i_2_i_0_tz)) )) (net valid_notiming_trg_pulse (joined (portRef valid_notiming_trg_pulse (instanceRef edge_to_pulse_2)) @@ -928405,6 +930225,10 @@ (portRef Z (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0_RNO)) (portRef B0 (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) )) + (net FSM_CURRENT_srsts_i_0_0_a2_0_8 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_2_8)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_8)) + )) (net fifo_nr_hex_0_3 (joined (portRef (member fifo_nr_hex_0 0) (instanceRef GEN_0_ROM)) (portRef A (instanceRef fifo_nr_next_6_4)) @@ -928425,95 +930249,153 @@ )) (net trg_type_i_0 (joined (portRef (member trg_type_i 3)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) )) (net trg_type_i_1 (joined (portRef (member trg_type_i 2)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) + (portRef B (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) )) (net trg_type_i_2 (joined (portRef (member trg_type_i 1)) - (portRef C (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) + (portRef C (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) )) (net trg_type_i_3 (joined (portRef (member trg_type_i 0)) - (portRef D (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) + (portRef D (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) )) - (net N_2434 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_a2_0_8)) - (portRef B (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_m2_0)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) + (net N_681_0 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_i_o2_0_8)) + (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_9)) + (portRef B (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef A (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) )) - (net N_556 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_3_0)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_0)) + (net channel_full_i_8 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_8_Channels)) + (portRef A (instanceRef fifo_full_i_8)) )) - (net channel_full_i_4 (joined - (portRef (member channel_full_i 0) (instanceRef GEN_Channels_4_Channels)) - (portRef A (instanceRef fifo_full_i_4)) + (net channel_full_i_9 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_9_Channels)) + (portRef B (instanceRef fifo_full_i_8)) )) - (net channel_full_i_5 (joined - (portRef (member channel_full_i 0) (instanceRef GEN_Channels_5_Channels)) - (portRef B (instanceRef fifo_full_i_4)) + (net channel_full_i_10 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_10_Channels)) + (portRef C (instanceRef fifo_full_i_8)) )) - (net channel_full_i_6 (joined - (portRef (member channel_full_i 0) (instanceRef GEN_Channels_6_Channels)) - (portRef C (instanceRef fifo_full_i_4)) + (net channel_full_i_11 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_11_Channels)) + (portRef D (instanceRef fifo_full_i_8)) )) - (net channel_full_i_7 (joined - (portRef (member channel_full_i 0) (instanceRef GEN_Channels_7_Channels)) - (portRef D (instanceRef fifo_full_i_4)) + (net fifo_full_i_8 (joined + (portRef Z (instanceRef fifo_full_i_8)) + (portRef A (instanceRef fifo_full_i)) + )) + (net channel_full_i_12 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_12_Channels)) + (portRef A (instanceRef fifo_full_i_9)) + )) + (net channel_full_i_13 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_13_Channels)) + (portRef B (instanceRef fifo_full_i_9)) + )) + (net channel_full_i_14 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_14_Channels)) + (portRef C (instanceRef fifo_full_i_9)) )) - (net fifo_full_i_4 (joined - (portRef Z (instanceRef fifo_full_i_4)) - (portRef B (instanceRef data_out_reg_RNO_1)) + (net channel_full_i_15 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_15_Channels)) + (portRef D (instanceRef fifo_full_i_9)) + )) + (net fifo_full_i_9 (joined + (portRef Z (instanceRef fifo_full_i_9)) + (portRef B (instanceRef fifo_full_i)) )) (net channel_full_i_0 (joined (portRef (member channel_full_i 0) (instanceRef The_Reference_Time)) - (portRef A (instanceRef fifo_full_i_5)) + (portRef A (instanceRef fifo_full_i_10)) )) (net channel_full_i_1 (joined (portRef (member channel_full_i 0) (instanceRef GEN_Channels_1_Channels)) - (portRef B (instanceRef fifo_full_i_5)) + (portRef B (instanceRef fifo_full_i_10)) )) (net channel_full_i_2 (joined (portRef (member channel_full_i 0) (instanceRef GEN_Channels_2_Channels)) - (portRef C (instanceRef fifo_full_i_5)) + (portRef C (instanceRef fifo_full_i_10)) )) (net channel_full_i_3 (joined (portRef (member channel_full_i 0) (instanceRef GEN_Channels_3_Channels)) - (portRef D (instanceRef fifo_full_i_5)) + (portRef D (instanceRef fifo_full_i_10)) )) - (net fifo_full_i_5 (joined - (portRef Z (instanceRef fifo_full_i_5)) - (portRef C (instanceRef data_out_reg_RNO_1)) + (net fifo_full_i_10 (joined + (portRef Z (instanceRef fifo_full_i_10)) + (portRef C (instanceRef fifo_full_i)) )) - (net wait_fsm_i_a3_0_a2_0_a2_0 (joined - (portRef Z (instanceRef wait_fsm_i_a3_0_a2_0_a2_0_0)) - (portRef D (instanceRef wait_i_RNO)) + (net channel_full_i_4 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_4_Channels)) + (portRef A (instanceRef fifo_full_i_11)) )) - (net FSM_CURRENT_srsts_i_o2_3_2 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_o2_3_2)) - (portRef D (instanceRef FSM_CURRENT_srsts_i_1_0_a2_0_a2_2)) - (portRef D (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef A (instanceRef FSM_CURRENT_srsts_i_o2_2)) + (net channel_full_i_5 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_5_Channels)) + (portRef B (instanceRef fifo_full_i_11)) )) - (net fsm_debug_fsm_a3_0_a2_0_a2_2_4 (joined - (portRef Z (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_2_4)) - (portRef D (instanceRef fsm_debug_fsm_a3_0_a2_0_a2_4)) + (net channel_full_i_6 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_6_Channels)) + (portRef C (instanceRef fifo_full_i_11)) )) - (net fsm_debug_fsm_i_0_1_2 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_0_1_2)) - (portRef B (instanceRef fsm_debug_fsm_i_0_2)) + (net channel_full_i_7 (joined + (portRef (member channel_full_i 0) (instanceRef GEN_Channels_7_Channels)) + (portRef D (instanceRef fifo_full_i_11)) )) - (net fsm_debug_fsm_i_a3_0_a2_4_a2_1_3 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_a3_0_a2_4_a2_1_3)) - (portRef D (instanceRef fsm_debug_reg_RNO_3)) + (net fifo_full_i_11 (joined + (portRef Z (instanceRef fifo_full_i_11)) + (portRef D (instanceRef fifo_full_i)) + )) + (net un5_wr_status_i_30_8 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30_8)) + (portRef A (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + )) + (net un5_wr_status_i_30_9 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30_9)) + (portRef B (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + )) + (net un5_wr_status_i_30_10 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30_10)) + (portRef C (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + )) + (net un5_wr_status_i_30_11 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30_11)) + (portRef D (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + )) + (net un1_wr_header_i_2_i_o2_1_6 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_1_6)) + (portRef A (instanceRef un1_wr_header_i_2_i_o2_1)) + )) + (net un1_wr_header_i_2_i_o2_1_7 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_1_7)) + (portRef B (instanceRef un1_wr_header_i_2_i_o2_1)) + )) + (net un1_wr_header_i_2_i_o2_1_8 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_1_8)) + (portRef C (instanceRef un1_wr_header_i_2_i_o2_1)) + )) + (net fsm_debug_fsm_i_0_0_0 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_0_0)) + (portRef B (instanceRef fsm_debug_fsm_i_0_4_0)) + )) + (net stat_reg_198 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_6)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef stat_reg_198) + )) + (net stat_reg_326 (joined + (portRef Q (instanceRef wrong_readout_number_6)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef stat_reg_326) )) - (net fsm_debug_fsm_i_i_0_a2_2_1 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_1)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) + (net data_out_reg_22_0_iv_i_a3_0_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_0_0_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) )) (net un1_trg_win_cnt_up_i_cry_0_0_S1 (joined (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_0_0)) @@ -928521,7 +930403,7 @@ )) (net reset_i_fast_r10 (joined (portRef reset_i_fast_r10) - (portRef D (instanceRef trg_win_cnt_up_i_2_0)) + (portRef D (instanceRef trg_win_cnt_up_i_1_0)) )) (net fifo_nr_hex_1_0 (joined (portRef (member fifo_nr_hex_1 3) (instanceRef GEN_1_ROM)) @@ -928595,112 +930477,257 @@ (portRef Z (instanceRef fifo_nr_next_6_m2_2)) (portRef C (instanceRef fifo_nr_next_6_2)) )) - (net un8_channel_empty_reg_21_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2)) + (net data_out_reg_3_7_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) )) - (net un8_channel_empty_reg_21_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2)) + (net data_out_reg_3_7_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) )) - (net N_430 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m2)) + (net N_1365 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) )) - (net un8_channel_empty_reg_18_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2)) + (net data_out_reg_3_7_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) )) - (net un8_channel_empty_reg_18_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2)) + (net data_out_reg_3_7_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) )) - (net N_429 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m2)) + (net N_1366 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) )) - (net un8_channel_empty_reg_13_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2)) + (net data_out_reg_3_11_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) )) - (net un8_channel_empty_reg_13_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2)) + (net data_out_reg_3_11_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) )) - (net N_428 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m2)) + (net N_1493 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) )) - (net un8_channel_empty_reg_10_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2)) + (net data_out_reg_3_11_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) )) - (net un8_channel_empty_reg_10_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2)) + (net data_out_reg_3_11_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) )) - (net N_427 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m2)) + (net N_1494 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) )) - (net un8_channel_empty_reg_6_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2)) + (net data_out_reg_3_14_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) )) - (net un8_channel_empty_reg_6_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2)) + (net data_out_reg_3_14_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) )) - (net N_426 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m2)) + (net N_1589 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) )) - (net un8_channel_empty_reg_28_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2)) + (net data_out_reg_3_14_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) )) - (net un8_channel_empty_reg_28_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2)) + (net data_out_reg_3_14_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) )) - (net N_425 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m2)) + (net N_1590 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) )) - (net un8_channel_empty_reg_25_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2)) + (net data_out_reg_3_19_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) )) - (net un8_channel_empty_reg_25_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2)) + (net data_out_reg_3_19_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) )) - (net N_424 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m2)) + (net N_1749 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) )) - (net un8_channel_empty_reg_3_i_m2_am (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_am)) - (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2)) + (net data_out_reg_3_19_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) )) - (net un8_channel_empty_reg_3_i_m2_bm (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2_bm)) - (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2)) + (net data_out_reg_3_19_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) )) - (net N_420 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m2)) + (net N_1750 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) )) - (net trg_notiming_valid_i (joined - (portRef trg_notiming_valid_i) - (portRef trg_notiming_valid_i (instanceRef edge_to_pulse_2)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef D (instanceRef fsm_debug_fsm_i_0_2)) - (portRef D (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_m2_0)) - (portRef C (instanceRef FSM_CURRENT_srsts_0_a3_0_a2_0_a2_9)) - (portRef C (instanceRef wr_header_fsm_0_i_s_0)) - (portRef A (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + (net data_out_reg_3_22_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + )) + (net data_out_reg_3_22_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + )) + (net N_1845 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) + )) + (net data_out_reg_3_22_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) + )) + (net data_out_reg_3_22_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) + )) + (net N_1846 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) + )) + (net data_out_reg_3_26_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) + )) + (net data_out_reg_3_26_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) + )) + (net N_1973 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) + )) + (net data_out_reg_3_26_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) + )) + (net data_out_reg_3_26_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) + )) + (net N_1974 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) + )) + (net data_out_reg_3_29_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) + )) + (net data_out_reg_3_29_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) + )) + (net N_2069 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) + )) + (net un8_channel_empty_reg_13_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_13_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2)) + )) + (net N_589 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_13_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_10_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_10_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2)) + )) + (net N_588 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_10_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_6_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_6_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2)) + )) + (net N_587 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_6_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_3_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_3_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2)) + )) + (net N_586 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_3_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_28_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_28_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2)) + )) + (net N_570 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_28_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_25_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_25_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2)) + )) + (net N_569 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_25_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_21_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_21_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2)) + )) + (net N_567 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_21_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_18_i_m3_i_m2_am (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_am)) + (portRef BLUT (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2)) + )) + (net un8_channel_empty_reg_18_i_m3_i_m2_bm (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2_bm)) + (portRef ALUT (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2)) + )) + (net N_566 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_18_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2)) )) (net un7_empty_channels_28_am (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28_am)) @@ -928710,7 +930737,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28)) )) - (net N_2469 (joined + (net N_3150 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_28)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_29)) )) @@ -928722,7 +930749,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_25_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_25)) )) - (net N_2466 (joined + (net N_3147 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_25)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_29)) )) @@ -928734,7 +930761,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_21_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_21)) )) - (net N_2463 (joined + (net N_3144 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_21)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_22)) )) @@ -928746,7 +930773,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_18_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_18)) )) - (net N_2460 (joined + (net N_3141 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_18)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_22)) )) @@ -928758,7 +930785,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_13_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_13)) )) - (net N_2457 (joined + (net N_3138 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_13)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_14)) )) @@ -928770,7 +930797,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_10_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_10)) )) - (net N_2454 (joined + (net N_3135 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_10)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_14)) )) @@ -928782,7 +930809,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6)) )) - (net N_2451 (joined + (net N_3132 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_6)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_7)) )) @@ -928794,7 +930821,7 @@ (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3_bm)) (portRef ALUT (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3)) )) - (net N_2448 (joined + (net N_3129 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_3)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_7)) )) @@ -928806,22 +930833,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_31)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_31)) )) - (net N_1959 (joined + (net N_2070 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_31)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) )) - (net data_out_reg_3_29_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) - )) - (net data_out_reg_3_29_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) - )) - (net N_1958 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) - )) (net data_out_reg_3_29_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) @@ -928830,7 +930845,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) )) - (net N_1957 (joined + (net N_2068 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) )) @@ -928842,7 +930857,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_28)) )) - (net N_1956 (joined + (net N_2067 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_28)) )) @@ -928854,7 +930869,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_27)) )) - (net N_1955 (joined + (net N_2066 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_27)) )) @@ -928866,7 +930881,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_26)) )) - (net N_1954 (joined + (net N_2065 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_26)) )) @@ -928878,7 +930893,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_25)) )) - (net N_1953 (joined + (net N_2064 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_25)) )) @@ -928890,7 +930905,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_24)) )) - (net N_1952 (joined + (net N_2063 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_24)) )) @@ -928902,7 +930917,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_23)) )) - (net N_1951 (joined + (net N_2062 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_23)) )) @@ -928914,7 +930929,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_22)) )) - (net N_1950 (joined + (net N_2061 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_22)) )) @@ -928926,7 +930941,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_21)) )) - (net N_1949 (joined + (net N_2060 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_21)) )) @@ -928938,7 +930953,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_20)) )) - (net N_1948 (joined + (net N_2059 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_20)) )) @@ -928950,7 +930965,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_19)) )) - (net N_1947 (joined + (net N_2058 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_19)) )) @@ -928962,7 +930977,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_18)) )) - (net N_1946 (joined + (net N_2057 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_18)) )) @@ -928974,7 +930989,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_17)) )) - (net N_1945 (joined + (net N_2056 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_17)) )) @@ -928986,7 +931001,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_16)) )) - (net N_1944 (joined + (net N_2055 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_16)) )) @@ -928998,7 +931013,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_15)) )) - (net N_1943 (joined + (net N_2054 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_15)) )) @@ -929010,7 +931025,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_14)) )) - (net N_1942 (joined + (net N_2053 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_14)) )) @@ -929022,7 +931037,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_13)) )) - (net N_1941 (joined + (net N_2052 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_13)) )) @@ -929034,7 +931049,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_12)) )) - (net N_1940 (joined + (net N_2051 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_12)) )) @@ -929046,7 +931061,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_11)) )) - (net N_1939 (joined + (net N_2050 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_11)) )) @@ -929058,7 +931073,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_10)) )) - (net N_1938 (joined + (net N_2049 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_10)) )) @@ -929070,7 +931085,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_9)) )) - (net N_1937 (joined + (net N_2048 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_9)) )) @@ -929082,7 +931097,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_8)) )) - (net N_1936 (joined + (net N_2047 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_8)) )) @@ -929094,7 +931109,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_7)) )) - (net N_1935 (joined + (net N_2046 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_7)) )) @@ -929106,7 +931121,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_6)) )) - (net N_1934 (joined + (net N_2045 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_6)) )) @@ -929118,7 +931133,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_5)) )) - (net N_1933 (joined + (net N_2044 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_5)) )) @@ -929130,7 +931145,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_4)) )) - (net N_1932 (joined + (net N_2043 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_4)) )) @@ -929142,7 +931157,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_3)) )) - (net N_1931 (joined + (net N_2042 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_3)) )) @@ -929154,7 +931169,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_2)) )) - (net N_1930 (joined + (net N_2041 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_2)) )) @@ -929166,9 +931181,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_1)) )) - (net N_1929 (joined + (net N_2040 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_31_bm_1)) )) (net data_out_reg_3_29_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_am_0)) @@ -929178,34 +931193,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_29_0)) )) - (net N_1928 (joined + (net N_2039 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_29_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) )) - (net data_out_reg_3_26_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) - )) - (net data_out_reg_3_26_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) - )) - (net N_1863 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) - )) - (net data_out_reg_3_26_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) - )) - (net data_out_reg_3_26_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) - )) - (net N_1862 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) - )) (net data_out_reg_3_26_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_29)) @@ -929214,7 +931205,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_29)) )) - (net N_1861 (joined + (net N_1972 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) )) @@ -929226,7 +931217,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_28)) )) - (net N_1860 (joined + (net N_1971 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_28)) )) @@ -929238,7 +931229,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_27)) )) - (net N_1859 (joined + (net N_1970 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_27)) )) @@ -929250,7 +931241,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_26)) )) - (net N_1858 (joined + (net N_1969 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_26)) )) @@ -929262,7 +931253,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_25)) )) - (net N_1857 (joined + (net N_1968 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_25)) )) @@ -929274,7 +931265,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_24)) )) - (net N_1856 (joined + (net N_1967 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_24)) )) @@ -929286,7 +931277,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_23)) )) - (net N_1855 (joined + (net N_1966 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_23)) )) @@ -929298,7 +931289,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_22)) )) - (net N_1854 (joined + (net N_1965 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_22)) )) @@ -929310,7 +931301,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_21)) )) - (net N_1853 (joined + (net N_1964 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_21)) )) @@ -929322,7 +931313,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_20)) )) - (net N_1852 (joined + (net N_1963 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_20)) )) @@ -929334,7 +931325,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_19)) )) - (net N_1851 (joined + (net N_1962 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_19)) )) @@ -929346,7 +931337,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_18)) )) - (net N_1850 (joined + (net N_1961 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_18)) )) @@ -929358,7 +931349,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_17)) )) - (net N_1849 (joined + (net N_1960 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_17)) )) @@ -929370,7 +931361,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_16)) )) - (net N_1848 (joined + (net N_1959 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_16)) )) @@ -929382,7 +931373,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_15)) )) - (net N_1847 (joined + (net N_1958 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_15)) )) @@ -929394,7 +931385,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_14)) )) - (net N_1846 (joined + (net N_1957 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_14)) )) @@ -929406,7 +931397,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_13)) )) - (net N_1845 (joined + (net N_1956 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_13)) )) @@ -929418,7 +931409,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_12)) )) - (net N_1844 (joined + (net N_1955 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_12)) )) @@ -929430,7 +931421,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_11)) )) - (net N_1843 (joined + (net N_1954 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_11)) )) @@ -929442,7 +931433,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_10)) )) - (net N_1842 (joined + (net N_1953 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_10)) )) @@ -929454,7 +931445,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_9)) )) - (net N_1841 (joined + (net N_1952 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_9)) )) @@ -929466,7 +931457,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_8)) )) - (net N_1840 (joined + (net N_1951 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_8)) )) @@ -929478,7 +931469,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_7)) )) - (net N_1839 (joined + (net N_1950 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_7)) )) @@ -929490,7 +931481,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_6)) )) - (net N_1838 (joined + (net N_1949 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_6)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_6)) )) @@ -929502,7 +931493,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_5)) )) - (net N_1837 (joined + (net N_1948 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_5)) )) @@ -929514,7 +931505,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_4)) )) - (net N_1836 (joined + (net N_1947 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_4)) )) @@ -929526,7 +931517,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_3)) )) - (net N_1835 (joined + (net N_1946 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_3)) )) @@ -929538,7 +931529,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_2)) )) - (net N_1834 (joined + (net N_1945 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_2)) )) @@ -929550,45 +931541,21 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_1)) )) - (net N_1833 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_1)) - )) - (net data_out_reg_3_26_am_0 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_0)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) - )) - (net data_out_reg_3_26_bm_0 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) - )) - (net N_1832 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) - )) - (net data_out_reg_3_22_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) - )) - (net data_out_reg_3_22_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) - )) - (net N_1735 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_31)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) + (net N_1944 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_31_bm_1)) )) - (net data_out_reg_3_22_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + (net data_out_reg_3_26_am_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_am_0)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) )) - (net data_out_reg_3_22_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) + (net data_out_reg_3_26_bm_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_bm_0)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) )) - (net N_1734 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) + (net N_1943 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_26_0)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) )) (net data_out_reg_3_22_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_29)) @@ -929598,7 +931565,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_29)) )) - (net N_1733 (joined + (net N_1844 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_29)) )) @@ -929610,7 +931577,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_28)) )) - (net N_1732 (joined + (net N_1843 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_28)) )) @@ -929622,7 +931589,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_27)) )) - (net N_1731 (joined + (net N_1842 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_27)) )) @@ -929634,7 +931601,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_26)) )) - (net N_1730 (joined + (net N_1841 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_26)) )) @@ -929646,7 +931613,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_25)) )) - (net N_1729 (joined + (net N_1840 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_25)) )) @@ -929658,7 +931625,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_24)) )) - (net N_1728 (joined + (net N_1839 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_24)) )) @@ -929670,7 +931637,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_23)) )) - (net N_1727 (joined + (net N_1838 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_23)) )) @@ -929682,7 +931649,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_22)) )) - (net N_1726 (joined + (net N_1837 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_22)) )) @@ -929694,7 +931661,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_21)) )) - (net N_1725 (joined + (net N_1836 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_21)) )) @@ -929706,7 +931673,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_20)) )) - (net N_1724 (joined + (net N_1835 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_20)) )) @@ -929718,7 +931685,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_19)) )) - (net N_1723 (joined + (net N_1834 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_19)) )) @@ -929730,7 +931697,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_18)) )) - (net N_1722 (joined + (net N_1833 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_18)) )) @@ -929742,7 +931709,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_17)) )) - (net N_1721 (joined + (net N_1832 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_17)) )) @@ -929754,7 +931721,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_16)) )) - (net N_1720 (joined + (net N_1831 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_16)) )) @@ -929766,7 +931733,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_15)) )) - (net N_1719 (joined + (net N_1830 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_15)) )) @@ -929778,7 +931745,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_14)) )) - (net N_1718 (joined + (net N_1829 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_14)) )) @@ -929790,7 +931757,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_13)) )) - (net N_1717 (joined + (net N_1828 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_13)) )) @@ -929802,7 +931769,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_12)) )) - (net N_1716 (joined + (net N_1827 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_12)) )) @@ -929814,7 +931781,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_11)) )) - (net N_1715 (joined + (net N_1826 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_11)) )) @@ -929826,7 +931793,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_10)) )) - (net N_1714 (joined + (net N_1825 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_10)) )) @@ -929838,7 +931805,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_9)) )) - (net N_1713 (joined + (net N_1824 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_9)) )) @@ -929850,7 +931817,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_8)) )) - (net N_1712 (joined + (net N_1823 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_8)) )) @@ -929862,7 +931829,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_7)) )) - (net N_1711 (joined + (net N_1822 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_7)) )) @@ -929874,7 +931841,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_6)) )) - (net N_1710 (joined + (net N_1821 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) )) @@ -929886,7 +931853,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_5)) )) - (net N_1709 (joined + (net N_1820 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_5)) )) @@ -929898,7 +931865,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_4)) )) - (net N_1708 (joined + (net N_1819 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_4)) )) @@ -929910,7 +931877,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_3)) )) - (net N_1707 (joined + (net N_1818 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_3)) )) @@ -929922,7 +931889,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_2)) )) - (net N_1706 (joined + (net N_1817 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_2)) )) @@ -929934,9 +931901,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_1)) )) - (net N_1705 (joined + (net N_1816 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_31_am_1)) )) (net data_out_reg_3_22_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_am_0)) @@ -929946,34 +931913,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_22_0)) )) - (net N_1704 (joined + (net N_1815 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_22_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) )) - (net data_out_reg_3_19_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) - )) - (net data_out_reg_3_19_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) - )) - (net N_1639 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) - )) - (net data_out_reg_3_19_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) - )) - (net data_out_reg_3_19_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) - )) - (net N_1638 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) - )) (net data_out_reg_3_19_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) @@ -929982,7 +931925,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) )) - (net N_1637 (joined + (net N_1748 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_29)) )) @@ -929994,7 +931937,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_28)) )) - (net N_1636 (joined + (net N_1747 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_28)) )) @@ -930006,7 +931949,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_27)) )) - (net N_1635 (joined + (net N_1746 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_27)) )) @@ -930018,7 +931961,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_26)) )) - (net N_1634 (joined + (net N_1745 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_26)) )) @@ -930030,7 +931973,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_25)) )) - (net N_1633 (joined + (net N_1744 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_25)) )) @@ -930042,7 +931985,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_24)) )) - (net N_1632 (joined + (net N_1743 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_24)) )) @@ -930054,7 +931997,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_23)) )) - (net N_1631 (joined + (net N_1742 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_23)) )) @@ -930066,7 +932009,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_22)) )) - (net N_1630 (joined + (net N_1741 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_22)) )) @@ -930078,7 +932021,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_21)) )) - (net N_1629 (joined + (net N_1740 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_21)) )) @@ -930090,7 +932033,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_20)) )) - (net N_1628 (joined + (net N_1739 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_20)) )) @@ -930102,7 +932045,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_19)) )) - (net N_1627 (joined + (net N_1738 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_19)) )) @@ -930114,7 +932057,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_18)) )) - (net N_1626 (joined + (net N_1737 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_18)) )) @@ -930126,7 +932069,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_17)) )) - (net N_1625 (joined + (net N_1736 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_17)) )) @@ -930138,7 +932081,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_16)) )) - (net N_1624 (joined + (net N_1735 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_16)) )) @@ -930150,7 +932093,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_15)) )) - (net N_1623 (joined + (net N_1734 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_15)) )) @@ -930162,7 +932105,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_14)) )) - (net N_1622 (joined + (net N_1733 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_14)) )) @@ -930174,7 +932117,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_13)) )) - (net N_1621 (joined + (net N_1732 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_13)) )) @@ -930186,7 +932129,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_12)) )) - (net N_1620 (joined + (net N_1731 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_12)) )) @@ -930198,7 +932141,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_11)) )) - (net N_1619 (joined + (net N_1730 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_11)) )) @@ -930210,7 +932153,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_10)) )) - (net N_1618 (joined + (net N_1729 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_10)) )) @@ -930222,7 +932165,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_9)) )) - (net N_1617 (joined + (net N_1728 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_9)) )) @@ -930234,7 +932177,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_8)) )) - (net N_1616 (joined + (net N_1727 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_8)) )) @@ -930246,7 +932189,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_7)) )) - (net N_1615 (joined + (net N_1726 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_7)) )) @@ -930258,7 +932201,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_6)) )) - (net N_1614 (joined + (net N_1725 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_6)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) )) @@ -930270,7 +932213,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_5)) )) - (net N_1613 (joined + (net N_1724 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_5)) )) @@ -930282,7 +932225,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_4)) )) - (net N_1612 (joined + (net N_1723 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_4)) )) @@ -930294,7 +932237,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_3)) )) - (net N_1611 (joined + (net N_1722 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_3)) )) @@ -930306,7 +932249,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_2)) )) - (net N_1610 (joined + (net N_1721 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_2)) )) @@ -930318,9 +932261,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_1)) )) - (net N_1609 (joined + (net N_1720 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_31_am_1)) )) (net data_out_reg_3_19_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_am_0)) @@ -930330,34 +932273,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_19_0)) )) - (net N_1608 (joined + (net N_1719 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_19_0)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) )) - (net data_out_reg_3_14_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) - )) - (net data_out_reg_3_14_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) - )) - (net N_1479 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_31)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) - )) - (net data_out_reg_3_14_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) - )) - (net data_out_reg_3_14_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) - )) - (net N_1478 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) - )) (net data_out_reg_3_14_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) @@ -930366,7 +932285,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) )) - (net N_1477 (joined + (net N_1588 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_29)) )) @@ -930378,7 +932297,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_28)) )) - (net N_1476 (joined + (net N_1587 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_28)) )) @@ -930390,7 +932309,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_27)) )) - (net N_1475 (joined + (net N_1586 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_27)) )) @@ -930402,7 +932321,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_26)) )) - (net N_1474 (joined + (net N_1585 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_26)) )) @@ -930414,7 +932333,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_25)) )) - (net N_1473 (joined + (net N_1584 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_25)) )) @@ -930426,7 +932345,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_24)) )) - (net N_1472 (joined + (net N_1583 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_24)) )) @@ -930438,7 +932357,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_23)) )) - (net N_1471 (joined + (net N_1582 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_23)) )) @@ -930450,7 +932369,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_22)) )) - (net N_1470 (joined + (net N_1581 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_22)) )) @@ -930462,7 +932381,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_21)) )) - (net N_1469 (joined + (net N_1580 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_21)) )) @@ -930474,7 +932393,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_20)) )) - (net N_1468 (joined + (net N_1579 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_20)) )) @@ -930486,7 +932405,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_19)) )) - (net N_1467 (joined + (net N_1578 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_19)) )) @@ -930498,7 +932417,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_18)) )) - (net N_1466 (joined + (net N_1577 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_18)) )) @@ -930510,7 +932429,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_17)) )) - (net N_1465 (joined + (net N_1576 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_17)) )) @@ -930522,7 +932441,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_16)) )) - (net N_1464 (joined + (net N_1575 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_16)) )) @@ -930534,7 +932453,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_15)) )) - (net N_1463 (joined + (net N_1574 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_15)) )) @@ -930546,7 +932465,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_14)) )) - (net N_1462 (joined + (net N_1573 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_14)) )) @@ -930558,7 +932477,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_13)) )) - (net N_1461 (joined + (net N_1572 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_13)) )) @@ -930570,7 +932489,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_12)) )) - (net N_1460 (joined + (net N_1571 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_12)) )) @@ -930582,7 +932501,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_11)) )) - (net N_1459 (joined + (net N_1570 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_11)) )) @@ -930594,7 +932513,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_10)) )) - (net N_1458 (joined + (net N_1569 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_10)) )) @@ -930606,7 +932525,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_9)) )) - (net N_1457 (joined + (net N_1568 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_9)) )) @@ -930618,7 +932537,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_8)) )) - (net N_1456 (joined + (net N_1567 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_8)) )) @@ -930630,7 +932549,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_7)) )) - (net N_1455 (joined + (net N_1566 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_7)) )) @@ -930642,7 +932561,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_6)) )) - (net N_1454 (joined + (net N_1565 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) )) @@ -930654,7 +932573,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_5)) )) - (net N_1453 (joined + (net N_1564 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_5)) )) @@ -930666,7 +932585,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_4)) )) - (net N_1452 (joined + (net N_1563 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_4)) )) @@ -930678,7 +932597,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_3)) )) - (net N_1451 (joined + (net N_1562 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_3)) )) @@ -930690,7 +932609,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_2)) )) - (net N_1450 (joined + (net N_1561 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_2)) )) @@ -930702,9 +932621,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_1)) )) - (net N_1449 (joined + (net N_1560 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_16_bm_1)) )) (net data_out_reg_3_14_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_am_0)) @@ -930714,34 +932633,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_14_0)) )) - (net N_1448 (joined + (net N_1559 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_14_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) )) - (net data_out_reg_3_11_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) - )) - (net data_out_reg_3_11_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) - )) - (net N_1383 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) - )) - (net data_out_reg_3_11_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) - )) - (net data_out_reg_3_11_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) - )) - (net N_1382 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) - )) (net data_out_reg_3_11_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) @@ -930750,7 +932645,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) )) - (net N_1381 (joined + (net N_1492 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_29)) )) @@ -930762,7 +932657,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_28)) )) - (net N_1380 (joined + (net N_1491 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_28)) )) @@ -930774,7 +932669,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_27)) )) - (net N_1379 (joined + (net N_1490 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_27)) )) @@ -930786,7 +932681,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_26)) )) - (net N_1378 (joined + (net N_1489 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_26)) )) @@ -930798,7 +932693,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_25)) )) - (net N_1377 (joined + (net N_1488 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_25)) )) @@ -930810,7 +932705,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_24)) )) - (net N_1376 (joined + (net N_1487 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_24)) )) @@ -930822,7 +932717,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_23)) )) - (net N_1375 (joined + (net N_1486 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_23)) )) @@ -930834,7 +932729,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_22)) )) - (net N_1374 (joined + (net N_1485 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_22)) )) @@ -930846,7 +932741,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_21)) )) - (net N_1373 (joined + (net N_1484 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_21)) )) @@ -930858,7 +932753,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_20)) )) - (net N_1372 (joined + (net N_1483 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_20)) )) @@ -930870,7 +932765,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_19)) )) - (net N_1371 (joined + (net N_1482 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_19)) )) @@ -930882,7 +932777,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_18)) )) - (net N_1370 (joined + (net N_1481 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_18)) )) @@ -930894,7 +932789,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_17)) )) - (net N_1369 (joined + (net N_1480 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_17)) )) @@ -930906,7 +932801,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_16)) )) - (net N_1368 (joined + (net N_1479 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_16)) )) @@ -930918,7 +932813,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_15)) )) - (net N_1367 (joined + (net N_1478 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_15)) )) @@ -930930,7 +932825,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_14)) )) - (net N_1366 (joined + (net N_1477 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_14)) )) @@ -930942,7 +932837,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_13)) )) - (net N_1365 (joined + (net N_1476 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_13)) )) @@ -930954,7 +932849,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_12)) )) - (net N_1364 (joined + (net N_1475 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_12)) )) @@ -930966,7 +932861,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_11)) )) - (net N_1363 (joined + (net N_1474 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_11)) )) @@ -930978,7 +932873,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_10)) )) - (net N_1362 (joined + (net N_1473 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_10)) )) @@ -930990,7 +932885,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_9)) )) - (net N_1361 (joined + (net N_1472 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_9)) )) @@ -931002,7 +932897,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_8)) )) - (net N_1360 (joined + (net N_1471 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_8)) )) @@ -931014,7 +932909,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_7)) )) - (net N_1359 (joined + (net N_1470 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_7)) )) @@ -931026,7 +932921,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_6)) )) - (net N_1358 (joined + (net N_1469 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_6)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) )) @@ -931038,7 +932933,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_5)) )) - (net N_1357 (joined + (net N_1468 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_5)) )) @@ -931050,7 +932945,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_4)) )) - (net N_1356 (joined + (net N_1467 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_4)) )) @@ -931062,7 +932957,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_3)) )) - (net N_1355 (joined + (net N_1466 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_3)) )) @@ -931074,7 +932969,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_2)) )) - (net N_1354 (joined + (net N_1465 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_2)) )) @@ -931086,9 +932981,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_1)) )) - (net N_1353 (joined + (net N_1464 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_16_bm_1)) )) (net data_out_reg_3_11_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_am_0)) @@ -931098,34 +932993,10 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_11_0)) )) - (net N_1352 (joined + (net N_1463 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_11_0)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) )) - (net data_out_reg_3_7_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) - )) - (net data_out_reg_3_7_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) - )) - (net N_1255 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_31)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) - )) - (net data_out_reg_3_7_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) - )) - (net data_out_reg_3_7_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) - )) - (net N_1254 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) - )) (net data_out_reg_3_7_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_29)) (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) @@ -931134,7 +933005,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) )) - (net N_1253 (joined + (net N_1364 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_29)) )) @@ -931146,7 +933017,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_28)) )) - (net N_1252 (joined + (net N_1363 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_28)) )) @@ -931158,7 +933029,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_27)) )) - (net N_1251 (joined + (net N_1362 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_27)) )) @@ -931170,7 +933041,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_26)) )) - (net N_1250 (joined + (net N_1361 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_26)) )) @@ -931182,7 +933053,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_25)) )) - (net N_1249 (joined + (net N_1360 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_25)) )) @@ -931194,7 +933065,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_24)) )) - (net N_1248 (joined + (net N_1359 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_24)) )) @@ -931206,7 +933077,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_23)) )) - (net N_1247 (joined + (net N_1358 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_23)) )) @@ -931218,7 +933089,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_22)) )) - (net N_1246 (joined + (net N_1357 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_22)) )) @@ -931230,7 +933101,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_21)) )) - (net N_1245 (joined + (net N_1356 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_21)) )) @@ -931242,7 +933113,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_20)) )) - (net N_1244 (joined + (net N_1355 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_20)) )) @@ -931254,7 +933125,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_19)) )) - (net N_1243 (joined + (net N_1354 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_19)) )) @@ -931266,7 +933137,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_18)) )) - (net N_1242 (joined + (net N_1353 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_18)) )) @@ -931278,7 +933149,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_17)) )) - (net N_1241 (joined + (net N_1352 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_17)) )) @@ -931290,7 +933161,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_16)) )) - (net N_1240 (joined + (net N_1351 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_16)) )) @@ -931302,7 +933173,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_15)) )) - (net N_1239 (joined + (net N_1350 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_15)) )) @@ -931314,7 +933185,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_14)) )) - (net N_1238 (joined + (net N_1349 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_14)) )) @@ -931326,7 +933197,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_13)) )) - (net N_1237 (joined + (net N_1348 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_13)) )) @@ -931338,7 +933209,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_12)) )) - (net N_1236 (joined + (net N_1347 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_12)) )) @@ -931350,7 +933221,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_11)) )) - (net N_1235 (joined + (net N_1346 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_11)) )) @@ -931362,7 +933233,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_10)) )) - (net N_1234 (joined + (net N_1345 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_10)) )) @@ -931374,7 +933245,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_9)) )) - (net N_1233 (joined + (net N_1344 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_9)) )) @@ -931386,7 +933257,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_8)) )) - (net N_1232 (joined + (net N_1343 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_8)) )) @@ -931398,7 +933269,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_7)) )) - (net N_1231 (joined + (net N_1342 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_7)) )) @@ -931410,7 +933281,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_6)) )) - (net N_1230 (joined + (net N_1341 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) )) @@ -931422,7 +933293,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_5)) )) - (net N_1229 (joined + (net N_1340 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_5)) )) @@ -931434,7 +933305,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_4)) )) - (net N_1228 (joined + (net N_1339 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_4)) )) @@ -931446,7 +933317,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_3)) )) - (net N_1227 (joined + (net N_1338 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_3)) )) @@ -931458,7 +933329,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_2)) )) - (net N_1226 (joined + (net N_1337 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_2)) )) @@ -931470,9 +933341,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_1)) )) - (net N_1225 (joined + (net N_1336 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_3_16_am_1)) )) (net data_out_reg_3_7_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_am_0)) @@ -931482,7 +933353,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_7_0)) )) - (net N_1224 (joined + (net N_1335 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_7_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) )) @@ -931494,7 +933365,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_29_10)) )) - (net N_998 (joined + (net N_1109 (joined (portRef Z (instanceRef un2_channel_hit_time_29_10)) (portRef D1 (instanceRef un2_channel_hit_time_30_10)) )) @@ -931506,7 +933377,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_29_9)) )) - (net N_997 (joined + (net N_1108 (joined (portRef Z (instanceRef un2_channel_hit_time_29_9)) (portRef D1 (instanceRef un2_channel_hit_time_30_9)) )) @@ -931518,7 +933389,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_29_8)) )) - (net N_996 (joined + (net N_1107 (joined (portRef Z (instanceRef un2_channel_hit_time_29_8)) (portRef D1 (instanceRef un2_channel_hit_time_30_8)) )) @@ -931530,7 +933401,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_29_7)) )) - (net N_995 (joined + (net N_1106 (joined (portRef Z (instanceRef un2_channel_hit_time_29_7)) (portRef D1 (instanceRef un2_channel_hit_time_30_7)) )) @@ -931542,7 +933413,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_29_6)) )) - (net N_994 (joined + (net N_1105 (joined (portRef Z (instanceRef un2_channel_hit_time_29_6)) (portRef D1 (instanceRef un2_channel_hit_time_30_6)) )) @@ -931554,7 +933425,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_29_5)) )) - (net N_993 (joined + (net N_1104 (joined (portRef Z (instanceRef un2_channel_hit_time_29_5)) (portRef D1 (instanceRef un2_channel_hit_time_30_5)) )) @@ -931566,7 +933437,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_29_4)) )) - (net N_992 (joined + (net N_1103 (joined (portRef Z (instanceRef un2_channel_hit_time_29_4)) (portRef D1 (instanceRef un2_channel_hit_time_30_4)) )) @@ -931578,7 +933449,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_29_3)) )) - (net N_991 (joined + (net N_1102 (joined (portRef Z (instanceRef un2_channel_hit_time_29_3)) (portRef D1 (instanceRef un2_channel_hit_time_30_3)) )) @@ -931590,7 +933461,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_29_2)) )) - (net N_990 (joined + (net N_1101 (joined (portRef Z (instanceRef un2_channel_hit_time_29_2)) (portRef D1 (instanceRef un2_channel_hit_time_30_2)) )) @@ -931602,7 +933473,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_29_1)) )) - (net N_989 (joined + (net N_1100 (joined (portRef Z (instanceRef un2_channel_hit_time_29_1)) (portRef D1 (instanceRef un2_channel_hit_time_30_1)) )) @@ -931614,7 +933485,7 @@ (portRef Z (instanceRef un2_channel_hit_time_29_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_29_0)) )) - (net N_988 (joined + (net N_1099 (joined (portRef Z (instanceRef un2_channel_hit_time_29_0)) (portRef D1 (instanceRef un2_channel_hit_time_30_0)) )) @@ -931626,7 +933497,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_26_10)) )) - (net N_965 (joined + (net N_1076 (joined (portRef Z (instanceRef un2_channel_hit_time_26_10)) (portRef D0 (instanceRef un2_channel_hit_time_30_10)) )) @@ -931638,7 +933509,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_26_9)) )) - (net N_964 (joined + (net N_1075 (joined (portRef Z (instanceRef un2_channel_hit_time_26_9)) (portRef D0 (instanceRef un2_channel_hit_time_30_9)) )) @@ -931650,7 +933521,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_26_8)) )) - (net N_963 (joined + (net N_1074 (joined (portRef Z (instanceRef un2_channel_hit_time_26_8)) (portRef D0 (instanceRef un2_channel_hit_time_30_8)) )) @@ -931662,7 +933533,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_26_7)) )) - (net N_962 (joined + (net N_1073 (joined (portRef Z (instanceRef un2_channel_hit_time_26_7)) (portRef D0 (instanceRef un2_channel_hit_time_30_7)) )) @@ -931674,7 +933545,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_26_6)) )) - (net N_961 (joined + (net N_1072 (joined (portRef Z (instanceRef un2_channel_hit_time_26_6)) (portRef D0 (instanceRef un2_channel_hit_time_30_6)) )) @@ -931686,7 +933557,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_26_5)) )) - (net N_960 (joined + (net N_1071 (joined (portRef Z (instanceRef un2_channel_hit_time_26_5)) (portRef D0 (instanceRef un2_channel_hit_time_30_5)) )) @@ -931698,7 +933569,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_26_4)) )) - (net N_959 (joined + (net N_1070 (joined (portRef Z (instanceRef un2_channel_hit_time_26_4)) (portRef D0 (instanceRef un2_channel_hit_time_30_4)) )) @@ -931710,7 +933581,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_26_3)) )) - (net N_958 (joined + (net N_1069 (joined (portRef Z (instanceRef un2_channel_hit_time_26_3)) (portRef D0 (instanceRef un2_channel_hit_time_30_3)) )) @@ -931722,7 +933593,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_26_2)) )) - (net N_957 (joined + (net N_1068 (joined (portRef Z (instanceRef un2_channel_hit_time_26_2)) (portRef D0 (instanceRef un2_channel_hit_time_30_2)) )) @@ -931734,7 +933605,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_26_1)) )) - (net N_956 (joined + (net N_1067 (joined (portRef Z (instanceRef un2_channel_hit_time_26_1)) (portRef D0 (instanceRef un2_channel_hit_time_30_1)) )) @@ -931746,7 +933617,7 @@ (portRef Z (instanceRef un2_channel_hit_time_26_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_26_0)) )) - (net N_955 (joined + (net N_1066 (joined (portRef Z (instanceRef un2_channel_hit_time_26_0)) (portRef D0 (instanceRef un2_channel_hit_time_30_0)) )) @@ -931758,7 +933629,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_22_10)) )) - (net N_921 (joined + (net N_1032 (joined (portRef Z (instanceRef un2_channel_hit_time_22_10)) (portRef D1 (instanceRef un2_channel_hit_time_23_10)) )) @@ -931770,7 +933641,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_22_9)) )) - (net N_920 (joined + (net N_1031 (joined (portRef Z (instanceRef un2_channel_hit_time_22_9)) (portRef D1 (instanceRef un2_channel_hit_time_23_9)) )) @@ -931782,7 +933653,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_22_8)) )) - (net N_919 (joined + (net N_1030 (joined (portRef Z (instanceRef un2_channel_hit_time_22_8)) (portRef D1 (instanceRef un2_channel_hit_time_23_8)) )) @@ -931794,7 +933665,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_22_7)) )) - (net N_918 (joined + (net N_1029 (joined (portRef Z (instanceRef un2_channel_hit_time_22_7)) (portRef D1 (instanceRef un2_channel_hit_time_23_7)) )) @@ -931806,7 +933677,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_22_6)) )) - (net N_917 (joined + (net N_1028 (joined (portRef Z (instanceRef un2_channel_hit_time_22_6)) (portRef D1 (instanceRef un2_channel_hit_time_23_6)) )) @@ -931818,7 +933689,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_22_5)) )) - (net N_916 (joined + (net N_1027 (joined (portRef Z (instanceRef un2_channel_hit_time_22_5)) (portRef D1 (instanceRef un2_channel_hit_time_23_5)) )) @@ -931830,7 +933701,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_22_4)) )) - (net N_915 (joined + (net N_1026 (joined (portRef Z (instanceRef un2_channel_hit_time_22_4)) (portRef D1 (instanceRef un2_channel_hit_time_23_4)) )) @@ -931842,7 +933713,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_22_3)) )) - (net N_914 (joined + (net N_1025 (joined (portRef Z (instanceRef un2_channel_hit_time_22_3)) (portRef D1 (instanceRef un2_channel_hit_time_23_3)) )) @@ -931854,7 +933725,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_22_2)) )) - (net N_913 (joined + (net N_1024 (joined (portRef Z (instanceRef un2_channel_hit_time_22_2)) (portRef D1 (instanceRef un2_channel_hit_time_23_2)) )) @@ -931866,7 +933737,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_22_1)) )) - (net N_912 (joined + (net N_1023 (joined (portRef Z (instanceRef un2_channel_hit_time_22_1)) (portRef D1 (instanceRef un2_channel_hit_time_23_1)) )) @@ -931878,7 +933749,7 @@ (portRef Z (instanceRef un2_channel_hit_time_22_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_22_0)) )) - (net N_911 (joined + (net N_1022 (joined (portRef Z (instanceRef un2_channel_hit_time_22_0)) (portRef D1 (instanceRef un2_channel_hit_time_23_0)) )) @@ -931890,7 +933761,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_19_10)) )) - (net N_888 (joined + (net N_999 (joined (portRef Z (instanceRef un2_channel_hit_time_19_10)) (portRef D0 (instanceRef un2_channel_hit_time_23_10)) )) @@ -931902,7 +933773,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_19_9)) )) - (net N_887 (joined + (net N_998 (joined (portRef Z (instanceRef un2_channel_hit_time_19_9)) (portRef D0 (instanceRef un2_channel_hit_time_23_9)) )) @@ -931914,7 +933785,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_19_8)) )) - (net N_886 (joined + (net N_997 (joined (portRef Z (instanceRef un2_channel_hit_time_19_8)) (portRef D0 (instanceRef un2_channel_hit_time_23_8)) )) @@ -931926,7 +933797,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_19_7)) )) - (net N_885 (joined + (net N_996 (joined (portRef Z (instanceRef un2_channel_hit_time_19_7)) (portRef D0 (instanceRef un2_channel_hit_time_23_7)) )) @@ -931938,7 +933809,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_19_6)) )) - (net N_884 (joined + (net N_995 (joined (portRef Z (instanceRef un2_channel_hit_time_19_6)) (portRef D0 (instanceRef un2_channel_hit_time_23_6)) )) @@ -931950,7 +933821,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_19_5)) )) - (net N_883 (joined + (net N_994 (joined (portRef Z (instanceRef un2_channel_hit_time_19_5)) (portRef D0 (instanceRef un2_channel_hit_time_23_5)) )) @@ -931962,7 +933833,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_19_4)) )) - (net N_882 (joined + (net N_993 (joined (portRef Z (instanceRef un2_channel_hit_time_19_4)) (portRef D0 (instanceRef un2_channel_hit_time_23_4)) )) @@ -931974,7 +933845,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_19_3)) )) - (net N_881 (joined + (net N_992 (joined (portRef Z (instanceRef un2_channel_hit_time_19_3)) (portRef D0 (instanceRef un2_channel_hit_time_23_3)) )) @@ -931986,7 +933857,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_19_2)) )) - (net N_880 (joined + (net N_991 (joined (portRef Z (instanceRef un2_channel_hit_time_19_2)) (portRef D0 (instanceRef un2_channel_hit_time_23_2)) )) @@ -931998,7 +933869,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_19_1)) )) - (net N_879 (joined + (net N_990 (joined (portRef Z (instanceRef un2_channel_hit_time_19_1)) (portRef D0 (instanceRef un2_channel_hit_time_23_1)) )) @@ -932010,7 +933881,7 @@ (portRef Z (instanceRef un2_channel_hit_time_19_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_19_0)) )) - (net N_878 (joined + (net N_989 (joined (portRef Z (instanceRef un2_channel_hit_time_19_0)) (portRef D0 (instanceRef un2_channel_hit_time_23_0)) )) @@ -932022,7 +933893,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_14_10)) )) - (net N_833 (joined + (net N_944 (joined (portRef Z (instanceRef un2_channel_hit_time_14_10)) (portRef D1 (instanceRef un2_channel_hit_time_15_10)) )) @@ -932034,7 +933905,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_14_9)) )) - (net N_832 (joined + (net N_943 (joined (portRef Z (instanceRef un2_channel_hit_time_14_9)) (portRef D1 (instanceRef un2_channel_hit_time_15_9)) )) @@ -932046,7 +933917,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_14_8)) )) - (net N_831 (joined + (net N_942 (joined (portRef Z (instanceRef un2_channel_hit_time_14_8)) (portRef D1 (instanceRef un2_channel_hit_time_15_8)) )) @@ -932058,7 +933929,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_14_7)) )) - (net N_830 (joined + (net N_941 (joined (portRef Z (instanceRef un2_channel_hit_time_14_7)) (portRef D1 (instanceRef un2_channel_hit_time_15_7)) )) @@ -932070,7 +933941,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_14_6)) )) - (net N_829 (joined + (net N_940 (joined (portRef Z (instanceRef un2_channel_hit_time_14_6)) (portRef D1 (instanceRef un2_channel_hit_time_15_6)) )) @@ -932082,7 +933953,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_14_5)) )) - (net N_828 (joined + (net N_939 (joined (portRef Z (instanceRef un2_channel_hit_time_14_5)) (portRef D1 (instanceRef un2_channel_hit_time_15_5)) )) @@ -932094,7 +933965,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_14_4)) )) - (net N_827 (joined + (net N_938 (joined (portRef Z (instanceRef un2_channel_hit_time_14_4)) (portRef D1 (instanceRef un2_channel_hit_time_15_4)) )) @@ -932106,7 +933977,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_14_3)) )) - (net N_826 (joined + (net N_937 (joined (portRef Z (instanceRef un2_channel_hit_time_14_3)) (portRef D1 (instanceRef un2_channel_hit_time_15_3)) )) @@ -932118,7 +933989,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_14_2)) )) - (net N_825 (joined + (net N_936 (joined (portRef Z (instanceRef un2_channel_hit_time_14_2)) (portRef D1 (instanceRef un2_channel_hit_time_15_2)) )) @@ -932130,7 +934001,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_14_1)) )) - (net N_824 (joined + (net N_935 (joined (portRef Z (instanceRef un2_channel_hit_time_14_1)) (portRef D1 (instanceRef un2_channel_hit_time_15_1)) )) @@ -932142,7 +934013,7 @@ (portRef Z (instanceRef un2_channel_hit_time_14_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_14_0)) )) - (net N_823 (joined + (net N_934 (joined (portRef Z (instanceRef un2_channel_hit_time_14_0)) (portRef D1 (instanceRef un2_channel_hit_time_15_0)) )) @@ -932154,7 +934025,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_11_10)) )) - (net N_800 (joined + (net N_911 (joined (portRef Z (instanceRef un2_channel_hit_time_11_10)) (portRef D0 (instanceRef un2_channel_hit_time_15_10)) )) @@ -932166,7 +934037,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_11_9)) )) - (net N_799 (joined + (net N_910 (joined (portRef Z (instanceRef un2_channel_hit_time_11_9)) (portRef D0 (instanceRef un2_channel_hit_time_15_9)) )) @@ -932178,7 +934049,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_11_8)) )) - (net N_798 (joined + (net N_909 (joined (portRef Z (instanceRef un2_channel_hit_time_11_8)) (portRef D0 (instanceRef un2_channel_hit_time_15_8)) )) @@ -932190,7 +934061,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_11_7)) )) - (net N_797 (joined + (net N_908 (joined (portRef Z (instanceRef un2_channel_hit_time_11_7)) (portRef D0 (instanceRef un2_channel_hit_time_15_7)) )) @@ -932202,7 +934073,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_11_6)) )) - (net N_796 (joined + (net N_907 (joined (portRef Z (instanceRef un2_channel_hit_time_11_6)) (portRef D0 (instanceRef un2_channel_hit_time_15_6)) )) @@ -932214,7 +934085,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_11_5)) )) - (net N_795 (joined + (net N_906 (joined (portRef Z (instanceRef un2_channel_hit_time_11_5)) (portRef D0 (instanceRef un2_channel_hit_time_15_5)) )) @@ -932226,7 +934097,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_11_4)) )) - (net N_794 (joined + (net N_905 (joined (portRef Z (instanceRef un2_channel_hit_time_11_4)) (portRef D0 (instanceRef un2_channel_hit_time_15_4)) )) @@ -932238,7 +934109,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_11_3)) )) - (net N_793 (joined + (net N_904 (joined (portRef Z (instanceRef un2_channel_hit_time_11_3)) (portRef D0 (instanceRef un2_channel_hit_time_15_3)) )) @@ -932250,7 +934121,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_11_2)) )) - (net N_792 (joined + (net N_903 (joined (portRef Z (instanceRef un2_channel_hit_time_11_2)) (portRef D0 (instanceRef un2_channel_hit_time_15_2)) )) @@ -932262,7 +934133,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_11_1)) )) - (net N_791 (joined + (net N_902 (joined (portRef Z (instanceRef un2_channel_hit_time_11_1)) (portRef D0 (instanceRef un2_channel_hit_time_15_1)) )) @@ -932274,7 +934145,7 @@ (portRef Z (instanceRef un2_channel_hit_time_11_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_11_0)) )) - (net N_790 (joined + (net N_901 (joined (portRef Z (instanceRef un2_channel_hit_time_11_0)) (portRef D0 (instanceRef un2_channel_hit_time_15_0)) )) @@ -932286,7 +934157,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_7_10)) )) - (net N_756 (joined + (net N_867 (joined (portRef Z (instanceRef un2_channel_hit_time_7_10)) (portRef D1 (instanceRef un2_channel_hit_time_8_10)) )) @@ -932298,7 +934169,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_7_9)) )) - (net N_755 (joined + (net N_866 (joined (portRef Z (instanceRef un2_channel_hit_time_7_9)) (portRef D1 (instanceRef un2_channel_hit_time_8_9)) )) @@ -932310,7 +934181,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_7_8)) )) - (net N_754 (joined + (net N_865 (joined (portRef Z (instanceRef un2_channel_hit_time_7_8)) (portRef D1 (instanceRef un2_channel_hit_time_8_8)) )) @@ -932322,7 +934193,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_7_7)) )) - (net N_753 (joined + (net N_864 (joined (portRef Z (instanceRef un2_channel_hit_time_7_7)) (portRef D1 (instanceRef un2_channel_hit_time_8_7)) )) @@ -932334,7 +934205,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_7_6)) )) - (net N_752 (joined + (net N_863 (joined (portRef Z (instanceRef un2_channel_hit_time_7_6)) (portRef D1 (instanceRef un2_channel_hit_time_8_6)) )) @@ -932346,7 +934217,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_7_5)) )) - (net N_751 (joined + (net N_862 (joined (portRef Z (instanceRef un2_channel_hit_time_7_5)) (portRef D1 (instanceRef un2_channel_hit_time_8_5)) )) @@ -932358,7 +934229,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_7_4)) )) - (net N_750 (joined + (net N_861 (joined (portRef Z (instanceRef un2_channel_hit_time_7_4)) (portRef D1 (instanceRef un2_channel_hit_time_8_4)) )) @@ -932370,7 +934241,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_7_3)) )) - (net N_749 (joined + (net N_860 (joined (portRef Z (instanceRef un2_channel_hit_time_7_3)) (portRef D1 (instanceRef un2_channel_hit_time_8_3)) )) @@ -932382,7 +934253,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_7_2)) )) - (net N_748 (joined + (net N_859 (joined (portRef Z (instanceRef un2_channel_hit_time_7_2)) (portRef D1 (instanceRef un2_channel_hit_time_8_2)) )) @@ -932394,7 +934265,7 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_7_1)) )) - (net N_747 (joined + (net N_858 (joined (portRef Z (instanceRef un2_channel_hit_time_7_1)) (portRef D1 (instanceRef un2_channel_hit_time_8_1)) )) @@ -932406,183 +934277,181 @@ (portRef Z (instanceRef un2_channel_hit_time_7_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_7_0)) )) - (net N_746 (joined + (net N_857 (joined (portRef Z (instanceRef un2_channel_hit_time_7_0)) (portRef D1 (instanceRef un2_channel_hit_time_8_0)) )) - (net N_612_0 (joined - (portRef Z (instanceRef FSM_CURRENT_srsts_i_o2_2)) - (portRef D (instanceRef rd_en_fsm_i_o2_1_19)) + (net N_741 (joined + (portRef Z (instanceRef un1_FSM_CURRENT_i_a2)) + (portRef D (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) )) - (net fsm_debug_fsm_i_i_0_a2_2_0 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_2_0_0)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_0)) + (net stop_status_i_0_sqmuxa_0_o2_0 (joined + (portRef Z (instanceRef stop_status_i_0_sqmuxa_0_o2_0)) + (portRef D (instanceRef stop_status_i_0_sqmuxa_i)) )) - (net trg_invalid_i (joined - (portRef trg_invalid_i) - (portRef C (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_m2_0)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + (net fsm_debug_fsm_i_0_2_0 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_2_0)) + (portRef C (instanceRef fsm_debug_fsm_i_0_4_0)) )) - (net N_370 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_m2_0)) - (portRef A (instanceRef fsm_debug_fsm_i_i_0_0_0)) + (net fsm_debug_fsm_i_i_0_a2_3_1 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_3_0_1)) + (portRef D (instanceRef fsm_debug_fsm_i_i_0_a2_1)) )) - (net N_458 (joined - (portRef Z (instanceRef mask_i_4_0_a2_28)) - (portRef A (instanceRef mask_i_4_0_28)) + (net N_636 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_24)) + (portRef A (instanceRef mask_i_4_0_0_24)) )) - (net N_512 (joined - (portRef Z (instanceRef mask_i_4_0_a2_23)) - (portRef A (instanceRef mask_i_4_0_23)) + (net data_out_reg_3_4_am_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) )) - (net N_511 (joined - (portRef Z (instanceRef mask_i_4_0_a2_22)) - (portRef A (instanceRef mask_i_4_0_22)) + (net data_out_reg_3_4_bm_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) )) - (net N_510 (joined - (portRef Z (instanceRef mask_i_4_0_a2_21)) - (portRef A (instanceRef mask_i_4_0_21)) + (net N_1269 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) )) - (net N_509 (joined - (portRef Z (instanceRef mask_i_4_0_a2_20)) - (portRef A (instanceRef mask_i_4_0_20)) + (net data_out_reg_3_4_am_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_31)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) )) - (net N_508 (joined - (portRef Z (instanceRef mask_i_4_0_a2_19)) - (portRef A (instanceRef mask_i_4_0_19)) + (net data_out_reg_3_4_bm_31 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_31)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) )) - (net N_507 (joined - (portRef Z (instanceRef mask_i_4_0_a2_18)) - (portRef A (instanceRef mask_i_4_0_18)) + (net N_1270 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) )) - (net N_506 (joined - (portRef Z (instanceRef mask_i_4_0_a2_17)) - (portRef A (instanceRef mask_i_4_0_17)) + (net N_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_wr_reg_4_m4)) + (portRef A (instanceRef un1_wr_header_i_2_i_a3_1)) )) - (net N_505 (joined - (portRef Z (instanceRef mask_i_4_0_a2_16)) - (portRef A (instanceRef mask_i_4_0_16)) + (net N_744 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_31)) + (portRef A (instanceRef mask_i_4_0_0_31)) )) - (net N_504 (joined - (portRef Z (instanceRef mask_i_4_0_a2_10)) - (portRef A (instanceRef mask_i_4_0_10)) + (net N_743 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_15)) + (portRef A (instanceRef mask_i_4_0_0_15)) )) - (net N_503 (joined - (portRef Z (instanceRef mask_i_4_0_a2_1)) - (portRef A (instanceRef mask_i_4_0_1)) + (net N_638 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_29)) + (portRef A (instanceRef mask_i_4_0_0_29)) )) - (net N_469 (joined - (portRef Z (instanceRef mask_i_4_0_a2_27)) - (portRef A (instanceRef mask_i_4_0_27)) + (net N_637 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_28)) + (portRef A (instanceRef mask_i_4_0_0_28)) )) - (net N_468 (joined - (portRef Z (instanceRef mask_i_4_0_a2_26)) - (portRef A (instanceRef mask_i_4_0_26)) + (net N_635 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_23)) + (portRef A (instanceRef mask_i_4_0_0_23)) )) - (net N_467 (joined - (portRef Z (instanceRef mask_i_4_0_a2_25)) - (portRef A (instanceRef mask_i_4_0_25)) + (net N_634 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_22)) + (portRef A (instanceRef mask_i_4_0_0_22)) )) - (net N_466 (joined - (portRef Z (instanceRef mask_i_4_0_a2_14)) - (portRef A (instanceRef mask_i_4_0_14)) + (net N_633 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_21)) + (portRef A (instanceRef mask_i_4_0_0_21)) )) - (net N_465 (joined - (portRef Z (instanceRef mask_i_4_0_a2_7)) - (portRef A (instanceRef mask_i_4_0_7)) + (net N_632 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_20)) + (portRef A (instanceRef mask_i_4_0_0_20)) )) - (net N_464 (joined - (portRef Z (instanceRef mask_i_4_0_a2_3)) - (portRef A (instanceRef mask_i_4_0_3)) + (net N_631 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_18)) + (portRef A (instanceRef mask_i_4_0_0_18)) )) - (net N_461 (joined - (portRef Z (instanceRef mask_i_4_0_a2_31)) - (portRef A (instanceRef mask_i_4_0_31)) + (net N_630 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_17)) + (portRef A (instanceRef mask_i_4_0_0_17)) )) - (net N_460 (joined - (portRef Z (instanceRef mask_i_4_0_a2_30)) - (portRef A (instanceRef mask_i_4_0_30)) + (net N_629 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_16)) + (portRef A (instanceRef mask_i_4_0_0_16)) )) - (net N_459 (joined - (portRef Z (instanceRef mask_i_4_0_a2_29)) - (portRef A (instanceRef mask_i_4_0_29)) + (net N_628 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_10)) + (portRef A (instanceRef mask_i_4_0_0_10)) )) - (net N_457 (joined - (portRef Z (instanceRef mask_i_4_0_a2_24)) - (portRef A (instanceRef mask_i_4_0_24)) + (net N_627 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_30)) + (portRef A (instanceRef mask_i_4_0_0_30)) )) - (net N_456 (joined - (portRef Z (instanceRef mask_i_4_0_a2_15)) - (portRef A (instanceRef mask_i_4_0_15)) + (net N_626 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_27)) + (portRef A (instanceRef mask_i_4_0_0_27)) )) - (net N_455 (joined - (portRef Z (instanceRef mask_i_4_0_a2_13)) - (portRef A (instanceRef mask_i_4_0_13)) + (net N_625 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_26)) + (portRef A (instanceRef mask_i_4_0_0_26)) )) - (net N_454 (joined - (portRef Z (instanceRef mask_i_4_0_a2_12)) - (portRef A (instanceRef mask_i_4_0_12)) + (net N_624 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_25)) + (portRef A (instanceRef mask_i_4_0_0_25)) )) - (net N_453 (joined - (portRef Z (instanceRef mask_i_4_0_a2_11)) - (portRef A (instanceRef mask_i_4_0_11)) + (net N_623 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_19)) + (portRef A (instanceRef mask_i_4_0_0_19)) )) - (net N_452 (joined - (portRef Z (instanceRef mask_i_4_0_a2_9)) - (portRef A (instanceRef mask_i_4_0_9)) + (net N_622 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_14)) + (portRef A (instanceRef mask_i_4_0_0_14)) )) - (net N_451 (joined - (portRef Z (instanceRef mask_i_4_0_a2_8)) - (portRef A (instanceRef mask_i_4_0_8)) + (net N_621 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_13)) + (portRef A (instanceRef mask_i_4_0_0_13)) )) - (net N_450 (joined - (portRef Z (instanceRef mask_i_4_0_a2_6)) - (portRef A (instanceRef mask_i_4_0_6)) + (net N_620 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_12)) + (portRef A (instanceRef mask_i_4_0_0_12)) )) - (net N_449 (joined - (portRef Z (instanceRef mask_i_4_0_a2_5)) - (portRef A (instanceRef mask_i_4_0_5)) + (net N_619 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_11)) + (portRef A (instanceRef mask_i_4_0_0_11)) )) - (net N_448 (joined - (portRef Z (instanceRef mask_i_4_0_a2_4)) - (portRef A (instanceRef mask_i_4_0_4)) + (net N_618 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_9)) + (portRef A (instanceRef mask_i_4_0_0_9)) )) - (net N_447 (joined - (portRef Z (instanceRef mask_i_4_0_a2_2)) - (portRef A (instanceRef mask_i_4_0_2)) + (net N_617 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_8)) + (portRef A (instanceRef mask_i_4_0_0_8)) )) - (net N_446 (joined - (portRef Z (instanceRef mask_i_4_0_a2_0)) - (portRef A (instanceRef mask_i_4_0_0)) + (net N_616 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_7)) + (portRef A (instanceRef mask_i_4_0_0_7)) )) - (net N_4 (joined - (portRef Z (instanceRef Data_Out_MUX_data_wr_reg_4_m3)) - (portRef A (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) + (net N_615 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_6)) + (portRef A (instanceRef mask_i_4_0_0_6)) )) - (net data_out_reg_3_4_am_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_31)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) + (net N_614 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_5)) + (portRef A (instanceRef mask_i_4_0_0_5)) )) - (net data_out_reg_3_4_bm_31 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_31)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) + (net N_613 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_4)) + (portRef A (instanceRef mask_i_4_0_0_4)) )) - (net N_1159 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) + (net N_612 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_3)) + (portRef A (instanceRef mask_i_4_0_0_3)) )) - (net data_out_reg_3_4_am_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_30)) - (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) + (net N_611 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_2)) + (portRef A (instanceRef mask_i_4_0_0_2)) )) - (net data_out_reg_3_4_bm_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_30)) - (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) + (net N_610 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_1)) + (portRef A (instanceRef mask_i_4_0_0_1)) )) - (net N_1158 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) + (net N_609 (joined + (portRef Z (instanceRef mask_i_4_0_0_a2_0)) + (portRef A (instanceRef mask_i_4_0_0_0)) )) (net data_out_reg_3_4_am_29 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_29)) @@ -932592,7 +934461,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_29)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_29)) )) - (net N_1157 (joined + (net N_1268 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_29)) )) @@ -932604,7 +934473,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_28)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_28)) )) - (net N_1156 (joined + (net N_1267 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_28)) )) @@ -932616,7 +934485,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_27)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_27)) )) - (net N_1155 (joined + (net N_1266 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_27)) )) @@ -932628,7 +934497,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_26)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_26)) )) - (net N_1154 (joined + (net N_1265 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_26)) )) @@ -932640,7 +934509,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_25)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_25)) )) - (net N_1153 (joined + (net N_1264 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_25)) )) @@ -932652,7 +934521,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_24)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_24)) )) - (net N_1152 (joined + (net N_1263 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_24)) )) @@ -932664,7 +934533,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_23)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_23)) )) - (net N_1151 (joined + (net N_1262 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_23)) )) @@ -932676,7 +934545,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_22)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_22)) )) - (net N_1150 (joined + (net N_1261 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_22)) )) @@ -932688,7 +934557,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_21)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_21)) )) - (net N_1149 (joined + (net N_1260 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_21)) )) @@ -932700,7 +934569,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_20)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_20)) )) - (net N_1148 (joined + (net N_1259 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_20)) )) @@ -932712,7 +934581,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_19)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_19)) )) - (net N_1147 (joined + (net N_1258 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_19)) )) @@ -932724,7 +934593,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_18)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_18)) )) - (net N_1146 (joined + (net N_1257 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_18)) )) @@ -932736,7 +934605,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_17)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_17)) )) - (net N_1145 (joined + (net N_1256 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_17)) )) @@ -932748,7 +934617,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_16)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_16)) )) - (net N_1144 (joined + (net N_1255 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_16)) )) @@ -932760,7 +934629,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_15)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_15)) )) - (net N_1143 (joined + (net N_1254 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_15)) )) @@ -932772,7 +934641,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_14)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_14)) )) - (net N_1142 (joined + (net N_1253 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_14)) )) @@ -932784,7 +934653,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_13)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_13)) )) - (net N_1141 (joined + (net N_1252 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_13)) )) @@ -932796,7 +934665,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_12)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_12)) )) - (net N_1140 (joined + (net N_1251 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_12)) )) @@ -932808,7 +934677,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_11)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_11)) )) - (net N_1139 (joined + (net N_1250 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_11)) )) @@ -932820,7 +934689,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_10)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_10)) )) - (net N_1138 (joined + (net N_1249 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_10)) )) @@ -932832,7 +934701,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_9)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_9)) )) - (net N_1137 (joined + (net N_1248 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_9)) )) @@ -932844,7 +934713,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_8)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_8)) )) - (net N_1136 (joined + (net N_1247 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_8)) )) @@ -932856,7 +934725,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_7)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_7)) )) - (net N_1135 (joined + (net N_1246 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_7)) )) @@ -932868,7 +934737,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_6)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_6)) )) - (net N_1134 (joined + (net N_1245 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_6)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) )) @@ -932880,7 +934749,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_5)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_5)) )) - (net N_1133 (joined + (net N_1244 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_5)) )) @@ -932892,7 +934761,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_4)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_4)) )) - (net N_1132 (joined + (net N_1243 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_4)) )) @@ -932904,7 +934773,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_3)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_3)) )) - (net N_1131 (joined + (net N_1242 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_3)) )) @@ -932916,7 +934785,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_2)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_2)) )) - (net N_1130 (joined + (net N_1241 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_2)) )) @@ -932928,9 +934797,9 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_1)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_1)) )) - (net N_1129 (joined + (net N_1240 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_3_16_am_1)) )) (net data_out_reg_3_4_am_0 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_am_0)) @@ -932940,7 +934809,7 @@ (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_bm_0)) (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_4_0)) )) - (net N_1128 (joined + (net N_1239 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_4_0)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) )) @@ -932952,7 +934821,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_10)) (portRef ALUT (instanceRef un2_channel_hit_time_4_10)) )) - (net N_723 (joined + (net N_834 (joined (portRef Z (instanceRef un2_channel_hit_time_4_10)) (portRef D0 (instanceRef un2_channel_hit_time_8_10)) )) @@ -932964,7 +934833,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_9)) (portRef ALUT (instanceRef un2_channel_hit_time_4_9)) )) - (net N_722 (joined + (net N_833 (joined (portRef Z (instanceRef un2_channel_hit_time_4_9)) (portRef D0 (instanceRef un2_channel_hit_time_8_9)) )) @@ -932976,7 +934845,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_8)) (portRef ALUT (instanceRef un2_channel_hit_time_4_8)) )) - (net N_721 (joined + (net N_832 (joined (portRef Z (instanceRef un2_channel_hit_time_4_8)) (portRef D0 (instanceRef un2_channel_hit_time_8_8)) )) @@ -932988,7 +934857,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_7)) (portRef ALUT (instanceRef un2_channel_hit_time_4_7)) )) - (net N_720 (joined + (net N_831 (joined (portRef Z (instanceRef un2_channel_hit_time_4_7)) (portRef D0 (instanceRef un2_channel_hit_time_8_7)) )) @@ -933000,7 +934869,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_6)) (portRef ALUT (instanceRef un2_channel_hit_time_4_6)) )) - (net N_719 (joined + (net N_830 (joined (portRef Z (instanceRef un2_channel_hit_time_4_6)) (portRef D0 (instanceRef un2_channel_hit_time_8_6)) )) @@ -933012,7 +934881,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_5)) (portRef ALUT (instanceRef un2_channel_hit_time_4_5)) )) - (net N_718 (joined + (net N_829 (joined (portRef Z (instanceRef un2_channel_hit_time_4_5)) (portRef D0 (instanceRef un2_channel_hit_time_8_5)) )) @@ -933024,7 +934893,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_4)) (portRef ALUT (instanceRef un2_channel_hit_time_4_4)) )) - (net N_717 (joined + (net N_828 (joined (portRef Z (instanceRef un2_channel_hit_time_4_4)) (portRef D0 (instanceRef un2_channel_hit_time_8_4)) )) @@ -933036,7 +934905,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_3)) (portRef ALUT (instanceRef un2_channel_hit_time_4_3)) )) - (net N_716 (joined + (net N_827 (joined (portRef Z (instanceRef un2_channel_hit_time_4_3)) (portRef D0 (instanceRef un2_channel_hit_time_8_3)) )) @@ -933048,7 +934917,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_2)) (portRef ALUT (instanceRef un2_channel_hit_time_4_2)) )) - (net N_715 (joined + (net N_826 (joined (portRef Z (instanceRef un2_channel_hit_time_4_2)) (portRef D0 (instanceRef un2_channel_hit_time_8_2)) )) @@ -933060,7 +934929,7 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_1)) (portRef ALUT (instanceRef un2_channel_hit_time_4_1)) )) - (net N_714 (joined + (net N_825 (joined (portRef Z (instanceRef un2_channel_hit_time_4_1)) (portRef D0 (instanceRef un2_channel_hit_time_8_1)) )) @@ -933072,10 +934941,16 @@ (portRef Z (instanceRef un2_channel_hit_time_4_bm_0)) (portRef ALUT (instanceRef un2_channel_hit_time_4_0)) )) - (net N_713 (joined + (net N_824 (joined (portRef Z (instanceRef un2_channel_hit_time_4_0)) (portRef D0 (instanceRef un2_channel_hit_time_8_0)) )) + (net trg_invalid_i (joined + (portRef trg_invalid_i) + (portRef C (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef C (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) + (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_RNO_14)) + )) (net FSM_CURRENT_srsts_i_0_0_a2_0_1_8 (joined (portRef Z (instanceRef FSM_CURRENT_srsts_i_0_0_a2_0_1_8)) (portRef C (instanceRef FSM_CURRENT_srsts_i_0_0_8)) @@ -933084,15 +934959,29 @@ (portRef trg_timeout_detected_i) (portRef D (instanceRef FSM_CURRENT_srsts_0_i_0_12)) (portRef D (instanceRef FSM_CURRENT_srsts_0_i_0_14)) - (portRef C (instanceRef fsm_debug_fsm_i_i_0_a2_3_0)) + (portRef D (instanceRef fsm_debug_fsm_i_0_2_0)) )) - (net N_537 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_0)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_0_0)) - )) - (net fsm_debug_fsm_i_i_0_a2_4_1 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_4_0_1)) - (portRef D (instanceRef fsm_debug_reg_RNO_1)) + (net N_51 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_o2_1)) + (portRef B (instanceRef stop_status_i_0_sqmuxa_i)) + (portRef C (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + (portRef C (instanceRef un1_wr_header_i_2_i_0_tz)) + )) + (net un8_wr_status_i_30 (joined + (portRef Z (instanceRef Data_Out_MUX_un5_wr_status_i_30)) + (portRef A (instanceRef stop_status_i_0_sqmuxa_i)) + (portRef B (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + (portRef B (instanceRef un1_wr_header_i_2_i_0_tz)) + )) + (net fifo_full_i_0 (joined + (portRef Z (instanceRef fifo_full_i)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + )) + (net fsm_debug_fsm_i_0_4_0 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_4_0)) + (portRef D (instanceRef fsm_debug_fsm_i_0_0)) )) (net fifo_nr_hex_0_0 (joined (portRef (member fifo_nr_hex_0 3) (instanceRef GEN_0_ROM)) @@ -933106,1869 +934995,4135 @@ (portRef (member fifo_nr_hex_0 1) (instanceRef GEN_0_ROM)) (portRef A (instanceRef fifo_nr_next_6_2)) )) - (net N_423 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2)) + (net N_1591 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) )) - (net N_422 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m2)) + (net N_1597 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) )) - (net N_421 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m2)) - (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m2)) + (net N_1847 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) )) - (net N_418 (joined - (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m2)) - (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m2)) + (net N_1853 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) + )) + (net N_1621 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) + )) + (net N_1877 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) + )) + (net N_2101 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) + )) + (net N_571 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_29_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2)) + )) + (net N_568 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_22_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_30_i_m3_i_m2)) + )) + (net N_564 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_14_i_m3_i_m2)) + (portRef D1 (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2)) + )) + (net N_563 (joined + (portRef Z (instanceRef FSM_PROC_un8_channel_empty_reg_7_i_m3_i_m2)) + (portRef D0 (instanceRef FSM_PROC_un8_channel_empty_reg_15_i_m3_i_m2)) + )) + (net N_749 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_a2_0)) + (portRef B (instanceRef fsm_debug_fsm_i_0_0)) )) - (net N_2263 (joined + (net N_2389 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_29)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_30)) )) - (net N_2256 (joined + (net N_2382 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_22)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_30)) )) - (net N_2248 (joined + (net N_2374 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_14)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_15)) )) - (net N_2241 (joined + (net N_2367 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_7)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_15)) )) - (net N_1991 (joined + (net N_2102 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_31)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) )) - (net N_1990 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) - )) - (net N_1989 (joined + (net N_2100 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) )) - (net N_1988 (joined + (net N_2099 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) )) - (net N_1987 (joined + (net N_2098 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) )) - (net N_1986 (joined + (net N_2097 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) )) - (net N_1985 (joined + (net N_2096 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) )) - (net N_1984 (joined + (net N_2095 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) )) - (net N_1983 (joined + (net N_2094 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) )) - (net N_1982 (joined + (net N_2093 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) )) - (net N_1981 (joined + (net N_2092 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) )) - (net N_1980 (joined + (net N_2091 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) )) - (net N_1979 (joined + (net N_2090 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) )) - (net N_1978 (joined + (net N_2089 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) )) - (net N_1977 (joined + (net N_2088 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) )) - (net N_1976 (joined + (net N_2087 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) )) - (net N_1975 (joined + (net N_2086 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) )) - (net N_1974 (joined + (net N_2085 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) )) - (net N_1973 (joined + (net N_2084 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) )) - (net N_1972 (joined + (net N_2083 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) )) - (net N_1971 (joined + (net N_2082 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) )) - (net N_1970 (joined + (net N_2081 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) )) - (net N_1969 (joined + (net N_2080 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) )) - (net N_1968 (joined + (net N_2079 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) )) - (net N_1967 (joined + (net N_2078 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) )) - (net N_1966 (joined + (net N_2077 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_6)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) )) - (net N_1965 (joined + (net N_2076 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) )) - (net N_1964 (joined + (net N_2075 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) )) - (net N_1963 (joined + (net N_2074 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) )) - (net N_1962 (joined + (net N_2073 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) )) - (net N_1961 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) - )) - (net N_1960 (joined + (net N_2071 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_30_0)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) )) - (net N_1767 (joined + (net N_1878 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_31)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) )) - (net N_1766 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_30)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) - )) - (net N_1765 (joined + (net N_1876 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) )) - (net N_1764 (joined + (net N_1875 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) )) - (net N_1763 (joined + (net N_1874 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) )) - (net N_1762 (joined + (net N_1873 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) )) - (net N_1761 (joined + (net N_1872 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) )) - (net N_1760 (joined + (net N_1871 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) )) - (net N_1759 (joined + (net N_1870 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) )) - (net N_1758 (joined + (net N_1869 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) )) - (net N_1757 (joined + (net N_1868 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) )) - (net N_1756 (joined + (net N_1867 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) )) - (net N_1755 (joined + (net N_1866 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) )) - (net N_1754 (joined + (net N_1865 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) )) - (net N_1753 (joined + (net N_1864 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) )) - (net N_1752 (joined + (net N_1863 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) )) - (net N_1751 (joined + (net N_1862 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) )) - (net N_1750 (joined + (net N_1861 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) )) - (net N_1749 (joined + (net N_1860 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) )) - (net N_1748 (joined + (net N_1859 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) )) - (net N_1747 (joined + (net N_1858 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) )) - (net N_1746 (joined + (net N_1857 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) )) - (net N_1745 (joined + (net N_1856 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) )) - (net N_1744 (joined + (net N_1855 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) )) - (net N_1743 (joined + (net N_1854 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) )) - (net N_1742 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_6)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) - )) - (net N_1741 (joined + (net N_1852 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) )) - (net N_1740 (joined + (net N_1851 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) )) - (net N_1739 (joined + (net N_1850 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) )) - (net N_1738 (joined + (net N_1849 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) )) - (net N_1737 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) - )) - (net N_1736 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_23_0)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) - )) - (net N_1511 (joined + (net N_1622 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_31)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) )) - (net N_1510 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) - )) - (net N_1509 (joined + (net N_1620 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) )) - (net N_1508 (joined + (net N_1619 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_28)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) )) - (net N_1507 (joined + (net N_1618 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_27)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) )) - (net N_1506 (joined + (net N_1617 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_26)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) )) - (net N_1505 (joined + (net N_1616 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_25)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) )) - (net N_1504 (joined + (net N_1615 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_24)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) )) - (net N_1503 (joined + (net N_1614 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_23)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) )) - (net N_1502 (joined + (net N_1613 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_22)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) )) - (net N_1501 (joined + (net N_1612 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_21)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) )) - (net N_1500 (joined + (net N_1611 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_20)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) )) - (net N_1499 (joined + (net N_1610 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_19)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_19)) )) - (net N_1498 (joined + (net N_1609 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_18)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_18)) )) - (net N_1497 (joined + (net N_1608 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_17)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_17)) )) - (net N_1496 (joined + (net N_1607 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_16)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_16)) )) - (net N_1495 (joined + (net N_1606 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_15)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_15)) )) - (net N_1494 (joined + (net N_1605 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_14)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_14)) )) - (net N_1493 (joined + (net N_1604 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_13)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_13)) )) - (net N_1492 (joined + (net N_1603 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_12)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_12)) )) - (net N_1491 (joined + (net N_1602 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_11)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_11)) )) - (net N_1490 (joined + (net N_1601 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_10)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_10)) )) - (net N_1489 (joined + (net N_1600 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_9)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) )) - (net N_1488 (joined + (net N_1599 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_8)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) )) - (net N_1487 (joined + (net N_1598 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_7)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) )) - (net N_1486 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_6)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) - )) - (net N_1485 (joined + (net N_1596 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_5)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) )) - (net N_1484 (joined + (net N_1595 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_4)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) )) - (net N_1483 (joined + (net N_1594 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_3)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) )) - (net N_1482 (joined + (net N_1593 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_2)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) )) - (net N_1481 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_1)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) - )) - (net N_1480 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_15_0)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) - )) - (net N_1009 (joined + (net N_1120 (joined (portRef Z (instanceRef un2_channel_hit_time_30_10)) (portRef D1 (instanceRef un2_channel_hit_time_31_10)) )) - (net N_1008 (joined + (net N_1119 (joined (portRef Z (instanceRef un2_channel_hit_time_30_9)) (portRef D1 (instanceRef un2_channel_hit_time_31_9)) )) - (net N_1007 (joined + (net N_1118 (joined (portRef Z (instanceRef un2_channel_hit_time_30_8)) (portRef D1 (instanceRef un2_channel_hit_time_31_8)) )) - (net N_1006 (joined + (net N_1117 (joined (portRef Z (instanceRef un2_channel_hit_time_30_7)) (portRef D1 (instanceRef un2_channel_hit_time_31_7)) )) - (net N_1005 (joined + (net N_1116 (joined (portRef Z (instanceRef un2_channel_hit_time_30_6)) (portRef D1 (instanceRef un2_channel_hit_time_31_6)) )) - (net N_1004 (joined + (net N_1115 (joined (portRef Z (instanceRef un2_channel_hit_time_30_5)) (portRef D1 (instanceRef un2_channel_hit_time_31_5)) )) - (net N_1003 (joined + (net N_1114 (joined (portRef Z (instanceRef un2_channel_hit_time_30_4)) (portRef D1 (instanceRef un2_channel_hit_time_31_4)) )) - (net N_1002 (joined + (net N_1113 (joined (portRef Z (instanceRef un2_channel_hit_time_30_3)) (portRef D1 (instanceRef un2_channel_hit_time_31_3)) )) - (net N_1001 (joined + (net N_1112 (joined (portRef Z (instanceRef un2_channel_hit_time_30_2)) (portRef D1 (instanceRef un2_channel_hit_time_31_2)) )) - (net N_1000 (joined + (net N_1111 (joined (portRef Z (instanceRef un2_channel_hit_time_30_1)) (portRef D1 (instanceRef un2_channel_hit_time_31_1)) )) - (net N_999 (joined + (net N_1110 (joined (portRef Z (instanceRef un2_channel_hit_time_30_0)) (portRef D1 (instanceRef un2_channel_hit_time_31_0)) )) - (net N_932 (joined + (net N_1043 (joined (portRef Z (instanceRef un2_channel_hit_time_23_10)) (portRef D0 (instanceRef un2_channel_hit_time_31_10)) )) - (net N_931 (joined + (net N_1042 (joined (portRef Z (instanceRef un2_channel_hit_time_23_9)) (portRef D0 (instanceRef un2_channel_hit_time_31_9)) )) - (net N_930 (joined + (net N_1041 (joined (portRef Z (instanceRef un2_channel_hit_time_23_8)) (portRef D0 (instanceRef un2_channel_hit_time_31_8)) )) - (net N_929 (joined + (net N_1040 (joined (portRef Z (instanceRef un2_channel_hit_time_23_7)) (portRef D0 (instanceRef un2_channel_hit_time_31_7)) )) - (net N_928 (joined + (net N_1039 (joined (portRef Z (instanceRef un2_channel_hit_time_23_6)) (portRef D0 (instanceRef un2_channel_hit_time_31_6)) )) - (net N_927 (joined + (net N_1038 (joined (portRef Z (instanceRef un2_channel_hit_time_23_5)) (portRef D0 (instanceRef un2_channel_hit_time_31_5)) )) - (net N_926 (joined + (net N_1037 (joined (portRef Z (instanceRef un2_channel_hit_time_23_4)) (portRef D0 (instanceRef un2_channel_hit_time_31_4)) )) - (net N_925 (joined + (net N_1036 (joined (portRef Z (instanceRef un2_channel_hit_time_23_3)) (portRef D0 (instanceRef un2_channel_hit_time_31_3)) )) - (net N_924 (joined + (net N_1035 (joined (portRef Z (instanceRef un2_channel_hit_time_23_2)) (portRef D0 (instanceRef un2_channel_hit_time_31_2)) )) - (net N_923 (joined + (net N_1034 (joined (portRef Z (instanceRef un2_channel_hit_time_23_1)) (portRef D0 (instanceRef un2_channel_hit_time_31_1)) )) - (net N_922 (joined + (net N_1033 (joined (portRef Z (instanceRef un2_channel_hit_time_23_0)) (portRef D0 (instanceRef un2_channel_hit_time_31_0)) )) - (net N_844 (joined + (net N_955 (joined (portRef Z (instanceRef un2_channel_hit_time_15_10)) (portRef D1 (instanceRef un2_channel_hit_time_16_10)) )) - (net N_843 (joined + (net N_954 (joined (portRef Z (instanceRef un2_channel_hit_time_15_9)) (portRef D1 (instanceRef un2_channel_hit_time_16_9)) )) - (net N_842 (joined + (net N_953 (joined (portRef Z (instanceRef un2_channel_hit_time_15_8)) (portRef D1 (instanceRef un2_channel_hit_time_16_8)) )) - (net N_841 (joined + (net N_952 (joined (portRef Z (instanceRef un2_channel_hit_time_15_7)) (portRef D1 (instanceRef un2_channel_hit_time_16_7)) )) - (net N_840 (joined + (net N_951 (joined (portRef Z (instanceRef un2_channel_hit_time_15_6)) (portRef D1 (instanceRef un2_channel_hit_time_16_6)) )) - (net N_839 (joined + (net N_950 (joined (portRef Z (instanceRef un2_channel_hit_time_15_5)) (portRef D1 (instanceRef un2_channel_hit_time_16_5)) )) - (net N_838 (joined + (net N_949 (joined (portRef Z (instanceRef un2_channel_hit_time_15_4)) (portRef D1 (instanceRef un2_channel_hit_time_16_4)) )) - (net N_837 (joined + (net N_948 (joined (portRef Z (instanceRef un2_channel_hit_time_15_3)) (portRef D1 (instanceRef un2_channel_hit_time_16_3)) )) - (net N_836 (joined + (net N_947 (joined (portRef Z (instanceRef un2_channel_hit_time_15_2)) (portRef D1 (instanceRef un2_channel_hit_time_16_2)) )) - (net N_835 (joined + (net N_946 (joined (portRef Z (instanceRef un2_channel_hit_time_15_1)) (portRef D1 (instanceRef un2_channel_hit_time_16_1)) )) - (net N_834 (joined + (net N_945 (joined (portRef Z (instanceRef un2_channel_hit_time_15_0)) (portRef D1 (instanceRef un2_channel_hit_time_16_0)) )) (net reset_i_rep2 (joined (portRef reset_i_rep2) + (portRef reset_i_rep2 (instanceRef GEN_Channels_29_Channels)) (portRef reset_i_rep2 (instanceRef GEN_Channels_31_Channels)) (portRef reset_i_rep2 (instanceRef GEN_Channels_30_Channels)) + (portRef reset_i_rep2 (instanceRef GEN_Channels_28_Channels)) (portRef reset_i_rep2 (instanceRef The_Reference_Time)) - (portRef D (instanceRef FSM_CURRENT_RNO_5)) (portRef D (instanceRef FSM_CURRENT_RNO_0)) - (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_12)) + (portRef C (instanceRef FSM_CURRENT_RNO_5)) (portRef D (instanceRef FSM_CURRENT_srsts_i_0_0_8)) - (portRef C (instanceRef FSM_CURRENT_RNO_13)) - (portRef D (instanceRef trg_win_cnt_up_i_2_0_i)) - )) - (net N_561 (joined - (portRef Z (instanceRef data_finished_fsm_0_i_s_0_a2)) - (portRef B (instanceRef FSM_CURRENT_srsts_0_i_0_12)) - (portRef B (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef B (instanceRef data_finished_fsm_0_i_s_0)) + (portRef C (instanceRef FSM_CURRENT_srsts_0_i_0_12)) + (portRef D (instanceRef FSM_CURRENT_srsts_0_i_0_9)) + (portRef D (instanceRef FSM_CURRENT_RNO_13)) + (portRef D (instanceRef trg_win_cnt_up_i_1_0_i)) )) (net fsm_debug_fsm_i_i_0_0_tz_1 (joined (portRef Z (instanceRef fsm_debug_fsm_i_i_0_0_tz_1)) - (portRef C (instanceRef fsm_debug_reg_RNO_1)) + (portRef D (instanceRef fsm_debug_reg_RNO_1)) )) - (net N_1287 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) + (net N_1367 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) + )) + (net N_1373 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) )) - (net N_1286 (joined + (net N_1397 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_30)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) )) - (net N_1285 (joined + (net N_1398 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) + )) + (net N_1396 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) )) - (net N_1284 (joined + (net N_1395 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_28)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) )) - (net N_1283 (joined + (net N_1394 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_27)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) )) - (net N_1282 (joined + (net N_1393 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_26)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) )) - (net N_1281 (joined + (net N_1392 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_25)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) )) - (net N_1280 (joined + (net N_1391 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_24)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) )) - (net N_1279 (joined + (net N_1390 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_23)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) )) - (net N_1278 (joined + (net N_1389 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_22)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) )) - (net N_1277 (joined + (net N_1388 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_21)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) )) - (net N_1276 (joined + (net N_1387 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_20)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) )) - (net N_1275 (joined + (net N_1386 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_19)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_19)) )) - (net N_1274 (joined + (net N_1385 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_18)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_18)) )) - (net N_1273 (joined + (net N_1384 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_17)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_17)) )) - (net N_1272 (joined + (net N_1383 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_16)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_16)) )) - (net N_1271 (joined + (net N_1382 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_15)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_15)) )) - (net N_1270 (joined + (net N_1381 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_14)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_14)) )) - (net N_1269 (joined + (net N_1380 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_13)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_13)) )) - (net N_1268 (joined + (net N_1379 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_12)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_12)) )) - (net N_1267 (joined + (net N_1378 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_11)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_11)) )) - (net N_1266 (joined + (net N_1377 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_10)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_10)) )) - (net N_1265 (joined + (net N_1376 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_9)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) )) - (net N_1264 (joined + (net N_1375 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_8)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) )) - (net N_1263 (joined + (net N_1374 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_7)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) )) - (net N_1262 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_6)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) - )) - (net N_1261 (joined + (net N_1372 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_5)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) )) - (net N_1260 (joined + (net N_1371 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_4)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) )) - (net N_1259 (joined + (net N_1370 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_3)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) )) - (net N_1258 (joined + (net N_1369 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_2)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) )) - (net N_1257 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_1)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) - )) - (net N_1256 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_8_0)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) - )) - (net N_767 (joined + (net N_878 (joined (portRef Z (instanceRef un2_channel_hit_time_8_10)) (portRef D0 (instanceRef un2_channel_hit_time_16_10)) )) - (net N_766 (joined + (net N_877 (joined (portRef Z (instanceRef un2_channel_hit_time_8_9)) (portRef D0 (instanceRef un2_channel_hit_time_16_9)) )) - (net N_765 (joined + (net N_876 (joined (portRef Z (instanceRef un2_channel_hit_time_8_8)) (portRef D0 (instanceRef un2_channel_hit_time_16_8)) )) - (net N_764 (joined + (net N_875 (joined (portRef Z (instanceRef un2_channel_hit_time_8_7)) (portRef D0 (instanceRef un2_channel_hit_time_16_7)) )) - (net N_763 (joined + (net N_874 (joined (portRef Z (instanceRef un2_channel_hit_time_8_6)) (portRef D0 (instanceRef un2_channel_hit_time_16_6)) )) - (net N_762 (joined + (net N_873 (joined (portRef Z (instanceRef un2_channel_hit_time_8_5)) (portRef D0 (instanceRef un2_channel_hit_time_16_5)) )) - (net N_761 (joined + (net N_872 (joined (portRef Z (instanceRef un2_channel_hit_time_8_4)) (portRef D0 (instanceRef un2_channel_hit_time_16_4)) )) - (net N_760 (joined + (net N_871 (joined (portRef Z (instanceRef un2_channel_hit_time_8_3)) (portRef D0 (instanceRef un2_channel_hit_time_16_3)) )) - (net N_759 (joined + (net N_870 (joined (portRef Z (instanceRef un2_channel_hit_time_8_2)) (portRef D0 (instanceRef un2_channel_hit_time_16_2)) )) - (net N_758 (joined + (net N_869 (joined (portRef Z (instanceRef un2_channel_hit_time_8_1)) (portRef D0 (instanceRef un2_channel_hit_time_16_1)) )) - (net N_757 (joined + (net N_868 (joined (portRef Z (instanceRef un2_channel_hit_time_8_0)) (portRef D0 (instanceRef un2_channel_hit_time_16_0)) )) - (net fsm_debug_fsm_i_i_0_0_0 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_0_0)) - (portRef D (instanceRef fsm_debug_fsm_i_i_0_1_0)) + (net N_2103 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_0)) + )) + (net N_2109 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_6)) + )) + (net N_2133 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) + )) + (net un1_wr_header_i_2_i_2 (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_2)) + (portRef A (instanceRef un1_wr_header_i_2_i_2_RNI6MFM)) )) - (net N_2264 (joined + (net N_2390 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_30)) (portRef D1 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_31)) )) - (net N_2249 (joined + (net N_2375 (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_15)) (portRef D0 (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_31)) )) - (net N_2023 (joined + (net N_2134 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_31)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) )) - (net N_2022 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_30)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) - )) - (net N_2021 (joined + (net N_2132 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_29)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) )) - (net N_2020 (joined + (net N_2131 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_28)) - (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_28)) + (portRef B (instanceRef data_out_reg_RNO_28)) )) - (net N_2019 (joined + (net N_2130 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_27)) - (portRef B (instanceRef data_out_reg_RNO_27)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_27)) )) - (net N_2018 (joined + (net N_2129 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_26)) - (portRef B (instanceRef data_out_reg_RNO_26)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_26)) )) - (net N_2017 (joined + (net N_2128 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_25)) - (portRef B (instanceRef data_out_reg_RNO_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) )) - (net N_2016 (joined + (net N_2127 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_24)) - (portRef B (instanceRef data_out_reg_RNO_24)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_24)) )) - (net N_2015 (joined + (net N_2126 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_23)) - (portRef B (instanceRef data_out_reg_RNO_23)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_23)) )) - (net N_2014 (joined + (net N_2125 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_22)) - (portRef B (instanceRef data_out_reg_RNO_22)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_22)) )) - (net N_2013 (joined + (net N_2124 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_21)) - (portRef B (instanceRef data_out_reg_RNO_21)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_21)) )) - (net N_2012 (joined + (net N_2123 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_20)) - (portRef B (instanceRef data_out_reg_RNO_20)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_20)) )) - (net N_2011 (joined + (net N_2122 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_19)) - (portRef B (instanceRef data_out_reg_RNO_19)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_19)) )) - (net N_2010 (joined + (net N_2121 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_18)) - (portRef B (instanceRef data_out_reg_RNO_18)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_18)) )) - (net N_2009 (joined + (net N_2120 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_17)) - (portRef B (instanceRef data_out_reg_RNO_17)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_17)) )) - (net N_2008 (joined + (net N_2119 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_16)) - (portRef B (instanceRef data_out_reg_RNO_16)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_16)) )) - (net N_2007 (joined + (net N_2118 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_15)) - (portRef B (instanceRef data_out_reg_RNO_15)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_15)) )) - (net N_2006 (joined + (net N_2117 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_14)) - (portRef B (instanceRef data_out_reg_RNO_14)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_14)) )) - (net N_2005 (joined + (net N_2116 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_13)) - (portRef B (instanceRef data_out_reg_RNO_13)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_13)) )) - (net N_2004 (joined + (net N_2115 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_12)) - (portRef B (instanceRef data_out_reg_RNO_12)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_12)) )) - (net N_2003 (joined + (net N_2114 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_11)) - (portRef B (instanceRef data_out_reg_RNO_11)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_11)) )) - (net N_2002 (joined + (net N_2113 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_10)) - (portRef B (instanceRef data_out_reg_RNO_10)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_10)) )) - (net N_2001 (joined + (net N_2112 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_9)) - (portRef B (instanceRef data_out_reg_RNO_9)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_9)) )) - (net N_2000 (joined + (net N_2111 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_8)) - (portRef B (instanceRef data_out_reg_RNO_8)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_8)) )) - (net N_1999 (joined + (net N_2110 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_7)) - (portRef B (instanceRef data_out_reg_RNO_7)) - )) - (net N_1998 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_6)) - (portRef B (instanceRef data_out_reg_RNO_6)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_7)) )) - (net N_1997 (joined + (net N_2108 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_5)) - (portRef B (instanceRef data_out_reg_RNO_5)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_5)) )) - (net N_1996 (joined + (net N_2107 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_4)) - (portRef B (instanceRef data_out_reg_RNO_4)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_4)) )) - (net N_1995 (joined + (net N_2106 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_3)) - (portRef B (instanceRef data_out_reg_RNO_3)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_3)) )) - (net N_1994 (joined + (net N_2105 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_2)) - (portRef B (instanceRef data_out_reg_RNO_2)) + (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_2)) + )) + (net data_out_reg_3_31_am_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_am_1)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) + )) + (net data_out_reg_3_31_bm_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_bm_1)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) )) - (net N_1993 (joined + (net N_2104 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_1)) (portRef D1 (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) )) - (net N_1992 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_31_0)) - (portRef B (instanceRef data_out_reg_RNO_0)) - )) - (net N_1020 (joined + (net N_1131 (joined (portRef Z (instanceRef un2_channel_hit_time_31_10)) (portRef D1 (instanceRef un2_channel_hit_time_32_10)) )) - (net N_1019 (joined + (net N_1130 (joined (portRef Z (instanceRef un2_channel_hit_time_31_9)) (portRef D1 (instanceRef un2_channel_hit_time_32_9)) )) - (net N_1018 (joined + (net N_1129 (joined (portRef Z (instanceRef un2_channel_hit_time_31_8)) (portRef D1 (instanceRef un2_channel_hit_time_32_8)) )) - (net N_1017 (joined + (net N_1128 (joined (portRef Z (instanceRef un2_channel_hit_time_31_7)) (portRef D1 (instanceRef un2_channel_hit_time_32_7)) )) - (net N_1016 (joined + (net N_1127 (joined (portRef Z (instanceRef un2_channel_hit_time_31_6)) (portRef D1 (instanceRef un2_channel_hit_time_32_6)) )) - (net N_1015 (joined + (net N_1126 (joined (portRef Z (instanceRef un2_channel_hit_time_31_5)) (portRef D1 (instanceRef un2_channel_hit_time_32_5)) )) - (net N_1014 (joined + (net N_1125 (joined (portRef Z (instanceRef un2_channel_hit_time_31_4)) (portRef D1 (instanceRef un2_channel_hit_time_32_4)) )) - (net N_1013 (joined + (net N_1124 (joined (portRef Z (instanceRef un2_channel_hit_time_31_3)) (portRef D1 (instanceRef un2_channel_hit_time_32_3)) )) - (net N_1012 (joined + (net N_1123 (joined (portRef Z (instanceRef un2_channel_hit_time_31_2)) (portRef D1 (instanceRef un2_channel_hit_time_32_2)) )) - (net N_1011 (joined + (net N_1122 (joined (portRef Z (instanceRef un2_channel_hit_time_31_1)) (portRef D1 (instanceRef un2_channel_hit_time_32_1)) )) - (net N_1010 (joined + (net N_1121 (joined (portRef Z (instanceRef un2_channel_hit_time_31_0)) (portRef D1 (instanceRef un2_channel_hit_time_32_0)) )) - (net fsm_debug_fsm_i_i_0_1_0 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_1_0)) - (portRef D (instanceRef fsm_debug_reg_RNO_0)) + (net un1_wr_header_i_2_i_0_tz (joined + (portRef Z (instanceRef un1_wr_header_i_2_i_0_tz)) + (portRef C (instanceRef un1_wr_header_i_2_i_2_RNI6MFM)) )) - (net N_1543 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) + (net N_1623 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_0)) + )) + (net N_1629 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_6)) )) - (net N_1542 (joined + (net N_1653 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_30)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) )) - (net N_1541 (joined + (net N_109 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_a2_27)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) + (portRef D (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_9_sqmuxa_0_a2)) + )) + (net N_2619 (joined + (portRef Z (instanceRef data_out_reg_2_sqmuxa_0_a2_1)) + (portRef D (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef D (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef D (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_5_sqmuxa_0_a2)) + (portRef D (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef B (instanceRef data_out_reg_2_sqmuxa_0_a2)) + )) + (net N_1654 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_31)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) + )) + (net N_1652 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_29)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) )) - (net N_1540 (joined + (net N_1651 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_28)) - (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_28)) + (portRef A (instanceRef data_out_reg_RNO_28)) )) - (net N_1539 (joined + (net N_1650 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_27)) - (portRef A (instanceRef data_out_reg_RNO_27)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_27)) )) - (net N_1538 (joined + (net N_1649 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_26)) - (portRef A (instanceRef data_out_reg_RNO_26)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_26)) )) - (net N_1537 (joined + (net N_1648 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_25)) - (portRef A (instanceRef data_out_reg_RNO_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) )) - (net N_1536 (joined + (net N_1647 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_24)) - (portRef A (instanceRef data_out_reg_RNO_24)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_24)) )) - (net N_1535 (joined + (net N_1646 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_23)) - (portRef A (instanceRef data_out_reg_RNO_23)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_23)) )) - (net N_1534 (joined + (net N_1645 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_22)) - (portRef A (instanceRef data_out_reg_RNO_22)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_22)) )) - (net N_1533 (joined + (net N_1644 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_21)) - (portRef A (instanceRef data_out_reg_RNO_21)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_21)) )) - (net N_1532 (joined + (net N_1643 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_20)) - (portRef A (instanceRef data_out_reg_RNO_20)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_20)) )) - (net N_1531 (joined + (net N_1642 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_19)) - (portRef A (instanceRef data_out_reg_RNO_19)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_19)) )) - (net N_1530 (joined + (net N_1641 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_18)) - (portRef A (instanceRef data_out_reg_RNO_18)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_18)) )) - (net N_1529 (joined + (net N_1640 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_17)) - (portRef A (instanceRef data_out_reg_RNO_17)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_17)) )) - (net N_1528 (joined + (net N_1639 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_16)) - (portRef A (instanceRef data_out_reg_RNO_16)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_16)) )) - (net N_1527 (joined + (net N_1638 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_15)) - (portRef A (instanceRef data_out_reg_RNO_15)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_15)) )) - (net N_1526 (joined + (net N_1637 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_14)) - (portRef A (instanceRef data_out_reg_RNO_14)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_14)) )) - (net N_1525 (joined + (net N_1636 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_13)) - (portRef A (instanceRef data_out_reg_RNO_13)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_13)) )) - (net N_1524 (joined + (net N_1635 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_12)) - (portRef A (instanceRef data_out_reg_RNO_12)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_12)) )) - (net N_1523 (joined + (net N_1634 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_11)) - (portRef A (instanceRef data_out_reg_RNO_11)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_11)) )) - (net N_1522 (joined + (net N_1633 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_10)) - (portRef A (instanceRef data_out_reg_RNO_10)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_10)) )) - (net N_1521 (joined + (net N_1632 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_9)) - (portRef A (instanceRef data_out_reg_RNO_9)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_9)) )) - (net N_1520 (joined + (net N_1631 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_8)) - (portRef A (instanceRef data_out_reg_RNO_8)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_8)) )) - (net N_1519 (joined + (net N_1630 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_7)) - (portRef A (instanceRef data_out_reg_RNO_7)) - )) - (net N_1518 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_6)) - (portRef A (instanceRef data_out_reg_RNO_6)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_7)) )) - (net N_1517 (joined + (net N_1628 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_5)) - (portRef A (instanceRef data_out_reg_RNO_5)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_5)) )) - (net N_1516 (joined + (net N_1627 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_4)) - (portRef A (instanceRef data_out_reg_RNO_4)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_4)) )) - (net N_1515 (joined + (net N_1626 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_3)) - (portRef A (instanceRef data_out_reg_RNO_3)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_3)) )) - (net N_1514 (joined + (net N_1625 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_2)) - (portRef A (instanceRef data_out_reg_RNO_2)) + (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_2)) + )) + (net data_out_reg_3_16_am_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_am_1)) + (portRef BLUT (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) )) - (net N_1513 (joined + (net data_out_reg_3_16_bm_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_bm_1)) + (portRef ALUT (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) + )) + (net N_1624 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_1)) (portRef D0 (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) )) - (net N_1512 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_16_0)) - (portRef A (instanceRef data_out_reg_RNO_0)) - )) - (net N_855 (joined + (net N_966 (joined (portRef Z (instanceRef un2_channel_hit_time_16_10)) (portRef D0 (instanceRef un2_channel_hit_time_32_10)) )) - (net N_854 (joined + (net N_965 (joined (portRef Z (instanceRef un2_channel_hit_time_16_9)) (portRef D0 (instanceRef un2_channel_hit_time_32_9)) )) - (net N_853 (joined + (net N_964 (joined (portRef Z (instanceRef un2_channel_hit_time_16_8)) (portRef D0 (instanceRef un2_channel_hit_time_32_8)) )) - (net N_852 (joined + (net N_963 (joined (portRef Z (instanceRef un2_channel_hit_time_16_7)) (portRef D0 (instanceRef un2_channel_hit_time_32_7)) )) - (net N_851 (joined + (net N_962 (joined (portRef Z (instanceRef un2_channel_hit_time_16_6)) (portRef D0 (instanceRef un2_channel_hit_time_32_6)) )) - (net N_850 (joined + (net N_961 (joined (portRef Z (instanceRef un2_channel_hit_time_16_5)) (portRef D0 (instanceRef un2_channel_hit_time_32_5)) )) - (net N_849 (joined + (net N_960 (joined (portRef Z (instanceRef un2_channel_hit_time_16_4)) (portRef D0 (instanceRef un2_channel_hit_time_32_4)) )) - (net N_848 (joined + (net N_959 (joined (portRef Z (instanceRef un2_channel_hit_time_16_3)) (portRef D0 (instanceRef un2_channel_hit_time_32_3)) )) - (net N_847 (joined + (net N_958 (joined (portRef Z (instanceRef un2_channel_hit_time_16_2)) (portRef D0 (instanceRef un2_channel_hit_time_32_2)) )) - (net N_846 (joined + (net N_957 (joined (portRef Z (instanceRef un2_channel_hit_time_16_1)) (portRef D0 (instanceRef un2_channel_hit_time_32_1)) )) - (net N_845 (joined + (net N_956 (joined (portRef Z (instanceRef un2_channel_hit_time_16_0)) (portRef D0 (instanceRef un2_channel_hit_time_32_0)) )) (net un7_empty_channels (joined (portRef Z (instanceRef Statistics_Empty_Channel_Number_un7_empty_channels_31)) - (portRef B (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2)) + (portRef B (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2)) + )) + (net N_110 (joined + (portRef Z (instanceRef data_out_reg_2_sqmuxa_0_a2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + )) + (net data_out_reg_3_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + )) + (net data_out_reg_3_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) + )) + (net data_out_reg_3_30 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_30)) + )) + (net N_527 (joined + (portRef Z (instanceRef FSM_CURRENT_srsts_i_i_a3_0_o2_5)) + (portRef B (instanceRef FSM_CURRENT_RNO_5)) + (portRef A (instanceRef fsm_debug_fsm_i_0_0)) )) (net data_out_reg_3_31 (joined (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_31)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_31)) )) - (net data_out_reg_3_30 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_30)) - (portRef A (instanceRef data_out_reg_RNO_30)) + (net data_out_reg_3_27 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_27)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_27)) )) - (net data_out_reg_3_29 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_29)) - (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) + (net data_out_reg_3_24 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) )) - (net data_out_reg_3_28 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_28)) - (portRef A (instanceRef data_out_reg_RNO_28)) + (net data_out_reg_3_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + )) + (net data_out_reg_3_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + )) + (net data_out_reg_3_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + )) + (net data_out_reg_3_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + )) + (net data_out_reg_3_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + )) + (net data_out_reg_3_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + )) + (net data_out_reg_3_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + )) + (net data_out_reg_3_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + )) + (net data_out_reg_3_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + )) + (net data_out_reg_3_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + )) + (net data_out_reg_3_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + )) + (net data_out_reg_3_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + )) + (net data_out_reg_3_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + )) + (net data_out_reg_3_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + )) + (net data_out_reg_3_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + )) + (net data_out_reg_3_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + )) + (net data_out_reg_3_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + )) + (net data_out_reg_3_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + )) + (net data_out_reg_3_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + )) + (net data_out_reg_3_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + )) + (net data_out_reg_3_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + )) + (net data_out_reg_3_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + )) + (net un1_TW_post_11 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_10)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_9_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) + )) + (net un1_TW_post_10 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_9)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_9_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) + )) + (net un1_TW_post_9 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_8)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_7_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_7_0)) + )) + (net un1_TW_post_8 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_7)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_7_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_7_0)) + )) + (net un1_TW_post_7 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_6)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_5_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_5_0)) + )) + (net un1_TW_post_6 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_5)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_5_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_5_0)) + )) + (net un1_TW_post_5 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_4)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_3_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_3_0)) + )) + (net un1_TW_post_4 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_3)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_3_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_3_0)) + )) + (net un1_TW_post_3 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_2)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_1_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_1_0)) + )) + (net un1_TW_post_2 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_1)) + (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_1_0)) + (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_1_0)) + )) + (net un1_TW_post_0 (joined + (portRef Z (instanceRef un2_channel_hit_time_32_0)) + (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_0_0)) + (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_0_0)) + )) + (net un2_i_i (joined + (portRef S1 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) + (portRef B0 (instanceRef un1_i_3_cry_0_0)) + (portRef A (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2)) + )) + (net un42_empty_channels (joined + (portRef Z (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2_0_a2)) + (portRef B0 (instanceRef un1_empty_channels_cry_0_0)) + )) + (net data_out_reg_10_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_10_sqmuxa_0_a2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + )) + (net data_out_reg_8_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_8_sqmuxa_0_a2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_RNO_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_RNO_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_8)) + )) + (net data_out_reg_0_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_0_sqmuxa_0_a2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + )) + (net data_out_reg_1_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_1_sqmuxa_0_a2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_1)) + )) + (net data_out_reg_3_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_3_sqmuxa_0_a2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + )) + (net data_out_reg_4_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_4_sqmuxa_0_a2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + )) + (net data_out_reg_7_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_7_sqmuxa_0_a2)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_1_6)) + )) + (net data_out_reg_6_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_6_sqmuxa_0_a3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_26)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + )) + (net data_out_reg_2_sqmuxa (joined + (portRef Z (instanceRef data_out_reg_2_sqmuxa_0_a3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_25)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + )) + (net N_2874 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_o13_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + )) + (net N_510 (joined + (portRef Z (instanceRef rd_en_fsm_0_a2_i_o2_1_23)) + (portRef C (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef C (instanceRef rd_en_fsm_i_0_o2_0_19)) + )) + (net stat_reg_358 (joined + (portRef Q (instanceRef spike_number_6)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_1_6)) + (portRef stat_reg_358) + )) + (net N_2609 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_1_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + )) + (net stat_reg_204 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_12)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + (portRef stat_reg_204) + )) + (net N_2882 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a13_5_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + )) + (net stat_reg_328 (joined + (portRef Q (instanceRef wrong_readout_number_8)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef stat_reg_328) + )) + (net N_2854 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) + )) + (net stat_reg_392 (joined + (portRef Q (instanceRef idle_time_8)) + (portRef A1 (instanceRef un1_idle_i_cry_7_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_8)) + (portRef stat_reg_392) + )) + (net N_2853 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + )) + (net stat_reg_331 (joined + (portRef Q (instanceRef wrong_readout_number_11)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef stat_reg_331) + )) + (net N_2842 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + )) + (net stat_reg_395 (joined + (portRef Q (instanceRef idle_time_11)) + (portRef A0 (instanceRef un1_idle_i_cry_11_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_11)) + (portRef stat_reg_395) + )) + (net N_2841 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + )) + (net stat_reg_335 (joined + (portRef Q (instanceRef wrong_readout_number_15)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef stat_reg_335) + )) + (net N_2830 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + )) + (net stat_reg_399 (joined + (portRef Q (instanceRef idle_time_15)) + (portRef A0 (instanceRef un1_idle_i_cry_15_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_15)) + (portRef stat_reg_399) + )) + (net N_2829 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + )) + (net stat_reg_336 (joined + (portRef Q (instanceRef wrong_readout_number_16)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef stat_reg_336) + )) + (net N_2818 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + )) + (net stat_reg_400 (joined + (portRef Q (instanceRef idle_time_16)) + (portRef A1 (instanceRef un1_idle_i_cry_15_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_16)) + (portRef stat_reg_400) + )) + (net N_2817 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + )) + (net stat_reg_337 (joined + (portRef Q (instanceRef wrong_readout_number_17)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef stat_reg_337) + )) + (net N_2806 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + )) + (net stat_reg_401 (joined + (portRef Q (instanceRef idle_time_17)) + (portRef A0 (instanceRef un1_idle_i_cry_17_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_17)) + (portRef stat_reg_401) + )) + (net N_2805 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + )) + (net stat_reg_339 (joined + (portRef Q (instanceRef wrong_readout_number_19)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef stat_reg_339) + )) + (net N_2794 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + )) + (net stat_reg_403 (joined + (portRef Q (instanceRef idle_time_19)) + (portRef A0 (instanceRef un1_idle_i_cry_19_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_19)) + (portRef stat_reg_403) + )) + (net N_2793 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + )) + (net stat_reg_404 (joined + (portRef Q (instanceRef idle_time_20)) + (portRef A1 (instanceRef un1_idle_i_cry_19_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_20)) + (portRef stat_reg_404) + )) + (net N_2771 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + )) + (net stat_reg_340 (joined + (portRef Q (instanceRef wrong_readout_number_20)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef stat_reg_340) + )) + (net N_2769 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + )) + (net stat_reg_405 (joined + (portRef Q (instanceRef idle_time_21)) + (portRef A0 (instanceRef un1_idle_i_cry_21_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_21)) + (portRef stat_reg_405) + )) + (net N_2760 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + )) + (net stat_reg_341 (joined + (portRef Q (instanceRef wrong_readout_number_21)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef stat_reg_341) + )) + (net N_2758 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + )) + (net stat_reg_406 (joined + (portRef Q (instanceRef idle_time_22)) + (portRef A1 (instanceRef un1_idle_i_cry_21_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_22)) + (portRef stat_reg_406) + )) + (net N_2749 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + )) + (net stat_reg_342 (joined + (portRef Q (instanceRef wrong_readout_number_22)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef stat_reg_342) + )) + (net N_2747 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + )) + (net stat_reg_407 (joined + (portRef Q (instanceRef idle_time_23)) + (portRef A0 (instanceRef un1_idle_i_s_23_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_23)) + (portRef stat_reg_407) + )) + (net N_2738 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_5_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + )) + (net stat_reg_343 (joined + (portRef Q (instanceRef wrong_readout_number_23)) + (portRef A0 (instanceRef un1_wrong_readout_i_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef stat_reg_343) + )) + (net N_2736 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a3_3_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) + )) + (net stat_reg_160 (joined + (portRef Q (instanceRef valid_tmg_trig_number_0)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef stat_reg_160) + )) + (net N_2696 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + )) + (net stat_reg_384 (joined + (portRef Q (instanceRef idle_time_0)) + (portRef A1 (instanceRef un1_idle_i_cry_0_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_0)) + (portRef stat_reg_384) + )) + (net N_2690 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + )) + (net stat_reg_170 (joined + (portRef Q (instanceRef valid_tmg_trig_number_10)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef stat_reg_170) + )) + (net N_2684 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + )) + (net stat_reg_394 (joined + (portRef Q (instanceRef idle_time_10)) + (portRef A1 (instanceRef un1_idle_i_cry_9_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_10)) + (portRef stat_reg_394) + )) + (net N_2678 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + )) + (net stat_reg_169 (joined + (portRef Q (instanceRef valid_tmg_trig_number_9)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef stat_reg_169) + )) + (net N_2672 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + )) + (net stat_reg_393 (joined + (portRef Q (instanceRef idle_time_9)) + (portRef A0 (instanceRef un1_idle_i_cry_9_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_9)) + (portRef stat_reg_393) + )) + (net N_2668 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + )) + (net stat_reg_173 (joined + (portRef Q (instanceRef valid_tmg_trig_number_13)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef stat_reg_173) + )) + (net N_2664 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + )) + (net stat_reg_397 (joined + (portRef Q (instanceRef idle_time_13)) + (portRef A0 (instanceRef un1_idle_i_cry_13_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_13)) + (portRef stat_reg_397) + )) + (net N_2658 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + )) + (net stat_reg_174 (joined + (portRef Q (instanceRef valid_tmg_trig_number_14)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef stat_reg_174) + )) + (net N_2652 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + )) + (net stat_reg_398 (joined + (portRef Q (instanceRef idle_time_14)) + (portRef A1 (instanceRef un1_idle_i_cry_13_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_14)) + (portRef stat_reg_398) + )) + (net N_2647 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + )) + (net stat_reg_178 (joined + (portRef Q (instanceRef valid_tmg_trig_number_18)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef stat_reg_178) + )) + (net N_2641 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_9_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + )) + (net stat_reg_402 (joined + (portRef Q (instanceRef idle_time_18)) + (portRef A1 (instanceRef un1_idle_i_cry_17_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_18)) + (portRef stat_reg_402) + )) + (net N_2636 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_3_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + )) + (net stat_reg_396 (joined + (portRef Q (instanceRef idle_time_12)) + (portRef A1 (instanceRef un1_idle_i_cry_11_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_RNO_12)) + (portRef stat_reg_396) + )) + (net idle_time_m_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_RNO_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + )) + (net stat_reg_389 (joined + (portRef Q (instanceRef idle_time_5)) + (portRef A0 (instanceRef un1_idle_i_cry_5_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_5)) + (portRef stat_reg_389) + )) + (net idle_time_m_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + )) + (net stat_reg_197 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_5)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef stat_reg_197) + )) + (net valid_NOtmg_trig_number_m_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + )) + (net stat_reg_388 (joined + (portRef Q (instanceRef idle_time_4)) + (portRef A1 (instanceRef un1_idle_i_cry_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_4)) + (portRef stat_reg_388) + )) + (net idle_time_m_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + )) + (net stat_reg_196 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_4)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef stat_reg_196) + )) + (net valid_NOtmg_trig_number_m_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + )) + (net stat_reg_387 (joined + (portRef Q (instanceRef idle_time_3)) + (portRef A0 (instanceRef un1_idle_i_cry_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_3)) + (portRef stat_reg_387) + )) + (net idle_time_m_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + )) + (net stat_reg_195 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_3)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef stat_reg_195) + )) + (net valid_NOtmg_trig_number_m_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + )) + (net stat_reg_386 (joined + (portRef Q (instanceRef idle_time_2)) + (portRef A1 (instanceRef un1_idle_i_cry_1_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_2)) + (portRef stat_reg_386) + )) + (net idle_time_m_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_RNO_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + )) + (net stat_reg_194 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_2)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef stat_reg_194) + )) + (net valid_NOtmg_trig_number_m_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + )) + (net stat_reg_385 (joined + (portRef Q (instanceRef idle_time_1)) + (portRef A0 (instanceRef un1_idle_i_cry_1_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_RNO_1)) + (portRef stat_reg_385) + )) + (net idle_time_m_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + )) + (net stat_reg_321 (joined + (portRef Q (instanceRef wrong_readout_number_1)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef stat_reg_321) + )) + (net wrong_readout_number_m_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + )) + (net stat_reg_257 (joined + (portRef Q (instanceRef multi_tmg_trig_number_1)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef stat_reg_257) + )) + (net multi_tmg_trig_number_m_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_i_RNO_1)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) + )) + (net stat_reg_129 (joined + (portRef Q (instanceRef trig_number_1)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_1)) + (portRef stat_reg_129) + )) + (net trig_number_m_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_RNO_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + )) + (net stat_reg_327 (joined + (portRef Q (instanceRef wrong_readout_number_7)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef stat_reg_327) + )) + (net N_2866 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_5_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + )) + (net stat_reg_391 (joined + (portRef Q (instanceRef idle_time_7)) + (portRef A0 (instanceRef un1_idle_i_cry_7_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_7)) + (portRef stat_reg_391) + )) + (net N_2865 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_a2_4_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + )) + (net N_526 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) + (portRef A (instanceRef fsm_debug_fsm_i_i_0_a2_1)) + )) + (net data_out_reg_22_0_iv_i_0_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_1_6)) + )) + (net stat_reg_418 (joined + (portRef Q (instanceRef wait_time_2)) + (portRef A1 (instanceRef un1_readout_i_2_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + (portRef stat_reg_418) + )) + (net data_out_reg_22_0_iv_0_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + )) + (net stat_reg_420 (joined + (portRef Q (instanceRef wait_time_4)) + (portRef A1 (instanceRef un1_readout_i_2_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + (portRef stat_reg_420) + )) + (net data_out_reg_22_0_iv_0_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + )) + (net stat_reg_416 (joined + (portRef Q (instanceRef wait_time_0)) + (portRef A1 (instanceRef un1_readout_i_2_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + (portRef stat_reg_416) + )) + (net data_out_reg_22_0_iv_i_0_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + )) + (net stat_reg_437 (joined + (portRef Q (instanceRef wait_time_21)) + (portRef A0 (instanceRef un1_readout_i_2_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + (portRef stat_reg_437) + )) + (net data_out_reg_22_0_iv_i_0_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + )) + (net stat_reg_419 (joined + (portRef Q (instanceRef wait_time_3)) + (portRef A0 (instanceRef un1_readout_i_2_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + (portRef stat_reg_419) + )) + (net data_out_reg_22_0_iv_0_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + )) + (net stat_reg_427 (joined + (portRef Q (instanceRef wait_time_11)) + (portRef A0 (instanceRef un1_readout_i_2_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + (portRef stat_reg_427) + )) + (net data_out_reg_22_0_iv_i_0_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + )) + (net stat_reg_432 (joined + (portRef Q (instanceRef wait_time_16)) + (portRef A1 (instanceRef un1_readout_i_2_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + (portRef stat_reg_432) + )) + (net data_out_reg_22_0_iv_i_0_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + )) + (net stat_reg_431 (joined + (portRef Q (instanceRef wait_time_15)) + (portRef A0 (instanceRef un1_readout_i_2_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + (portRef stat_reg_431) + )) + (net data_out_reg_22_0_iv_i_0_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + )) + (net stat_reg_438 (joined + (portRef Q (instanceRef wait_time_22)) + (portRef A1 (instanceRef un1_readout_i_2_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + (portRef stat_reg_438) + )) + (net data_out_reg_22_0_iv_i_0_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + )) + (net stat_reg_435 (joined + (portRef Q (instanceRef wait_time_19)) + (portRef A0 (instanceRef un1_readout_i_2_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + (portRef stat_reg_435) + )) + (net data_out_reg_22_0_iv_i_0_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + )) + (net stat_reg_430 (joined + (portRef Q (instanceRef wait_time_14)) + (portRef A1 (instanceRef un1_readout_i_2_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + (portRef stat_reg_430) + )) + (net data_out_reg_22_0_iv_i_0_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + )) + (net stat_reg_425 (joined + (portRef Q (instanceRef wait_time_9)) + (portRef A0 (instanceRef un1_readout_i_2_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + (portRef stat_reg_425) + )) + (net data_out_reg_22_0_iv_i_0_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + )) + (net stat_reg_421 (joined + (portRef Q (instanceRef wait_time_5)) + (portRef A0 (instanceRef un1_readout_i_2_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + (portRef stat_reg_421) + )) + (net data_out_reg_22_0_iv_0_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + )) + (net data_out_reg_22_0_iv_0_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_0_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + )) + (net stat_reg_436 (joined + (portRef Q (instanceRef wait_time_20)) + (portRef A1 (instanceRef un1_readout_i_2_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + (portRef stat_reg_436) + )) + (net data_out_reg_22_0_iv_i_0_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + )) + (net stat_reg_429 (joined + (portRef Q (instanceRef wait_time_13)) + (portRef A0 (instanceRef un1_readout_i_2_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + (portRef stat_reg_429) + )) + (net data_out_reg_22_0_iv_i_0_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + )) + (net stat_reg_423 (joined + (portRef Q (instanceRef wait_time_7)) + (portRef A0 (instanceRef un1_readout_i_2_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + (portRef stat_reg_423) + )) + (net data_out_reg_22_0_iv_i_0_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + )) + (net stat_reg_428 (joined + (portRef Q (instanceRef wait_time_12)) + (portRef A1 (instanceRef un1_readout_i_2_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + (portRef stat_reg_428) + )) + (net data_out_reg_22_0_iv_i_0_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + )) + (net stat_reg_426 (joined + (portRef Q (instanceRef wait_time_10)) + (portRef A1 (instanceRef un1_readout_i_2_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + (portRef stat_reg_426) + )) + (net data_out_reg_22_0_iv_i_0_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + )) + (net stat_reg_434 (joined + (portRef Q (instanceRef wait_time_18)) + (portRef A1 (instanceRef un1_readout_i_2_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + (portRef stat_reg_434) + )) + (net data_out_reg_22_0_iv_i_0_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + )) + (net stat_reg_433 (joined + (portRef Q (instanceRef wait_time_17)) + (portRef A0 (instanceRef un1_readout_i_2_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + (portRef stat_reg_433) + )) + (net data_out_reg_22_0_iv_i_0_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + )) + (net stat_reg_439 (joined + (portRef Q (instanceRef wait_time_23)) + (portRef A0 (instanceRef un1_readout_i_2_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + (portRef stat_reg_439) + )) + (net data_out_reg_22_0_iv_i_0_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + )) + (net stat_reg_424 (joined + (portRef Q (instanceRef wait_time_8)) + (portRef A1 (instanceRef un1_readout_i_2_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + (portRef stat_reg_424) + )) + (net data_out_reg_22_0_iv_i_0_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + )) + (net stat_reg_390 (joined + (portRef Q (instanceRef idle_time_6)) + (portRef A1 (instanceRef un1_idle_i_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + (portRef stat_reg_390) + )) + (net stat_reg_454 (joined + (portRef Q (instanceRef total_empty_channel_6)) + (portRef A1 (instanceRef un1_empty_channels_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + (portRef stat_reg_454) + )) + (net data_out_reg_22_0_iv_i_2_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_6)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) + )) + (net stat_reg_230 (joined + (portRef Q (instanceRef invalid_trig_number_6)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + (portRef stat_reg_230) + )) + (net stat_reg_294 (joined + (portRef Q (instanceRef spurious_trig_number_6)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + (portRef stat_reg_294) + )) + (net data_out_reg_22_0_iv_i_4_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_4_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + )) + (net stat_reg_166 (joined + (portRef Q (instanceRef valid_tmg_trig_number_6)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + (portRef stat_reg_166) + )) + (net stat_reg_262 (joined + (portRef Q (instanceRef multi_tmg_trig_number_6)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + (portRef stat_reg_262) + )) + (net data_out_reg_22_0_iv_i_5_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_6)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) + )) + (net stat_reg_130 (joined + (portRef Q (instanceRef trig_number_2)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef stat_reg_130) + )) + (net stat_reg_450 (joined + (portRef Q (instanceRef total_empty_channel_2)) + (portRef A1 (instanceRef un1_empty_channels_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef stat_reg_450) + )) + (net data_out_reg_22_0_iv_2_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) + )) + (net stat_reg_226 (joined + (portRef Q (instanceRef invalid_trig_number_2)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef stat_reg_226) + )) + (net stat_reg_354 (joined + (portRef Q (instanceRef spike_number_2)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef stat_reg_354) + )) + (net data_out_reg_22_0_iv_3_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_2)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) + )) + (net stat_reg_162 (joined + (portRef Q (instanceRef valid_tmg_trig_number_2)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + (portRef stat_reg_162) + )) + (net stat_reg_322 (joined + (portRef Q (instanceRef wrong_readout_number_2)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + (portRef stat_reg_322) + )) + (net data_out_reg_22_0_iv_5_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_2)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + )) + (net stat_reg_132 (joined + (portRef Q (instanceRef trig_number_4)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef stat_reg_132) + )) + (net stat_reg_452 (joined + (portRef Q (instanceRef total_empty_channel_4)) + (portRef A1 (instanceRef un1_empty_channels_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef stat_reg_452) + )) + (net data_out_reg_22_0_iv_2_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) + )) + (net stat_reg_228 (joined + (portRef Q (instanceRef invalid_trig_number_4)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef stat_reg_228) + )) + (net stat_reg_356 (joined + (portRef Q (instanceRef spike_number_4)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef stat_reg_356) + )) + (net data_out_reg_22_0_iv_3_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_4)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) + )) + (net stat_reg_164 (joined + (portRef Q (instanceRef valid_tmg_trig_number_4)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef stat_reg_164) + )) + (net stat_reg_324 (joined + (portRef Q (instanceRef wrong_readout_number_4)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef stat_reg_324) + )) + (net data_out_reg_22_0_iv_5_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_4)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + )) + (net stat_reg_128 (joined + (portRef Q (instanceRef trig_number_0)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef stat_reg_128) + )) + (net stat_reg_448 (joined + (portRef Q (instanceRef total_empty_channel_0)) + (portRef A1 (instanceRef un1_empty_channels_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef stat_reg_448) + )) + (net data_out_reg_22_0_iv_i_2_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) + )) + (net stat_reg_288 (joined + (portRef Q (instanceRef spurious_trig_number_0)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_0_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef stat_reg_288) + )) + (net stat_reg_352 (joined + (portRef Q (instanceRef spike_number_0)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef stat_reg_352) + )) + (net data_out_reg_22_0_iv_i_3_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_0)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) + )) + (net stat_reg_192 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_0)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_0_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + (portRef stat_reg_192) + )) + (net stat_reg_320 (joined + (portRef Q (instanceRef wrong_readout_number_0)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + (portRef stat_reg_320) + )) + (net data_out_reg_22_0_iv_i_5_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_0)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + )) + (net stat_reg_149 (joined + (portRef Q (instanceRef trig_number_21)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef stat_reg_149) + )) + (net stat_reg_469 (joined + (portRef Q (instanceRef total_empty_channel_21)) + (portRef A0 (instanceRef un1_empty_channels_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef stat_reg_469) + )) + (net data_out_reg_22_0_iv_i_2_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) + )) + (net stat_reg_245 (joined + (portRef Q (instanceRef invalid_trig_number_21)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef stat_reg_245) + )) + (net stat_reg_373 (joined + (portRef Q (instanceRef spike_number_21)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef stat_reg_373) + )) + (net data_out_reg_22_0_iv_i_3_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_21)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) + )) + (net stat_reg_181 (joined + (portRef Q (instanceRef valid_tmg_trig_number_21)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef stat_reg_181) + )) + (net stat_reg_213 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_21)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef stat_reg_213) + )) + (net data_out_reg_22_0_iv_i_5_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_21)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + )) + (net stat_reg_131 (joined + (portRef Q (instanceRef trig_number_3)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef stat_reg_131) + )) + (net stat_reg_451 (joined + (portRef Q (instanceRef total_empty_channel_3)) + (portRef A0 (instanceRef un1_empty_channels_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef stat_reg_451) + )) + (net data_out_reg_22_0_iv_2_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) + )) + (net stat_reg_227 (joined + (portRef Q (instanceRef invalid_trig_number_3)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef stat_reg_227) + )) + (net stat_reg_355 (joined + (portRef Q (instanceRef spike_number_3)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef stat_reg_355) + )) + (net data_out_reg_22_0_iv_3_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_3)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) + )) + (net stat_reg_163 (joined + (portRef Q (instanceRef valid_tmg_trig_number_3)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef stat_reg_163) + )) + (net stat_reg_323 (joined + (portRef Q (instanceRef wrong_readout_number_3)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef stat_reg_323) + )) + (net data_out_reg_22_0_iv_5_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_3)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + )) + (net stat_reg_139 (joined + (portRef Q (instanceRef trig_number_11)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef stat_reg_139) + )) + (net stat_reg_459 (joined + (portRef Q (instanceRef total_empty_channel_11)) + (portRef A0 (instanceRef un1_empty_channels_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef stat_reg_459) + )) + (net data_out_reg_22_0_iv_i_2_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) + )) + (net stat_reg_235 (joined + (portRef Q (instanceRef invalid_trig_number_11)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef stat_reg_235) + )) + (net stat_reg_363 (joined + (portRef Q (instanceRef spike_number_11)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef stat_reg_363) + )) + (net data_out_reg_22_0_iv_i_3_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_11)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) + )) + (net stat_reg_171 (joined + (portRef Q (instanceRef valid_tmg_trig_number_11)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef stat_reg_171) + )) + (net stat_reg_203 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_11)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef stat_reg_203) + )) + (net data_out_reg_22_0_iv_i_5_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_11)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + )) + (net stat_reg_144 (joined + (portRef Q (instanceRef trig_number_16)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef stat_reg_144) + )) + (net stat_reg_464 (joined + (portRef Q (instanceRef total_empty_channel_16)) + (portRef A1 (instanceRef un1_empty_channels_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef stat_reg_464) + )) + (net data_out_reg_22_0_iv_i_2_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) + )) + (net stat_reg_240 (joined + (portRef Q (instanceRef invalid_trig_number_16)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef stat_reg_240) + )) + (net stat_reg_368 (joined + (portRef Q (instanceRef spike_number_16)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef stat_reg_368) + )) + (net data_out_reg_22_0_iv_i_3_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_16)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) + )) + (net stat_reg_176 (joined + (portRef Q (instanceRef valid_tmg_trig_number_16)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef stat_reg_176) + )) + (net stat_reg_208 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_16)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef stat_reg_208) + )) + (net data_out_reg_22_0_iv_i_5_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_16)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + )) + (net stat_reg_143 (joined + (portRef Q (instanceRef trig_number_15)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef stat_reg_143) + )) + (net stat_reg_463 (joined + (portRef Q (instanceRef total_empty_channel_15)) + (portRef A0 (instanceRef un1_empty_channels_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef stat_reg_463) + )) + (net data_out_reg_22_0_iv_i_2_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) + )) + (net stat_reg_239 (joined + (portRef Q (instanceRef invalid_trig_number_15)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef stat_reg_239) + )) + (net stat_reg_367 (joined + (portRef Q (instanceRef spike_number_15)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef stat_reg_367) + )) + (net data_out_reg_22_0_iv_i_3_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_15)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) + )) + (net stat_reg_175 (joined + (portRef Q (instanceRef valid_tmg_trig_number_15)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef stat_reg_175) + )) + (net stat_reg_207 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_15)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef stat_reg_207) + )) + (net data_out_reg_22_0_iv_i_5_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_15)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + )) + (net stat_reg_150 (joined + (portRef Q (instanceRef trig_number_22)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef stat_reg_150) + )) + (net stat_reg_470 (joined + (portRef Q (instanceRef total_empty_channel_22)) + (portRef A1 (instanceRef un1_empty_channels_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef stat_reg_470) + )) + (net data_out_reg_22_0_iv_i_2_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) + )) + (net stat_reg_246 (joined + (portRef Q (instanceRef invalid_trig_number_22)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef stat_reg_246) + )) + (net stat_reg_374 (joined + (portRef Q (instanceRef spike_number_22)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef stat_reg_374) + )) + (net data_out_reg_22_0_iv_i_3_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_22)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) + )) + (net stat_reg_182 (joined + (portRef Q (instanceRef valid_tmg_trig_number_22)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef stat_reg_182) + )) + (net stat_reg_214 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_22)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef stat_reg_214) + )) + (net data_out_reg_22_0_iv_i_5_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_22)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + )) + (net stat_reg_147 (joined + (portRef Q (instanceRef trig_number_19)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef stat_reg_147) + )) + (net stat_reg_467 (joined + (portRef Q (instanceRef total_empty_channel_19)) + (portRef A0 (instanceRef un1_empty_channels_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef stat_reg_467) + )) + (net data_out_reg_22_0_iv_i_2_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) + )) + (net stat_reg_243 (joined + (portRef Q (instanceRef invalid_trig_number_19)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef stat_reg_243) + )) + (net stat_reg_371 (joined + (portRef Q (instanceRef spike_number_19)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef stat_reg_371) + )) + (net data_out_reg_22_0_iv_i_3_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_19)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) + )) + (net stat_reg_179 (joined + (portRef Q (instanceRef valid_tmg_trig_number_19)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef stat_reg_179) + )) + (net stat_reg_211 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_19)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef stat_reg_211) + )) + (net data_out_reg_22_0_iv_i_5_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_19)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + )) + (net stat_reg_142 (joined + (portRef Q (instanceRef trig_number_14)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef stat_reg_142) + )) + (net stat_reg_462 (joined + (portRef Q (instanceRef total_empty_channel_14)) + (portRef A1 (instanceRef un1_empty_channels_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef stat_reg_462) + )) + (net data_out_reg_22_0_iv_i_2_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) + )) + (net stat_reg_302 (joined + (portRef Q (instanceRef spurious_trig_number_14)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef stat_reg_302) + )) + (net stat_reg_366 (joined + (portRef Q (instanceRef spike_number_14)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef stat_reg_366) + )) + (net data_out_reg_22_0_iv_i_3_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_14)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) + )) + (net stat_reg_206 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_14)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef stat_reg_206) + )) + (net stat_reg_334 (joined + (portRef Q (instanceRef wrong_readout_number_14)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef stat_reg_334) + )) + (net data_out_reg_22_0_iv_i_5_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_14)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + )) + (net stat_reg_137 (joined + (portRef Q (instanceRef trig_number_9)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef stat_reg_137) + )) + (net stat_reg_457 (joined + (portRef Q (instanceRef total_empty_channel_9)) + (portRef A0 (instanceRef un1_empty_channels_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef stat_reg_457) + )) + (net data_out_reg_22_0_iv_i_2_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) + )) + (net stat_reg_233 (joined + (portRef Q (instanceRef invalid_trig_number_9)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef stat_reg_233) + )) + (net stat_reg_361 (joined + (portRef Q (instanceRef spike_number_9)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef stat_reg_361) + )) + (net data_out_reg_22_0_iv_i_3_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_9)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) + )) + (net stat_reg_201 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_9)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef stat_reg_201) + )) + (net stat_reg_329 (joined + (portRef Q (instanceRef wrong_readout_number_9)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef stat_reg_329) + )) + (net data_out_reg_22_0_iv_i_5_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_9)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + )) + (net stat_reg_133 (joined + (portRef Q (instanceRef trig_number_5)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef stat_reg_133) + )) + (net stat_reg_453 (joined + (portRef Q (instanceRef total_empty_channel_5)) + (portRef A0 (instanceRef un1_empty_channels_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef stat_reg_453) + )) + (net data_out_reg_22_0_iv_2_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) + )) + (net stat_reg_229 (joined + (portRef Q (instanceRef invalid_trig_number_5)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef stat_reg_229) + )) + (net stat_reg_357 (joined + (portRef Q (instanceRef spike_number_5)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef stat_reg_357) + )) + (net data_out_reg_22_0_iv_3_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_3_5)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) + )) + (net stat_reg_165 (joined + (portRef Q (instanceRef valid_tmg_trig_number_5)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef stat_reg_165) + )) + (net stat_reg_325 (joined + (portRef Q (instanceRef wrong_readout_number_5)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef stat_reg_325) + )) + (net data_out_reg_22_0_iv_5_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_5_5)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + )) + (net stat_reg_225 (joined + (portRef Q (instanceRef invalid_trig_number_1)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef stat_reg_225) + )) + (net stat_reg_353 (joined + (portRef Q (instanceRef spike_number_1)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef stat_reg_353) + )) + (net data_out_reg_22_0_iv_4_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_4_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + )) + (net stat_reg_161 (joined + (portRef Q (instanceRef valid_tmg_trig_number_1)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef stat_reg_161) + )) + (net stat_reg_193 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_1)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef stat_reg_193) + )) + (net data_out_reg_22_0_iv_6_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + )) + (net stat_reg_148 (joined + (portRef Q (instanceRef trig_number_20)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef stat_reg_148) + )) + (net stat_reg_468 (joined + (portRef Q (instanceRef total_empty_channel_20)) + (portRef A1 (instanceRef un1_empty_channels_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef stat_reg_468) + )) + (net data_out_reg_22_0_iv_i_2_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) + )) + (net stat_reg_244 (joined + (portRef Q (instanceRef invalid_trig_number_20)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef stat_reg_244) + )) + (net stat_reg_372 (joined + (portRef Q (instanceRef spike_number_20)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef stat_reg_372) + )) + (net data_out_reg_22_0_iv_i_3_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_20)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) + )) + (net stat_reg_180 (joined + (portRef Q (instanceRef valid_tmg_trig_number_20)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef stat_reg_180) + )) + (net stat_reg_212 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_20)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef stat_reg_212) + )) + (net data_out_reg_22_0_iv_i_5_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_20)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + )) + (net stat_reg_141 (joined + (portRef Q (instanceRef trig_number_13)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef stat_reg_141) + )) + (net stat_reg_461 (joined + (portRef Q (instanceRef total_empty_channel_13)) + (portRef A0 (instanceRef un1_empty_channels_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef stat_reg_461) + )) + (net data_out_reg_22_0_iv_i_2_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) + )) + (net stat_reg_301 (joined + (portRef Q (instanceRef spurious_trig_number_13)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef stat_reg_301) + )) + (net stat_reg_365 (joined + (portRef Q (instanceRef spike_number_13)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef stat_reg_365) + )) + (net data_out_reg_22_0_iv_i_3_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_13)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) + )) + (net stat_reg_205 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_13)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef stat_reg_205) + )) + (net stat_reg_333 (joined + (portRef Q (instanceRef wrong_readout_number_13)) + (portRef A0 (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef stat_reg_333) + )) + (net data_out_reg_22_0_iv_i_5_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_13)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + )) + (net stat_reg_135 (joined + (portRef Q (instanceRef trig_number_7)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef stat_reg_135) + )) + (net stat_reg_455 (joined + (portRef Q (instanceRef total_empty_channel_7)) + (portRef A0 (instanceRef un1_empty_channels_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef stat_reg_455) + )) + (net data_out_reg_22_0_iv_i_2_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) + )) + (net stat_reg_231 (joined + (portRef Q (instanceRef invalid_trig_number_7)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef stat_reg_231) + )) + (net stat_reg_359 (joined + (portRef Q (instanceRef spike_number_7)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef stat_reg_359) + )) + (net data_out_reg_22_0_iv_i_3_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_7)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) + )) + (net stat_reg_167 (joined + (portRef Q (instanceRef valid_tmg_trig_number_7)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef stat_reg_167) + )) + (net stat_reg_199 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_7)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef stat_reg_199) + )) + (net data_out_reg_22_0_iv_i_5_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_7)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + )) + (net stat_reg_140 (joined + (portRef Q (instanceRef trig_number_12)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef stat_reg_140) + )) + (net stat_reg_460 (joined + (portRef Q (instanceRef total_empty_channel_12)) + (portRef A1 (instanceRef un1_empty_channels_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef stat_reg_460) + )) + (net data_out_reg_22_0_iv_i_2_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) + )) + (net stat_reg_300 (joined + (portRef Q (instanceRef spurious_trig_number_12)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef stat_reg_300) + )) + (net stat_reg_364 (joined + (portRef Q (instanceRef spike_number_12)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef stat_reg_364) + )) + (net data_out_reg_22_0_iv_i_3_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_12)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) + )) + (net stat_reg_172 (joined + (portRef Q (instanceRef valid_tmg_trig_number_12)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef stat_reg_172) + )) + (net stat_reg_332 (joined + (portRef Q (instanceRef wrong_readout_number_12)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef stat_reg_332) + )) + (net data_out_reg_22_0_iv_i_5_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_12)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + )) + (net stat_reg_138 (joined + (portRef Q (instanceRef trig_number_10)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef stat_reg_138) + )) + (net stat_reg_458 (joined + (portRef Q (instanceRef total_empty_channel_10)) + (portRef A1 (instanceRef un1_empty_channels_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef stat_reg_458) + )) + (net data_out_reg_22_0_iv_i_2_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) + )) + (net stat_reg_298 (joined + (portRef Q (instanceRef spurious_trig_number_10)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef stat_reg_298) + )) + (net stat_reg_362 (joined + (portRef Q (instanceRef spike_number_10)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef stat_reg_362) + )) + (net data_out_reg_22_0_iv_i_3_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_10)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) + )) + (net stat_reg_202 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_10)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef stat_reg_202) + )) + (net stat_reg_330 (joined + (portRef Q (instanceRef wrong_readout_number_10)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef stat_reg_330) + )) + (net data_out_reg_22_0_iv_i_5_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_10)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + )) + (net stat_reg_146 (joined + (portRef Q (instanceRef trig_number_18)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef stat_reg_146) + )) + (net stat_reg_466 (joined + (portRef Q (instanceRef total_empty_channel_18)) + (portRef A1 (instanceRef un1_empty_channels_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef stat_reg_466) + )) + (net data_out_reg_22_0_iv_i_2_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) + )) + (net stat_reg_306 (joined + (portRef Q (instanceRef spurious_trig_number_18)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef stat_reg_306) + )) + (net stat_reg_370 (joined + (portRef Q (instanceRef spike_number_18)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef stat_reg_370) + )) + (net data_out_reg_22_0_iv_i_3_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_18)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) + )) + (net stat_reg_210 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_18)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef stat_reg_210) + )) + (net stat_reg_338 (joined + (portRef Q (instanceRef wrong_readout_number_18)) + (portRef A1 (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef stat_reg_338) + )) + (net data_out_reg_22_0_iv_i_5_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_18)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + )) + (net stat_reg_145 (joined + (portRef Q (instanceRef trig_number_17)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef stat_reg_145) + )) + (net stat_reg_465 (joined + (portRef Q (instanceRef total_empty_channel_17)) + (portRef A0 (instanceRef un1_empty_channels_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef stat_reg_465) + )) + (net data_out_reg_22_0_iv_i_2_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) + )) + (net stat_reg_241 (joined + (portRef Q (instanceRef invalid_trig_number_17)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef stat_reg_241) + )) + (net stat_reg_369 (joined + (portRef Q (instanceRef spike_number_17)) + (portRef A0 (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef stat_reg_369) + )) + (net data_out_reg_22_0_iv_i_3_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_17)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) + )) + (net stat_reg_177 (joined + (portRef Q (instanceRef valid_tmg_trig_number_17)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef stat_reg_177) + )) + (net stat_reg_209 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_17)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef stat_reg_209) + )) + (net data_out_reg_22_0_iv_i_5_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_17)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + )) + (net stat_reg_151 (joined + (portRef Q (instanceRef trig_number_23)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef stat_reg_151) + )) + (net stat_reg_471 (joined + (portRef Q (instanceRef total_empty_channel_23)) + (portRef A0 (instanceRef un1_empty_channels_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef stat_reg_471) + )) + (net data_out_reg_22_0_iv_i_2_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) + )) + (net stat_reg_247 (joined + (portRef Q (instanceRef invalid_trig_number_23)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_s_23_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef stat_reg_247) + )) + (net stat_reg_375 (joined + (portRef Q (instanceRef spike_number_23)) + (portRef A0 (instanceRef un1_spike_detected_pulse_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef stat_reg_375) )) - (net data_out_reg_3_1 (joined - (portRef Z (instanceRef Data_Out_MUX_data_out_reg_3_32_1)) - (portRef A (instanceRef data_out_reg_RNO_1)) + (net data_out_reg_22_0_iv_i_3_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_23)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) )) - (net un1_TW_post_11 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_10)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_9_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) + (net stat_reg_183 (joined + (portRef Q (instanceRef valid_tmg_trig_number_23)) + (portRef A0 (instanceRef un2_valid_timing_trg_pulse_s_23_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef stat_reg_183) )) - (net un1_TW_post_10 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_9)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_9_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_9_0)) + (net stat_reg_215 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_23)) + (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef stat_reg_215) )) - (net un1_TW_post_9 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_8)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_7_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_7_0)) + (net data_out_reg_22_0_iv_i_5_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_23)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) )) - (net un1_TW_post_8 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_7)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_7_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_7_0)) + (net stat_reg_136 (joined + (portRef Q (instanceRef trig_number_8)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef stat_reg_136) )) - (net un1_TW_post_7 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_6)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_5_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_5_0)) + (net stat_reg_456 (joined + (portRef Q (instanceRef total_empty_channel_8)) + (portRef A1 (instanceRef un1_empty_channels_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef stat_reg_456) )) - (net un1_TW_post_6 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_5)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_5_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_5_0)) + (net data_out_reg_22_0_iv_i_2_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_2_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) )) - (net un1_TW_post_5 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_4)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_3_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_3_0)) + (net stat_reg_232 (joined + (portRef Q (instanceRef invalid_trig_number_8)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef stat_reg_232) )) - (net un1_TW_post_4 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_3)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_3_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_3_0)) + (net stat_reg_360 (joined + (portRef Q (instanceRef spike_number_8)) + (portRef A1 (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef stat_reg_360) )) - (net un1_TW_post_3 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_2)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_1_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_1_0)) + (net data_out_reg_22_0_iv_i_3_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_3_8)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) )) - (net un1_TW_post_2 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_1)) - (portRef B0 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_1_0)) - (portRef A0 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_1_0)) + (net stat_reg_168 (joined + (portRef Q (instanceRef valid_tmg_trig_number_8)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef stat_reg_168) )) - (net un1_TW_post_0 (joined - (portRef Z (instanceRef un2_channel_hit_time_32_0)) - (portRef B1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_0_0)) - (portRef A1 (instanceRef Check_Trg_Win_Right_un1_TW_post_cry_0_0)) + (net stat_reg_200 (joined + (portRef Q (instanceRef valid_NOtmg_trig_number_8)) + (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef stat_reg_200) )) - (net un2_i_i (joined - (portRef S1 (instanceRef Statistics_Empty_Channel_Number_un2_i_7_0)) - (portRef B0 (instanceRef un1_i_2_cry_0_0)) - (portRef A (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2)) + (net data_out_reg_22_0_iv_i_5_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_5_8)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) )) - (net un42_empty_channels (joined - (portRef Z (instanceRef Statistics_Empty_Channel_Number_un42_empty_channels_0_a2)) - (portRef B0 (instanceRef un1_empty_channels_cry_0_0)) + (net N_512 (joined + (portRef Z (instanceRef rd_en_fsm_i_0_o2_0_19)) + (portRef A (instanceRef rd_en_i_RNO_27)) + (portRef A (instanceRef rd_en_i_RNO_26)) + (portRef A (instanceRef rd_en_i_RNO_25)) + (portRef A (instanceRef rd_en_i_RNO_24)) + (portRef A (instanceRef rd_en_i_RNO_19)) + (portRef A (instanceRef rd_en_i_RNO_18)) + (portRef A (instanceRef rd_en_i_RNO_17)) + (portRef A (instanceRef rd_en_i_RNO_16)) + (portRef A (instanceRef rd_en_i_RNO_11)) + (portRef A (instanceRef rd_en_i_RNO_10)) + (portRef A (instanceRef rd_en_i_RNO_9)) + (portRef A (instanceRef rd_en_i_RNO_8)) + (portRef A (instanceRef rd_en_i_RNO_3)) + (portRef A (instanceRef rd_en_i_RNO_2)) + (portRef A (instanceRef rd_en_i_RNO_1)) + (portRef A (instanceRef rd_en_i_RNO_0)) )) - (net ctrl_reg_27 (joined - (portRef ctrl_reg_27) - (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_f0_29)) - (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_f0_31)) - (portRef D (instanceRef data_out_reg_RNO_30)) - (portRef D (instanceRef data_out_reg_RNO_28)) - (portRef D (instanceRef Data_Out_MUX_data_wr_reg_4_N_7_i)) + (net N_511 (joined + (portRef Z (instanceRef rd_en_fsm_0_a2_i_o2_0_23)) + (portRef A (instanceRef rd_en_i_RNO_31)) + (portRef A (instanceRef rd_en_i_RNO_30)) + (portRef A (instanceRef rd_en_i_RNO_29)) + (portRef A (instanceRef rd_en_i_RNO_28)) + (portRef A (instanceRef rd_en_i_RNO_23)) + (portRef A (instanceRef rd_en_i_RNO_22)) + (portRef A (instanceRef rd_en_i_RNO_21)) + (portRef A (instanceRef rd_en_i_RNO_20)) + (portRef A (instanceRef rd_en_i_RNO_15)) + (portRef A (instanceRef rd_en_i_RNO_14)) + (portRef A (instanceRef rd_en_i_RNO_13)) + (portRef A (instanceRef rd_en_i_RNO_12)) + (portRef A (instanceRef rd_en_i_RNO_7)) + (portRef A (instanceRef rd_en_i_RNO_6)) + (portRef A (instanceRef rd_en_i_RNO_5)) + (portRef A (instanceRef rd_en_i_RNO_4)) )) - (net N_349 (joined - (portRef Z (instanceRef rd_en_fsm_i_o2_1_19)) - (portRef C (instanceRef rd_en_fsm_i_a2_19)) + (net N_699 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_i_0_a2_1)) + (portRef C (instanceRef fsm_debug_reg_RNO_1)) )) - (net N_366 (joined - (portRef Z (instanceRef fsm_debug_fsm_i_i_0_o2_0_1)) - (portRef A (instanceRef fsm_debug_reg_RNO_1)) + (net data_out_reg_22_1_iv_i_1_24 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_1_24)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_i_24)) )) - (net N_547 (joined - (portRef Z (instanceRef rd_en_fsm_i_a2_19)) - (portRef C (instanceRef rd_en_i_RNO_31)) - (portRef C (instanceRef rd_en_i_RNO_30)) - (portRef C (instanceRef rd_en_i_RNO_29)) - (portRef C (instanceRef rd_en_i_RNO_28)) - (portRef C (instanceRef rd_en_i_RNO_27)) - (portRef C (instanceRef rd_en_i_RNO_26)) - (portRef C (instanceRef rd_en_i_RNO_25)) - (portRef C (instanceRef rd_en_i_RNO_24)) - (portRef C (instanceRef rd_en_i_RNO_23)) - (portRef C (instanceRef rd_en_i_RNO_22)) - (portRef C (instanceRef rd_en_i_RNO_21)) - (portRef C (instanceRef rd_en_i_RNO_20)) - (portRef C (instanceRef rd_en_i_RNO_19)) - (portRef C (instanceRef rd_en_i_RNO_18)) - (portRef C (instanceRef rd_en_i_RNO_17)) - (portRef C (instanceRef rd_en_i_RNO_16)) - (portRef C (instanceRef rd_en_i_RNO_15)) - (portRef C (instanceRef rd_en_i_RNO_14)) - (portRef C (instanceRef rd_en_i_RNO_13)) - (portRef C (instanceRef rd_en_i_RNO_12)) - (portRef C (instanceRef rd_en_i_RNO_11)) - (portRef C (instanceRef rd_en_i_RNO_10)) - (portRef C (instanceRef rd_en_i_RNO_9)) - (portRef C (instanceRef rd_en_i_RNO_8)) - (portRef C (instanceRef rd_en_i_RNO_7)) - (portRef C (instanceRef rd_en_i_RNO_6)) - (portRef C (instanceRef rd_en_i_RNO_5)) - (portRef C (instanceRef rd_en_i_RNO_4)) - (portRef C (instanceRef rd_en_i_RNO_3)) - (portRef C (instanceRef rd_en_i_RNO_2)) - (portRef C (instanceRef rd_en_i_RNO_1)) - (portRef C (instanceRef rd_en_i_RNO_0)) + (net data_out_reg_22_1_iv_0_a13_3_25 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_1_iv_0_a13_3_25)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_25)) )) - (net un1_trg_win_cnt_up_i_cry_1_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_1_0)) - (portRef A (instanceRef trg_win_cntd_1)) + (net stat_reg_422 (joined + (portRef Q (instanceRef wait_time_6)) + (portRef A1 (instanceRef un1_readout_i_2_cry_5_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_1_6)) + (portRef stat_reg_422) )) - (net un1_trg_win_cnt_up_i_cry_1_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_1_0)) - (portRef A (instanceRef trg_win_cntd_2)) + (net data_out_reg_22_0_iv_i_1_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_1_6)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) )) - (net reset_i_fast_r11 (joined - (portRef reset_i_fast_r11) - (portRef B (instanceRef trg_win_cntd_15)) - (portRef B (instanceRef trg_win_cntd_14)) - (portRef B (instanceRef trg_win_cntd_13)) - (portRef B (instanceRef trg_win_cntd_11)) - (portRef B (instanceRef trg_win_cntd_12)) - (portRef B (instanceRef trg_win_cntd_10)) - (portRef B (instanceRef trg_win_cntd_9)) - (portRef B (instanceRef trg_win_cntd_8)) - (portRef B (instanceRef trg_win_cntd_7)) - (portRef B (instanceRef trg_win_cntd_5)) - (portRef B (instanceRef trg_win_cntd_6)) - (portRef B (instanceRef trg_win_cntd_3)) - (portRef B (instanceRef trg_win_cntd_4)) - (portRef B (instanceRef trg_win_cntd_2)) - (portRef B (instanceRef trg_win_cntd_1)) + (net stat_reg_134 (joined + (portRef Q (instanceRef trig_number_6)) + (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + (portRef stat_reg_134) )) - (net un1_trg_win_cnt_up_i_cry_3_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_3_0)) - (portRef A (instanceRef trg_win_cntd_4)) + (net data_out_reg_22_0_iv_i_7_6 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7_6)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6)) )) - (net un1_trg_win_cnt_up_i_cry_3_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_3_0)) - (portRef A (instanceRef trg_win_cntd_3)) + (net stat_reg_290 (joined + (portRef Q (instanceRef spurious_trig_number_2)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + (portRef stat_reg_290) )) - (net un1_trg_win_cnt_up_i_cry_5_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_5_0)) - (portRef A (instanceRef trg_win_cntd_6)) + (net data_out_reg_22_0_iv_8_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_2)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) )) - (net un1_trg_win_cnt_up_i_cry_5_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_5_0)) - (portRef A (instanceRef trg_win_cntd_5)) + (net stat_reg_292 (joined + (portRef Q (instanceRef spurious_trig_number_4)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + (portRef stat_reg_292) )) - (net un1_trg_win_cnt_up_i_cry_7_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_7_0)) - (portRef A (instanceRef trg_win_cntd_7)) + (net data_out_reg_22_0_iv_8_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_4)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) )) - (net un1_trg_win_cnt_up_i_cry_7_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_7_0)) - (portRef A (instanceRef trg_win_cntd_8)) + (net stat_reg_224 (joined + (portRef Q (instanceRef invalid_trig_number_0)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + (portRef stat_reg_224) )) - (net un1_trg_win_cnt_up_i_cry_9_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_9_0)) - (portRef A (instanceRef trg_win_cntd_9)) + (net data_out_reg_22_0_iv_i_8_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) )) - (net un1_trg_win_cnt_up_i_cry_9_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_9_0)) - (portRef A (instanceRef trg_win_cntd_10)) + (net stat_reg_309 (joined + (portRef Q (instanceRef spurious_trig_number_21)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + (portRef stat_reg_309) )) - (net un1_trg_win_cnt_up_i_cry_11_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_11_0)) - (portRef A (instanceRef trg_win_cntd_12)) + (net data_out_reg_22_0_iv_i_8_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_21)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) )) - (net un1_trg_win_cnt_up_i_cry_11_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_11_0)) - (portRef A (instanceRef trg_win_cntd_11)) + (net stat_reg_291 (joined + (portRef Q (instanceRef spurious_trig_number_3)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + (portRef stat_reg_291) )) - (net un1_trg_win_cnt_up_i_cry_13_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) - (portRef A (instanceRef trg_win_cntd_13)) + (net data_out_reg_22_0_iv_8_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_3)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) )) - (net un1_trg_win_cnt_up_i_cry_13_0_S1 (joined - (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) - (portRef A (instanceRef trg_win_cntd_14)) + (net stat_reg_299 (joined + (portRef Q (instanceRef spurious_trig_number_11)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + (portRef stat_reg_299) )) - (net un1_trg_win_cnt_up_i_s_15_0_S0 (joined - (portRef S0 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) - (portRef A (instanceRef trg_win_cntd_15)) + (net data_out_reg_22_0_iv_i_8_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_11)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) )) - (net stat_reg_384 (joined - (portRef Q (instanceRef idle_time_0)) - (portRef A1 (instanceRef un1_idle_i_cry_0_0)) - (portRef stat_reg_384) + (net stat_reg_304 (joined + (portRef Q (instanceRef spurious_trig_number_16)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + (portRef stat_reg_304) )) - (net un1_idle_i_cry_0 (joined - (portRef COUT (instanceRef un1_idle_i_cry_0_0)) - (portRef CIN (instanceRef un1_idle_i_cry_1_0)) + (net data_out_reg_22_0_iv_i_8_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_16)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) )) - (net un1_idle_i_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_idle_i_cry_0_0)) + (net stat_reg_303 (joined + (portRef Q (instanceRef spurious_trig_number_15)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + (portRef stat_reg_303) )) - (net stat_reg_385 (joined - (portRef Q (instanceRef idle_time_1)) - (portRef A0 (instanceRef un1_idle_i_cry_1_0)) - (portRef stat_reg_385) + (net data_out_reg_22_0_iv_i_8_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_15)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) )) - (net stat_reg_386 (joined - (portRef Q (instanceRef idle_time_2)) - (portRef A1 (instanceRef un1_idle_i_cry_1_0)) - (portRef stat_reg_386) + (net stat_reg_310 (joined + (portRef Q (instanceRef spurious_trig_number_22)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + (portRef stat_reg_310) )) - (net un1_idle_i_cry_2 (joined - (portRef COUT (instanceRef un1_idle_i_cry_1_0)) - (portRef CIN (instanceRef un1_idle_i_cry_3_0)) + (net data_out_reg_22_0_iv_i_8_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_22)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) )) - (net stat_reg_387 (joined - (portRef Q (instanceRef idle_time_3)) - (portRef A0 (instanceRef un1_idle_i_cry_3_0)) - (portRef stat_reg_387) + (net stat_reg_307 (joined + (portRef Q (instanceRef spurious_trig_number_19)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + (portRef stat_reg_307) )) - (net stat_reg_388 (joined - (portRef Q (instanceRef idle_time_4)) - (portRef A1 (instanceRef un1_idle_i_cry_3_0)) - (portRef stat_reg_388) + (net data_out_reg_22_0_iv_i_8_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_19)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) )) - (net un1_idle_i_cry_4 (joined - (portRef COUT (instanceRef un1_idle_i_cry_3_0)) - (portRef CIN (instanceRef un1_idle_i_cry_5_0)) + (net stat_reg_238 (joined + (portRef Q (instanceRef invalid_trig_number_14)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + (portRef stat_reg_238) )) - (net stat_reg_389 (joined - (portRef Q (instanceRef idle_time_5)) - (portRef A0 (instanceRef un1_idle_i_cry_5_0)) - (portRef stat_reg_389) + (net data_out_reg_22_0_iv_i_8_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_14)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) )) - (net stat_reg_390 (joined - (portRef Q (instanceRef idle_time_6)) - (portRef A1 (instanceRef un1_idle_i_cry_5_0)) - (portRef stat_reg_390) + (net stat_reg_297 (joined + (portRef Q (instanceRef spurious_trig_number_9)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + (portRef stat_reg_297) )) - (net un1_idle_i_cry_6 (joined - (portRef COUT (instanceRef un1_idle_i_cry_5_0)) - (portRef CIN (instanceRef un1_idle_i_cry_7_0)) + (net data_out_reg_22_0_iv_i_8_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_9)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) )) - (net stat_reg_391 (joined - (portRef Q (instanceRef idle_time_7)) - (portRef A0 (instanceRef un1_idle_i_cry_7_0)) - (portRef stat_reg_391) + (net stat_reg_293 (joined + (portRef Q (instanceRef spurious_trig_number_5)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + (portRef stat_reg_293) )) - (net stat_reg_392 (joined - (portRef Q (instanceRef idle_time_8)) - (portRef A1 (instanceRef un1_idle_i_cry_7_0)) - (portRef stat_reg_392) + (net data_out_reg_22_0_iv_8_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_5)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) )) - (net un1_idle_i_cry_8 (joined - (portRef COUT (instanceRef un1_idle_i_cry_7_0)) - (portRef CIN (instanceRef un1_idle_i_cry_9_0)) + (net stat_reg_449 (joined + (portRef Q (instanceRef total_empty_channel_1)) + (portRef A0 (instanceRef un1_empty_channels_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + (portRef stat_reg_449) )) - (net stat_reg_393 (joined - (portRef Q (instanceRef idle_time_9)) - (portRef A0 (instanceRef un1_idle_i_cry_9_0)) - (portRef stat_reg_393) + (net data_out_reg_22_0_iv_8_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_8_1)) + (portRef B (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) )) - (net stat_reg_394 (joined - (portRef Q (instanceRef idle_time_10)) - (portRef A1 (instanceRef un1_idle_i_cry_9_0)) - (portRef stat_reg_394) + (net stat_reg_289 (joined + (portRef Q (instanceRef spurious_trig_number_1)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + (portRef stat_reg_289) )) - (net un1_idle_i_cry_10 (joined - (portRef COUT (instanceRef un1_idle_i_cry_9_0)) - (portRef CIN (instanceRef un1_idle_i_cry_11_0)) + (net data_out_reg_22_0_iv_9_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_9_1)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) )) - (net stat_reg_395 (joined - (portRef Q (instanceRef idle_time_11)) - (portRef A0 (instanceRef un1_idle_i_cry_11_0)) - (portRef stat_reg_395) + (net stat_reg_308 (joined + (portRef Q (instanceRef spurious_trig_number_20)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + (portRef stat_reg_308) )) - (net stat_reg_396 (joined - (portRef Q (instanceRef idle_time_12)) - (portRef A1 (instanceRef un1_idle_i_cry_11_0)) - (portRef stat_reg_396) + (net data_out_reg_22_0_iv_i_8_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_20)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) )) - (net un1_idle_i_cry_12 (joined - (portRef COUT (instanceRef un1_idle_i_cry_11_0)) - (portRef CIN (instanceRef un1_idle_i_cry_13_0)) + (net stat_reg_237 (joined + (portRef Q (instanceRef invalid_trig_number_13)) + (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + (portRef stat_reg_237) )) - (net stat_reg_397 (joined - (portRef Q (instanceRef idle_time_13)) - (portRef A0 (instanceRef un1_idle_i_cry_13_0)) - (portRef stat_reg_397) + (net data_out_reg_22_0_iv_i_8_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_13)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) )) - (net stat_reg_398 (joined - (portRef Q (instanceRef idle_time_14)) - (portRef A1 (instanceRef un1_idle_i_cry_13_0)) - (portRef stat_reg_398) + (net stat_reg_295 (joined + (portRef Q (instanceRef spurious_trig_number_7)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + (portRef stat_reg_295) )) - (net un1_idle_i_cry_14 (joined - (portRef COUT (instanceRef un1_idle_i_cry_13_0)) - (portRef CIN (instanceRef un1_idle_i_cry_15_0)) + (net data_out_reg_22_0_iv_i_8_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_7)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) )) - (net stat_reg_399 (joined - (portRef Q (instanceRef idle_time_15)) - (portRef A0 (instanceRef un1_idle_i_cry_15_0)) - (portRef stat_reg_399) + (net stat_reg_236 (joined + (portRef Q (instanceRef invalid_trig_number_12)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + (portRef stat_reg_236) )) - (net stat_reg_400 (joined - (portRef Q (instanceRef idle_time_16)) - (portRef A1 (instanceRef un1_idle_i_cry_15_0)) - (portRef stat_reg_400) + (net data_out_reg_22_0_iv_i_8_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_12)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) )) - (net un1_idle_i_cry_16 (joined - (portRef COUT (instanceRef un1_idle_i_cry_15_0)) - (portRef CIN (instanceRef un1_idle_i_cry_17_0)) + (net stat_reg_234 (joined + (portRef Q (instanceRef invalid_trig_number_10)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + (portRef stat_reg_234) )) - (net stat_reg_401 (joined - (portRef Q (instanceRef idle_time_17)) - (portRef A0 (instanceRef un1_idle_i_cry_17_0)) - (portRef stat_reg_401) + (net data_out_reg_22_0_iv_i_8_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_10)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) )) - (net stat_reg_402 (joined - (portRef Q (instanceRef idle_time_18)) - (portRef A1 (instanceRef un1_idle_i_cry_17_0)) - (portRef stat_reg_402) + (net stat_reg_242 (joined + (portRef Q (instanceRef invalid_trig_number_18)) + (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + (portRef stat_reg_242) )) - (net un1_idle_i_cry_18 (joined - (portRef COUT (instanceRef un1_idle_i_cry_17_0)) - (portRef CIN (instanceRef un1_idle_i_cry_19_0)) + (net data_out_reg_22_0_iv_i_8_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_18)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) )) - (net stat_reg_403 (joined - (portRef Q (instanceRef idle_time_19)) - (portRef A0 (instanceRef un1_idle_i_cry_19_0)) - (portRef stat_reg_403) + (net stat_reg_305 (joined + (portRef Q (instanceRef spurious_trig_number_17)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + (portRef stat_reg_305) )) - (net stat_reg_404 (joined - (portRef Q (instanceRef idle_time_20)) - (portRef A1 (instanceRef un1_idle_i_cry_19_0)) - (portRef stat_reg_404) + (net data_out_reg_22_0_iv_i_8_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_17)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) )) - (net un1_idle_i_cry_20 (joined - (portRef COUT (instanceRef un1_idle_i_cry_19_0)) - (portRef CIN (instanceRef un1_idle_i_cry_21_0)) + (net stat_reg_311 (joined + (portRef Q (instanceRef spurious_trig_number_23)) + (portRef A0 (instanceRef un1_spurious_trg_pulse_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) + (portRef stat_reg_311) )) - (net stat_reg_405 (joined - (portRef Q (instanceRef idle_time_21)) - (portRef A0 (instanceRef un1_idle_i_cry_21_0)) - (portRef stat_reg_405) + (net data_out_reg_22_0_iv_i_8_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_23)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) )) - (net stat_reg_406 (joined - (portRef Q (instanceRef idle_time_22)) - (portRef A1 (instanceRef un1_idle_i_cry_21_0)) - (portRef stat_reg_406) + (net stat_reg_296 (joined + (portRef Q (instanceRef spurious_trig_number_8)) + (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) + (portRef stat_reg_296) )) - (net un1_idle_i_cry_22 (joined - (portRef COUT (instanceRef un1_idle_i_cry_21_0)) - (portRef CIN (instanceRef un1_idle_i_s_23_0)) + (net data_out_reg_22_0_iv_i_8_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8_8)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) )) - (net stat_reg_407 (joined - (portRef Q (instanceRef idle_time_23)) - (portRef A0 (instanceRef un1_idle_i_s_23_0)) - (portRef stat_reg_407) + (net stat_reg_258 (joined + (portRef Q (instanceRef multi_tmg_trig_number_2)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + (portRef stat_reg_258) )) - (net un1_idle_i_s_23_0_COUT (joined - (portRef COUT (instanceRef un1_idle_i_s_23_0)) + (net data_out_reg_22_0_iv_6_2 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_2)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_2)) )) - (net un1_idle_i_s_23_0_S1 (joined - (portRef S1 (instanceRef un1_idle_i_s_23_0)) + (net stat_reg_260 (joined + (portRef Q (instanceRef multi_tmg_trig_number_4)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + (portRef stat_reg_260) )) - (net spike_detected_pulse (joined - (portRef spike_detected_pulse (instanceRef edge_to_pulse_6)) - (portRef B0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (net data_out_reg_22_0_iv_6_4 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_4)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_4)) )) - (net stat_reg_352 (joined - (portRef Q (instanceRef spike_number_0)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef stat_reg_352) + (net stat_reg_256 (joined + (portRef Q (instanceRef multi_tmg_trig_number_0)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + (portRef stat_reg_256) )) - (net un1_spike_detected_pulse_cry_0 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_0_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_1_0)) + (net data_out_reg_22_0_iv_i_6_0 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_0)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_0)) )) - (net un1_spike_detected_pulse_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + (net stat_reg_277 (joined + (portRef Q (instanceRef multi_tmg_trig_number_21)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + (portRef stat_reg_277) )) - (net stat_reg_353 (joined - (portRef Q (instanceRef spike_number_1)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef stat_reg_353) + (net data_out_reg_22_0_iv_i_6_21 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_21)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_21)) )) - (net stat_reg_354 (joined - (portRef Q (instanceRef spike_number_2)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef stat_reg_354) + (net stat_reg_259 (joined + (portRef Q (instanceRef multi_tmg_trig_number_3)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + (portRef stat_reg_259) )) - (net un1_spike_detected_pulse_cry_2 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_1_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_3_0)) + (net data_out_reg_22_0_iv_6_3 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_3)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_3)) )) - (net stat_reg_355 (joined - (portRef Q (instanceRef spike_number_3)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef stat_reg_355) + (net stat_reg_267 (joined + (portRef Q (instanceRef multi_tmg_trig_number_11)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + (portRef stat_reg_267) )) - (net stat_reg_356 (joined - (portRef Q (instanceRef spike_number_4)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef stat_reg_356) + (net data_out_reg_22_0_iv_i_6_11 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_11)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_11)) )) - (net un1_spike_detected_pulse_cry_4 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_3_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_5_0)) + (net stat_reg_272 (joined + (portRef Q (instanceRef multi_tmg_trig_number_16)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + (portRef stat_reg_272) )) - (net stat_reg_357 (joined - (portRef Q (instanceRef spike_number_5)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef stat_reg_357) + (net data_out_reg_22_0_iv_i_6_16 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_16)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_16)) )) - (net stat_reg_358 (joined - (portRef Q (instanceRef spike_number_6)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef stat_reg_358) + (net stat_reg_271 (joined + (portRef Q (instanceRef multi_tmg_trig_number_15)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + (portRef stat_reg_271) )) - (net un1_spike_detected_pulse_cry_6 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_5_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_7_0)) + (net data_out_reg_22_0_iv_i_6_15 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_15)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_15)) )) - (net stat_reg_359 (joined - (portRef Q (instanceRef spike_number_7)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef stat_reg_359) + (net stat_reg_278 (joined + (portRef Q (instanceRef multi_tmg_trig_number_22)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + (portRef stat_reg_278) )) - (net stat_reg_360 (joined - (portRef Q (instanceRef spike_number_8)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef stat_reg_360) + (net data_out_reg_22_0_iv_i_6_22 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_22)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_22)) )) - (net un1_spike_detected_pulse_cry_8 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_7_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_9_0)) + (net stat_reg_275 (joined + (portRef Q (instanceRef multi_tmg_trig_number_19)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + (portRef stat_reg_275) )) - (net stat_reg_361 (joined - (portRef Q (instanceRef spike_number_9)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef stat_reg_361) + (net data_out_reg_22_0_iv_i_6_19 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_19)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_19)) )) - (net stat_reg_362 (joined - (portRef Q (instanceRef spike_number_10)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef stat_reg_362) + (net stat_reg_270 (joined + (portRef Q (instanceRef multi_tmg_trig_number_14)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + (portRef stat_reg_270) )) - (net un1_spike_detected_pulse_cry_10 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_9_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_11_0)) + (net data_out_reg_22_0_iv_i_6_14 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_14)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_14)) )) - (net stat_reg_363 (joined - (portRef Q (instanceRef spike_number_11)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef stat_reg_363) + (net stat_reg_265 (joined + (portRef Q (instanceRef multi_tmg_trig_number_9)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + (portRef stat_reg_265) )) - (net stat_reg_364 (joined - (portRef Q (instanceRef spike_number_12)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef stat_reg_364) + (net data_out_reg_22_0_iv_i_6_9 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_9)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_9)) )) - (net un1_spike_detected_pulse_cry_12 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_11_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_13_0)) + (net stat_reg_261 (joined + (portRef Q (instanceRef multi_tmg_trig_number_5)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + (portRef stat_reg_261) )) - (net stat_reg_365 (joined - (portRef Q (instanceRef spike_number_13)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef stat_reg_365) + (net data_out_reg_22_0_iv_6_5 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_6_5)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_i_5)) )) - (net stat_reg_366 (joined - (portRef Q (instanceRef spike_number_14)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef stat_reg_366) + (net stat_reg_417 (joined + (portRef Q (instanceRef wait_time_1)) + (portRef A0 (instanceRef un1_readout_i_2_cry_1_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + (portRef stat_reg_417) )) - (net un1_spike_detected_pulse_cry_14 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_13_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_15_0)) + (net data_out_reg_22_0_iv_2_1 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_2_1)) + (portRef A (instanceRef Data_Out_MUX_data_out_reg_22_0_i_1)) )) - (net stat_reg_367 (joined - (portRef Q (instanceRef spike_number_15)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef stat_reg_367) + (net stat_reg_276 (joined + (portRef Q (instanceRef multi_tmg_trig_number_20)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + (portRef stat_reg_276) )) - (net stat_reg_368 (joined - (portRef Q (instanceRef spike_number_16)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef stat_reg_368) + (net data_out_reg_22_0_iv_i_6_20 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_20)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_20)) )) - (net un1_spike_detected_pulse_cry_16 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_15_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_17_0)) + (net stat_reg_269 (joined + (portRef Q (instanceRef multi_tmg_trig_number_13)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + (portRef stat_reg_269) )) - (net stat_reg_369 (joined - (portRef Q (instanceRef spike_number_17)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef stat_reg_369) + (net data_out_reg_22_0_iv_i_6_13 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_13)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_13)) )) - (net stat_reg_370 (joined - (portRef Q (instanceRef spike_number_18)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef stat_reg_370) + (net stat_reg_263 (joined + (portRef Q (instanceRef multi_tmg_trig_number_7)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + (portRef stat_reg_263) )) - (net un1_spike_detected_pulse_cry_18 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_17_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_19_0)) + (net data_out_reg_22_0_iv_i_6_7 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_7)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_7)) )) - (net stat_reg_371 (joined - (portRef Q (instanceRef spike_number_19)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef stat_reg_371) + (net stat_reg_268 (joined + (portRef Q (instanceRef multi_tmg_trig_number_12)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + (portRef stat_reg_268) )) - (net stat_reg_372 (joined - (portRef Q (instanceRef spike_number_20)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef stat_reg_372) + (net data_out_reg_22_0_iv_i_6_12 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_12)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_12)) )) - (net un1_spike_detected_pulse_cry_20 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_19_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_cry_21_0)) + (net stat_reg_266 (joined + (portRef Q (instanceRef multi_tmg_trig_number_10)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + (portRef stat_reg_266) )) - (net stat_reg_373 (joined - (portRef Q (instanceRef spike_number_21)) - (portRef A0 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef stat_reg_373) + (net data_out_reg_22_0_iv_i_6_10 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_10)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_10)) )) - (net stat_reg_374 (joined - (portRef Q (instanceRef spike_number_22)) - (portRef A1 (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef stat_reg_374) + (net stat_reg_274 (joined + (portRef Q (instanceRef multi_tmg_trig_number_18)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + (portRef stat_reg_274) )) - (net un1_spike_detected_pulse_cry_22 (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_cry_21_0)) - (portRef CIN (instanceRef un1_spike_detected_pulse_s_23_0)) + (net data_out_reg_22_0_iv_i_6_18 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_18)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_18)) )) - (net stat_reg_375 (joined - (portRef Q (instanceRef spike_number_23)) - (portRef A0 (instanceRef un1_spike_detected_pulse_s_23_0)) - (portRef stat_reg_375) + (net stat_reg_273 (joined + (portRef Q (instanceRef multi_tmg_trig_number_17)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + (portRef stat_reg_273) )) - (net un1_spike_detected_pulse_s_23_0_COUT (joined - (portRef COUT (instanceRef un1_spike_detected_pulse_s_23_0)) + (net data_out_reg_22_0_iv_i_6_17 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_17)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_17)) )) - (net un1_spike_detected_pulse_s_23_0_S1 (joined - (portRef S1 (instanceRef un1_spike_detected_pulse_s_23_0)) + (net stat_reg_279 (joined + (portRef Q (instanceRef multi_tmg_trig_number_23)) + (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_s_23_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + (portRef stat_reg_279) )) - (net stat_reg_320 (joined - (portRef Q (instanceRef wrong_readout_number_0)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef stat_reg_320) + (net data_out_reg_22_0_iv_i_6_23 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_23)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_23)) )) - (net un1_wrong_readout_i_cry_0 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_0_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_1_0)) + (net stat_reg_264 (joined + (portRef Q (instanceRef multi_tmg_trig_number_8)) + (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) + (portRef D (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + (portRef stat_reg_264) )) - (net un1_wrong_readout_i_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_wrong_readout_i_cry_0_0)) + (net data_out_reg_22_0_iv_i_6_8 (joined + (portRef Z (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_6_8)) + (portRef C (instanceRef Data_Out_MUX_data_out_reg_22_0_iv_i_8)) )) - (net stat_reg_321 (joined - (portRef Q (instanceRef wrong_readout_number_1)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef stat_reg_321) + (net fsm_debug_fsm_i_0_1_0_2 (joined + (portRef Z (instanceRef fsm_debug_fsm_i_0_1_0_2)) + (portRef C (instanceRef fsm_debug_fsm_i_0_2)) )) - (net stat_reg_322 (joined - (portRef Q (instanceRef wrong_readout_number_2)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef stat_reg_322) + (net un1_trg_win_cnt_up_i_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_1_0)) + (portRef A (instanceRef trg_win_cntd_2)) )) - (net un1_wrong_readout_i_cry_2 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_1_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_3_0)) + (net un1_trg_win_cnt_up_i_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_1_0)) + (portRef A (instanceRef trg_win_cntd_1)) )) - (net stat_reg_323 (joined - (portRef Q (instanceRef wrong_readout_number_3)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef stat_reg_323) + (net reset_i_fast_r11 (joined + (portRef reset_i_fast_r11) + (portRef B (instanceRef trg_win_cntd_15)) + (portRef B (instanceRef trg_win_cntd_13)) + (portRef B (instanceRef trg_win_cntd_14)) + (portRef B (instanceRef trg_win_cntd_12)) + (portRef B (instanceRef trg_win_cntd_11)) + (portRef B (instanceRef trg_win_cntd_10)) + (portRef B (instanceRef trg_win_cntd_9)) + (portRef B (instanceRef trg_win_cntd_7)) + (portRef B (instanceRef trg_win_cntd_8)) + (portRef B (instanceRef trg_win_cntd_6)) + (portRef B (instanceRef trg_win_cntd_5)) + (portRef B (instanceRef trg_win_cntd_4)) + (portRef B (instanceRef trg_win_cntd_3)) + (portRef B (instanceRef trg_win_cntd_1)) + (portRef B (instanceRef trg_win_cntd_2)) )) - (net stat_reg_324 (joined - (portRef Q (instanceRef wrong_readout_number_4)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef stat_reg_324) + (net un1_trg_win_cnt_up_i_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_3_0)) + (portRef A (instanceRef trg_win_cntd_3)) )) - (net un1_wrong_readout_i_cry_4 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_3_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_5_0)) + (net un1_trg_win_cnt_up_i_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_3_0)) + (portRef A (instanceRef trg_win_cntd_4)) )) - (net stat_reg_325 (joined - (portRef Q (instanceRef wrong_readout_number_5)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef stat_reg_325) + (net un1_trg_win_cnt_up_i_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_5_0)) + (portRef A (instanceRef trg_win_cntd_5)) )) - (net stat_reg_326 (joined - (portRef Q (instanceRef wrong_readout_number_6)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef stat_reg_326) + (net un1_trg_win_cnt_up_i_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_5_0)) + (portRef A (instanceRef trg_win_cntd_6)) )) - (net un1_wrong_readout_i_cry_6 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_5_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_7_0)) + (net un1_trg_win_cnt_up_i_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_7_0)) + (portRef A (instanceRef trg_win_cntd_8)) )) - (net stat_reg_327 (joined - (portRef Q (instanceRef wrong_readout_number_7)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef stat_reg_327) + (net un1_trg_win_cnt_up_i_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_7_0)) + (portRef A (instanceRef trg_win_cntd_7)) )) - (net stat_reg_328 (joined - (portRef Q (instanceRef wrong_readout_number_8)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef stat_reg_328) + (net un1_trg_win_cnt_up_i_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_9_0)) + (portRef A (instanceRef trg_win_cntd_9)) )) - (net un1_wrong_readout_i_cry_8 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_7_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_9_0)) + (net un1_trg_win_cnt_up_i_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_9_0)) + (portRef A (instanceRef trg_win_cntd_10)) )) - (net stat_reg_329 (joined - (portRef Q (instanceRef wrong_readout_number_9)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef stat_reg_329) + (net un1_trg_win_cnt_up_i_cry_11_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_11_0)) + (portRef A (instanceRef trg_win_cntd_11)) )) - (net stat_reg_330 (joined - (portRef Q (instanceRef wrong_readout_number_10)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef stat_reg_330) + (net un1_trg_win_cnt_up_i_cry_11_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_11_0)) + (portRef A (instanceRef trg_win_cntd_12)) )) - (net un1_wrong_readout_i_cry_10 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_9_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_11_0)) + (net un1_trg_win_cnt_up_i_cry_13_0_S1 (joined + (portRef S1 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) + (portRef A (instanceRef trg_win_cntd_14)) )) - (net stat_reg_331 (joined - (portRef Q (instanceRef wrong_readout_number_11)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef stat_reg_331) + (net un1_trg_win_cnt_up_i_cry_13_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_cry_13_0)) + (portRef A (instanceRef trg_win_cntd_13)) )) - (net stat_reg_332 (joined - (portRef Q (instanceRef wrong_readout_number_12)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef stat_reg_332) + (net un1_trg_win_cnt_up_i_s_15_0_S0 (joined + (portRef S0 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) + (portRef A (instanceRef trg_win_cntd_15)) )) - (net un1_wrong_readout_i_cry_12 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_11_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_13_0)) + (net i_cry_0 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_0)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_1)) )) - (net stat_reg_333 (joined - (portRef Q (instanceRef wrong_readout_number_13)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef stat_reg_333) + (net i_cry_0_S0_0 (joined + (portRef S0 (instanceRef Data_Out_MUX_i_cry_0_0)) )) - (net stat_reg_334 (joined - (portRef Q (instanceRef wrong_readout_number_14)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef stat_reg_334) + (net i_cry_2 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_1)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_3)) )) - (net un1_wrong_readout_i_cry_14 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_13_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_15_0)) + (net i_cry_4 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_3)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_5)) )) - (net stat_reg_335 (joined - (portRef Q (instanceRef wrong_readout_number_15)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef stat_reg_335) + (net i_cry_6 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_5)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_7)) )) - (net stat_reg_336 (joined - (portRef Q (instanceRef wrong_readout_number_16)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef stat_reg_336) + (net i_cry_8 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_7)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_9)) )) - (net un1_wrong_readout_i_cry_16 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_15_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_17_0)) + (net i_cry_10 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_9)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_11)) )) - (net stat_reg_337 (joined - (portRef Q (instanceRef wrong_readout_number_17)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef stat_reg_337) + (net i_cry_12 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_11)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_13)) )) - (net stat_reg_338 (joined - (portRef Q (instanceRef wrong_readout_number_18)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef stat_reg_338) + (net i_cry_14 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_13)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_15)) )) - (net un1_wrong_readout_i_cry_18 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_17_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_19_0)) + (net i_cry_16 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_15)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_17)) )) - (net stat_reg_339 (joined - (portRef Q (instanceRef wrong_readout_number_19)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef stat_reg_339) + (net i_cry_18 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_17)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_19)) )) - (net stat_reg_340 (joined - (portRef Q (instanceRef wrong_readout_number_20)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef stat_reg_340) + (net i_cry_20 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_19)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_21)) )) - (net un1_wrong_readout_i_cry_20 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_19_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_cry_21_0)) + (net i_cry_22 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_21)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_23)) )) - (net stat_reg_341 (joined - (portRef Q (instanceRef wrong_readout_number_21)) - (portRef A0 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef stat_reg_341) + (net i_cry_24 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_23)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_25)) )) - (net stat_reg_342 (joined - (portRef Q (instanceRef wrong_readout_number_22)) - (portRef A1 (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef stat_reg_342) + (net i_cry_26 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_25)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_27)) )) - (net un1_wrong_readout_i_cry_22 (joined - (portRef COUT (instanceRef un1_wrong_readout_i_cry_21_0)) - (portRef CIN (instanceRef un1_wrong_readout_i_s_23_0)) + (net i_cry_28 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_27)) + (portRef CIN (instanceRef Data_Out_MUX_i_cry_0_29)) )) - (net stat_reg_343 (joined - (portRef Q (instanceRef wrong_readout_number_23)) - (portRef A0 (instanceRef un1_wrong_readout_i_s_23_0)) - (portRef stat_reg_343) + (net i_cry_30 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_cry_0_29)) + (portRef CIN (instanceRef Data_Out_MUX_i_s_0_31)) )) - (net un1_wrong_readout_i_s_23_0_COUT (joined - (portRef COUT (instanceRef un1_wrong_readout_i_s_23_0)) + (net i_s_0_COUT_31 (joined + (portRef COUT (instanceRef Data_Out_MUX_i_s_0_31)) )) - (net un1_wrong_readout_i_s_23_0_S1 (joined - (portRef S1 (instanceRef un1_wrong_readout_i_s_23_0)) + (net i_s_0_S1_31 (joined + (portRef S1 (instanceRef Data_Out_MUX_i_s_0_31)) )) (net spurious_trg_pulse (joined (portRef spurious_trg_pulse (instanceRef edge_to_pulse_5)) (portRef B0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) )) - (net stat_reg_288 (joined - (portRef Q (instanceRef spurious_trig_number_0)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_0_0)) - (portRef stat_reg_288) - )) (net un1_spurious_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_1_0)) @@ -934976,165 +939131,50 @@ (net un1_spurious_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_spurious_trg_pulse_cry_0_0)) )) - (net stat_reg_289 (joined - (portRef Q (instanceRef spurious_trig_number_1)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_1_0)) - (portRef stat_reg_289) - )) - (net stat_reg_290 (joined - (portRef Q (instanceRef spurious_trig_number_2)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_1_0)) - (portRef stat_reg_290) - )) (net un1_spurious_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_3_0)) )) - (net stat_reg_291 (joined - (portRef Q (instanceRef spurious_trig_number_3)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_3_0)) - (portRef stat_reg_291) - )) - (net stat_reg_292 (joined - (portRef Q (instanceRef spurious_trig_number_4)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_3_0)) - (portRef stat_reg_292) - )) (net un1_spurious_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_5_0)) )) - (net stat_reg_293 (joined - (portRef Q (instanceRef spurious_trig_number_5)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_5_0)) - (portRef stat_reg_293) - )) - (net stat_reg_294 (joined - (portRef Q (instanceRef spurious_trig_number_6)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_5_0)) - (portRef stat_reg_294) - )) (net un1_spurious_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_7_0)) )) - (net stat_reg_295 (joined - (portRef Q (instanceRef spurious_trig_number_7)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_7_0)) - (portRef stat_reg_295) - )) - (net stat_reg_296 (joined - (portRef Q (instanceRef spurious_trig_number_8)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_7_0)) - (portRef stat_reg_296) - )) (net un1_spurious_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_9_0)) )) - (net stat_reg_297 (joined - (portRef Q (instanceRef spurious_trig_number_9)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_9_0)) - (portRef stat_reg_297) - )) - (net stat_reg_298 (joined - (portRef Q (instanceRef spurious_trig_number_10)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_9_0)) - (portRef stat_reg_298) - )) (net un1_spurious_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_11_0)) )) - (net stat_reg_299 (joined - (portRef Q (instanceRef spurious_trig_number_11)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_11_0)) - (portRef stat_reg_299) - )) - (net stat_reg_300 (joined - (portRef Q (instanceRef spurious_trig_number_12)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_11_0)) - (portRef stat_reg_300) - )) (net un1_spurious_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_13_0)) )) - (net stat_reg_301 (joined - (portRef Q (instanceRef spurious_trig_number_13)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_13_0)) - (portRef stat_reg_301) - )) - (net stat_reg_302 (joined - (portRef Q (instanceRef spurious_trig_number_14)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_13_0)) - (portRef stat_reg_302) - )) (net un1_spurious_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_15_0)) )) - (net stat_reg_303 (joined - (portRef Q (instanceRef spurious_trig_number_15)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_15_0)) - (portRef stat_reg_303) - )) - (net stat_reg_304 (joined - (portRef Q (instanceRef spurious_trig_number_16)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_15_0)) - (portRef stat_reg_304) - )) (net un1_spurious_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_17_0)) )) - (net stat_reg_305 (joined - (portRef Q (instanceRef spurious_trig_number_17)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_17_0)) - (portRef stat_reg_305) - )) - (net stat_reg_306 (joined - (portRef Q (instanceRef spurious_trig_number_18)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_17_0)) - (portRef stat_reg_306) - )) (net un1_spurious_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_19_0)) )) - (net stat_reg_307 (joined - (portRef Q (instanceRef spurious_trig_number_19)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_19_0)) - (portRef stat_reg_307) - )) - (net stat_reg_308 (joined - (portRef Q (instanceRef spurious_trig_number_20)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_19_0)) - (portRef stat_reg_308) - )) (net un1_spurious_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_cry_21_0)) )) - (net stat_reg_309 (joined - (portRef Q (instanceRef spurious_trig_number_21)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_cry_21_0)) - (portRef stat_reg_309) - )) - (net stat_reg_310 (joined - (portRef Q (instanceRef spurious_trig_number_22)) - (portRef A1 (instanceRef un1_spurious_trg_pulse_cry_21_0)) - (portRef stat_reg_310) - )) (net un1_spurious_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un1_spurious_trg_pulse_s_23_0)) )) - (net stat_reg_311 (joined - (portRef Q (instanceRef spurious_trig_number_23)) - (portRef A0 (instanceRef un1_spurious_trg_pulse_s_23_0)) - (portRef stat_reg_311) - )) (net un1_spurious_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un1_spurious_trg_pulse_s_23_0)) )) @@ -935145,11 +939185,6 @@ (portRef multi_tmg_trg_pulse (instanceRef edge_to_pulse_4)) (portRef B0 (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) )) - (net stat_reg_256 (joined - (portRef Q (instanceRef multi_tmg_trig_number_0)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) - (portRef stat_reg_256) - )) (net un1_multi_tmg_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) @@ -935157,165 +939192,50 @@ (net un1_multi_tmg_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_multi_tmg_trg_pulse_cry_0_0)) )) - (net stat_reg_257 (joined - (portRef Q (instanceRef multi_tmg_trig_number_1)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) - (portRef stat_reg_257) - )) - (net stat_reg_258 (joined - (portRef Q (instanceRef multi_tmg_trig_number_2)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) - (portRef stat_reg_258) - )) (net un1_multi_tmg_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) )) - (net stat_reg_259 (joined - (portRef Q (instanceRef multi_tmg_trig_number_3)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) - (portRef stat_reg_259) - )) - (net stat_reg_260 (joined - (portRef Q (instanceRef multi_tmg_trig_number_4)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) - (portRef stat_reg_260) - )) (net un1_multi_tmg_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) )) - (net stat_reg_261 (joined - (portRef Q (instanceRef multi_tmg_trig_number_5)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) - (portRef stat_reg_261) - )) - (net stat_reg_262 (joined - (portRef Q (instanceRef multi_tmg_trig_number_6)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) - (portRef stat_reg_262) - )) (net un1_multi_tmg_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) )) - (net stat_reg_263 (joined - (portRef Q (instanceRef multi_tmg_trig_number_7)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) - (portRef stat_reg_263) - )) - (net stat_reg_264 (joined - (portRef Q (instanceRef multi_tmg_trig_number_8)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) - (portRef stat_reg_264) - )) (net un1_multi_tmg_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) )) - (net stat_reg_265 (joined - (portRef Q (instanceRef multi_tmg_trig_number_9)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) - (portRef stat_reg_265) - )) - (net stat_reg_266 (joined - (portRef Q (instanceRef multi_tmg_trig_number_10)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) - (portRef stat_reg_266) - )) (net un1_multi_tmg_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) )) - (net stat_reg_267 (joined - (portRef Q (instanceRef multi_tmg_trig_number_11)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) - (portRef stat_reg_267) - )) - (net stat_reg_268 (joined - (portRef Q (instanceRef multi_tmg_trig_number_12)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) - (portRef stat_reg_268) - )) (net un1_multi_tmg_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) )) - (net stat_reg_269 (joined - (portRef Q (instanceRef multi_tmg_trig_number_13)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) - (portRef stat_reg_269) - )) - (net stat_reg_270 (joined - (portRef Q (instanceRef multi_tmg_trig_number_14)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) - (portRef stat_reg_270) - )) (net un1_multi_tmg_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) )) - (net stat_reg_271 (joined - (portRef Q (instanceRef multi_tmg_trig_number_15)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) - (portRef stat_reg_271) - )) - (net stat_reg_272 (joined - (portRef Q (instanceRef multi_tmg_trig_number_16)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) - (portRef stat_reg_272) - )) (net un1_multi_tmg_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) )) - (net stat_reg_273 (joined - (portRef Q (instanceRef multi_tmg_trig_number_17)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) - (portRef stat_reg_273) - )) - (net stat_reg_274 (joined - (portRef Q (instanceRef multi_tmg_trig_number_18)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) - (portRef stat_reg_274) - )) (net un1_multi_tmg_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) )) - (net stat_reg_275 (joined - (portRef Q (instanceRef multi_tmg_trig_number_19)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) - (portRef stat_reg_275) - )) - (net stat_reg_276 (joined - (portRef Q (instanceRef multi_tmg_trig_number_20)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) - (portRef stat_reg_276) - )) (net un1_multi_tmg_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) )) - (net stat_reg_277 (joined - (portRef Q (instanceRef multi_tmg_trig_number_21)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) - (portRef stat_reg_277) - )) - (net stat_reg_278 (joined - (portRef Q (instanceRef multi_tmg_trig_number_22)) - (portRef A1 (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) - (portRef stat_reg_278) - )) (net un1_multi_tmg_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un1_multi_tmg_trg_pulse_s_23_0)) )) - (net stat_reg_279 (joined - (portRef Q (instanceRef multi_tmg_trig_number_23)) - (portRef A0 (instanceRef un1_multi_tmg_trg_pulse_s_23_0)) - (portRef stat_reg_279) - )) (net un1_multi_tmg_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un1_multi_tmg_trg_pulse_s_23_0)) )) @@ -935326,11 +939246,6 @@ (portRef invalid_trg_pulse (instanceRef edge_to_pulse_3)) (portRef B0 (instanceRef un1_invalid_trg_pulse_cry_0_0)) )) - (net stat_reg_224 (joined - (portRef Q (instanceRef invalid_trig_number_0)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_0_0)) - (portRef stat_reg_224) - )) (net un1_invalid_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_1_0)) @@ -935338,176 +939253,56 @@ (net un1_invalid_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_invalid_trg_pulse_cry_0_0)) )) - (net stat_reg_225 (joined - (portRef Q (instanceRef invalid_trig_number_1)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_1_0)) - (portRef stat_reg_225) - )) - (net stat_reg_226 (joined - (portRef Q (instanceRef invalid_trig_number_2)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_1_0)) - (portRef stat_reg_226) - )) (net un1_invalid_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_3_0)) )) - (net stat_reg_227 (joined - (portRef Q (instanceRef invalid_trig_number_3)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_3_0)) - (portRef stat_reg_227) - )) - (net stat_reg_228 (joined - (portRef Q (instanceRef invalid_trig_number_4)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_3_0)) - (portRef stat_reg_228) - )) (net un1_invalid_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_5_0)) )) - (net stat_reg_229 (joined - (portRef Q (instanceRef invalid_trig_number_5)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_5_0)) - (portRef stat_reg_229) - )) - (net stat_reg_230 (joined - (portRef Q (instanceRef invalid_trig_number_6)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_5_0)) - (portRef stat_reg_230) - )) (net un1_invalid_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_7_0)) )) - (net stat_reg_231 (joined - (portRef Q (instanceRef invalid_trig_number_7)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_7_0)) - (portRef stat_reg_231) - )) - (net stat_reg_232 (joined - (portRef Q (instanceRef invalid_trig_number_8)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_7_0)) - (portRef stat_reg_232) - )) (net un1_invalid_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_9_0)) )) - (net stat_reg_233 (joined - (portRef Q (instanceRef invalid_trig_number_9)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_9_0)) - (portRef stat_reg_233) - )) - (net stat_reg_234 (joined - (portRef Q (instanceRef invalid_trig_number_10)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_9_0)) - (portRef stat_reg_234) - )) (net un1_invalid_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_11_0)) )) - (net stat_reg_235 (joined - (portRef Q (instanceRef invalid_trig_number_11)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_11_0)) - (portRef stat_reg_235) - )) - (net stat_reg_236 (joined - (portRef Q (instanceRef invalid_trig_number_12)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_11_0)) - (portRef stat_reg_236) - )) (net un1_invalid_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_13_0)) )) - (net stat_reg_237 (joined - (portRef Q (instanceRef invalid_trig_number_13)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_13_0)) - (portRef stat_reg_237) - )) - (net stat_reg_238 (joined - (portRef Q (instanceRef invalid_trig_number_14)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_13_0)) - (portRef stat_reg_238) - )) (net un1_invalid_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_15_0)) )) - (net stat_reg_239 (joined - (portRef Q (instanceRef invalid_trig_number_15)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_15_0)) - (portRef stat_reg_239) - )) - (net stat_reg_240 (joined - (portRef Q (instanceRef invalid_trig_number_16)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_15_0)) - (portRef stat_reg_240) - )) (net un1_invalid_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_17_0)) )) - (net stat_reg_241 (joined - (portRef Q (instanceRef invalid_trig_number_17)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_17_0)) - (portRef stat_reg_241) - )) - (net stat_reg_242 (joined - (portRef Q (instanceRef invalid_trig_number_18)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_17_0)) - (portRef stat_reg_242) - )) (net un1_invalid_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_19_0)) )) - (net stat_reg_243 (joined - (portRef Q (instanceRef invalid_trig_number_19)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_19_0)) - (portRef stat_reg_243) - )) - (net stat_reg_244 (joined - (portRef Q (instanceRef invalid_trig_number_20)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_19_0)) - (portRef stat_reg_244) - )) (net un1_invalid_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_cry_21_0)) )) - (net stat_reg_245 (joined - (portRef Q (instanceRef invalid_trig_number_21)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_cry_21_0)) - (portRef stat_reg_245) - )) - (net stat_reg_246 (joined - (portRef Q (instanceRef invalid_trig_number_22)) - (portRef A1 (instanceRef un1_invalid_trg_pulse_cry_21_0)) - (portRef stat_reg_246) - )) (net un1_invalid_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un1_invalid_trg_pulse_s_23_0)) )) - (net stat_reg_247 (joined - (portRef Q (instanceRef invalid_trig_number_23)) - (portRef A0 (instanceRef un1_invalid_trg_pulse_s_23_0)) - (portRef stat_reg_247) - )) (net un1_invalid_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un1_invalid_trg_pulse_s_23_0)) )) (net un1_invalid_trg_pulse_s_23_0_S1 (joined (portRef S1 (instanceRef un1_invalid_trg_pulse_s_23_0)) )) - (net stat_reg_192 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_0)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_0_0)) - (portRef stat_reg_192) - )) (net un1_valid_notiming_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) @@ -935515,176 +939310,56 @@ (net un1_valid_notiming_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_valid_notiming_trg_pulse_cry_0_0)) )) - (net stat_reg_193 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_1)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) - (portRef stat_reg_193) - )) - (net stat_reg_194 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_2)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) - (portRef stat_reg_194) - )) (net un1_valid_notiming_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) )) - (net stat_reg_195 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_3)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) - (portRef stat_reg_195) - )) - (net stat_reg_196 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_4)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) - (portRef stat_reg_196) - )) (net un1_valid_notiming_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) )) - (net stat_reg_197 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_5)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) - (portRef stat_reg_197) - )) - (net stat_reg_198 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_6)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) - (portRef stat_reg_198) - )) (net un1_valid_notiming_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) )) - (net stat_reg_199 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_7)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) - (portRef stat_reg_199) - )) - (net stat_reg_200 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_8)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) - (portRef stat_reg_200) - )) (net un1_valid_notiming_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) )) - (net stat_reg_201 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_9)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) - (portRef stat_reg_201) - )) - (net stat_reg_202 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_10)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) - (portRef stat_reg_202) - )) (net un1_valid_notiming_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) )) - (net stat_reg_203 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_11)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) - (portRef stat_reg_203) - )) - (net stat_reg_204 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_12)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) - (portRef stat_reg_204) - )) (net un1_valid_notiming_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) )) - (net stat_reg_205 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_13)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) - (portRef stat_reg_205) - )) - (net stat_reg_206 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_14)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) - (portRef stat_reg_206) - )) (net un1_valid_notiming_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) )) - (net stat_reg_207 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_15)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) - (portRef stat_reg_207) - )) - (net stat_reg_208 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_16)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) - (portRef stat_reg_208) - )) (net un1_valid_notiming_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) )) - (net stat_reg_209 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_17)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) - (portRef stat_reg_209) - )) - (net stat_reg_210 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_18)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) - (portRef stat_reg_210) - )) (net un1_valid_notiming_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) )) - (net stat_reg_211 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_19)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) - (portRef stat_reg_211) - )) - (net stat_reg_212 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_20)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) - (portRef stat_reg_212) - )) (net un1_valid_notiming_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) )) - (net stat_reg_213 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_21)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) - (portRef stat_reg_213) - )) - (net stat_reg_214 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_22)) - (portRef A1 (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) - (portRef stat_reg_214) - )) (net un1_valid_notiming_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) )) - (net stat_reg_215 (joined - (portRef Q (instanceRef valid_NOtmg_trig_number_23)) - (portRef A0 (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) - (portRef stat_reg_215) - )) (net un1_valid_notiming_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) )) (net un1_valid_notiming_trg_pulse_s_23_0_S1 (joined (portRef S1 (instanceRef un1_valid_notiming_trg_pulse_s_23_0)) )) - (net stat_reg_160 (joined - (portRef Q (instanceRef valid_tmg_trig_number_0)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_0_0)) - (portRef stat_reg_160) - )) (net un2_valid_timing_trg_pulse_cry_0 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_0_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) @@ -935692,165 +939367,50 @@ (net un2_valid_timing_trg_pulse_cry_0_0_S0 (joined (portRef S0 (instanceRef un2_valid_timing_trg_pulse_cry_0_0)) )) - (net stat_reg_161 (joined - (portRef Q (instanceRef valid_tmg_trig_number_1)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) - (portRef stat_reg_161) - )) - (net stat_reg_162 (joined - (portRef Q (instanceRef valid_tmg_trig_number_2)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) - (portRef stat_reg_162) - )) (net un2_valid_timing_trg_pulse_cry_2 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_1_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) )) - (net stat_reg_163 (joined - (portRef Q (instanceRef valid_tmg_trig_number_3)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) - (portRef stat_reg_163) - )) - (net stat_reg_164 (joined - (portRef Q (instanceRef valid_tmg_trig_number_4)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) - (portRef stat_reg_164) - )) (net un2_valid_timing_trg_pulse_cry_4 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_3_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) )) - (net stat_reg_165 (joined - (portRef Q (instanceRef valid_tmg_trig_number_5)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) - (portRef stat_reg_165) - )) - (net stat_reg_166 (joined - (portRef Q (instanceRef valid_tmg_trig_number_6)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) - (portRef stat_reg_166) - )) (net un2_valid_timing_trg_pulse_cry_6 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_5_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) )) - (net stat_reg_167 (joined - (portRef Q (instanceRef valid_tmg_trig_number_7)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) - (portRef stat_reg_167) - )) - (net stat_reg_168 (joined - (portRef Q (instanceRef valid_tmg_trig_number_8)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) - (portRef stat_reg_168) - )) (net un2_valid_timing_trg_pulse_cry_8 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_7_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) )) - (net stat_reg_169 (joined - (portRef Q (instanceRef valid_tmg_trig_number_9)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) - (portRef stat_reg_169) - )) - (net stat_reg_170 (joined - (portRef Q (instanceRef valid_tmg_trig_number_10)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) - (portRef stat_reg_170) - )) (net un2_valid_timing_trg_pulse_cry_10 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_9_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) )) - (net stat_reg_171 (joined - (portRef Q (instanceRef valid_tmg_trig_number_11)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) - (portRef stat_reg_171) - )) - (net stat_reg_172 (joined - (portRef Q (instanceRef valid_tmg_trig_number_12)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) - (portRef stat_reg_172) - )) (net un2_valid_timing_trg_pulse_cry_12 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_11_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) )) - (net stat_reg_173 (joined - (portRef Q (instanceRef valid_tmg_trig_number_13)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) - (portRef stat_reg_173) - )) - (net stat_reg_174 (joined - (portRef Q (instanceRef valid_tmg_trig_number_14)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) - (portRef stat_reg_174) - )) (net un2_valid_timing_trg_pulse_cry_14 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_13_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) )) - (net stat_reg_175 (joined - (portRef Q (instanceRef valid_tmg_trig_number_15)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) - (portRef stat_reg_175) - )) - (net stat_reg_176 (joined - (portRef Q (instanceRef valid_tmg_trig_number_16)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) - (portRef stat_reg_176) - )) (net un2_valid_timing_trg_pulse_cry_16 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_15_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) )) - (net stat_reg_177 (joined - (portRef Q (instanceRef valid_tmg_trig_number_17)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) - (portRef stat_reg_177) - )) - (net stat_reg_178 (joined - (portRef Q (instanceRef valid_tmg_trig_number_18)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) - (portRef stat_reg_178) - )) (net un2_valid_timing_trg_pulse_cry_18 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_17_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) )) - (net stat_reg_179 (joined - (portRef Q (instanceRef valid_tmg_trig_number_19)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) - (portRef stat_reg_179) - )) - (net stat_reg_180 (joined - (portRef Q (instanceRef valid_tmg_trig_number_20)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) - (portRef stat_reg_180) - )) (net un2_valid_timing_trg_pulse_cry_20 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_19_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) )) - (net stat_reg_181 (joined - (portRef Q (instanceRef valid_tmg_trig_number_21)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) - (portRef stat_reg_181) - )) - (net stat_reg_182 (joined - (portRef Q (instanceRef valid_tmg_trig_number_22)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) - (portRef stat_reg_182) - )) (net un2_valid_timing_trg_pulse_cry_22 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_cry_21_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_s_23_0)) )) - (net stat_reg_183 (joined - (portRef Q (instanceRef valid_tmg_trig_number_23)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_s_23_0)) - (portRef stat_reg_183) - )) (net un2_valid_timing_trg_pulse_s_23_0_COUT (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_s_23_0)) )) @@ -935898,81 +939458,81 @@ (net un1_trg_win_cnt_up_i_s_15_0_S1 (joined (portRef S1 (instanceRef un1_trg_win_cnt_up_i_s_15_0)) )) - (net un1_i_2_cry_0 (joined - (portRef COUT (instanceRef un1_i_2_cry_0_0)) - (portRef CIN (instanceRef un1_i_2_cry_1_0)) + (net un1_i_3_cry_0 (joined + (portRef COUT (instanceRef un1_i_3_cry_0_0)) + (portRef CIN (instanceRef un1_i_3_cry_1_0)) )) - (net un1_i_2_cry_0_0_S0 (joined - (portRef S0 (instanceRef un1_i_2_cry_0_0)) + (net un1_i_3_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_i_3_cry_0_0)) )) - (net un1_i_2_cry_2 (joined - (portRef COUT (instanceRef un1_i_2_cry_1_0)) - (portRef CIN (instanceRef un1_i_2_cry_3_0)) + (net un1_i_3_cry_2 (joined + (portRef COUT (instanceRef un1_i_3_cry_1_0)) + (portRef CIN (instanceRef un1_i_3_cry_3_0)) )) - (net un1_i_2_cry_4 (joined - (portRef COUT (instanceRef un1_i_2_cry_3_0)) - (portRef CIN (instanceRef un1_i_2_cry_5_0)) + (net un1_i_3_cry_4 (joined + (portRef COUT (instanceRef un1_i_3_cry_3_0)) + (portRef CIN (instanceRef un1_i_3_cry_5_0)) )) - (net un1_i_2_cry_6 (joined - (portRef COUT (instanceRef un1_i_2_cry_5_0)) - (portRef CIN (instanceRef un1_i_2_cry_7_0)) + (net un1_i_3_cry_6 (joined + (portRef COUT (instanceRef un1_i_3_cry_5_0)) + (portRef CIN (instanceRef un1_i_3_cry_7_0)) )) - (net un1_i_2_cry_8 (joined - (portRef COUT (instanceRef un1_i_2_cry_7_0)) - (portRef CIN (instanceRef un1_i_2_cry_9_0)) + (net un1_i_3_cry_8 (joined + (portRef COUT (instanceRef un1_i_3_cry_7_0)) + (portRef CIN (instanceRef un1_i_3_cry_9_0)) )) - (net un1_i_2_cry_10 (joined - (portRef COUT (instanceRef un1_i_2_cry_9_0)) - (portRef CIN (instanceRef un1_i_2_cry_11_0)) + (net un1_i_3_cry_10 (joined + (portRef COUT (instanceRef un1_i_3_cry_9_0)) + (portRef CIN (instanceRef un1_i_3_cry_11_0)) )) - (net un1_i_2_cry_12 (joined - (portRef COUT (instanceRef un1_i_2_cry_11_0)) - (portRef CIN (instanceRef un1_i_2_cry_13_0)) + (net un1_i_3_cry_12 (joined + (portRef COUT (instanceRef un1_i_3_cry_11_0)) + (portRef CIN (instanceRef un1_i_3_cry_13_0)) )) - (net un1_i_2_cry_14 (joined - (portRef COUT (instanceRef un1_i_2_cry_13_0)) - (portRef CIN (instanceRef un1_i_2_cry_15_0)) + (net un1_i_3_cry_14 (joined + (portRef COUT (instanceRef un1_i_3_cry_13_0)) + (portRef CIN (instanceRef un1_i_3_cry_15_0)) )) - (net un1_i_2_cry_16 (joined - (portRef COUT (instanceRef un1_i_2_cry_15_0)) - (portRef CIN (instanceRef un1_i_2_cry_17_0)) + (net un1_i_3_cry_16 (joined + (portRef COUT (instanceRef un1_i_3_cry_15_0)) + (portRef CIN (instanceRef un1_i_3_cry_17_0)) )) - (net un1_i_2_cry_18 (joined - (portRef COUT (instanceRef un1_i_2_cry_17_0)) - (portRef CIN (instanceRef un1_i_2_cry_19_0)) + (net un1_i_3_cry_18 (joined + (portRef COUT (instanceRef un1_i_3_cry_17_0)) + (portRef CIN (instanceRef un1_i_3_cry_19_0)) )) - (net un1_i_2_cry_20 (joined - (portRef COUT (instanceRef un1_i_2_cry_19_0)) - (portRef CIN (instanceRef un1_i_2_cry_21_0)) + (net un1_i_3_cry_20 (joined + (portRef COUT (instanceRef un1_i_3_cry_19_0)) + (portRef CIN (instanceRef un1_i_3_cry_21_0)) )) - (net un1_i_2_cry_22 (joined - (portRef COUT (instanceRef un1_i_2_cry_21_0)) - (portRef CIN (instanceRef un1_i_2_cry_23_0)) + (net un1_i_3_cry_22 (joined + (portRef COUT (instanceRef un1_i_3_cry_21_0)) + (portRef CIN (instanceRef un1_i_3_cry_23_0)) )) - (net un1_i_2_cry_24 (joined - (portRef COUT (instanceRef un1_i_2_cry_23_0)) - (portRef CIN (instanceRef un1_i_2_cry_25_0)) + (net un1_i_3_cry_24 (joined + (portRef COUT (instanceRef un1_i_3_cry_23_0)) + (portRef CIN (instanceRef un1_i_3_cry_25_0)) )) - (net un1_i_2_cry_26 (joined - (portRef COUT (instanceRef un1_i_2_cry_25_0)) - (portRef CIN (instanceRef un1_i_2_cry_27_0)) + (net un1_i_3_cry_26 (joined + (portRef COUT (instanceRef un1_i_3_cry_25_0)) + (portRef CIN (instanceRef un1_i_3_cry_27_0)) )) - (net un1_i_2_cry_28 (joined - (portRef COUT (instanceRef un1_i_2_cry_27_0)) - (portRef CIN (instanceRef un1_i_2_cry_29_0)) + (net un1_i_3_cry_28 (joined + (portRef COUT (instanceRef un1_i_3_cry_27_0)) + (portRef CIN (instanceRef un1_i_3_cry_29_0)) )) - (net un1_i_2_cry_30 (joined - (portRef COUT (instanceRef un1_i_2_cry_29_0)) - (portRef CIN (instanceRef un1_i_2_s_31_0)) + (net un1_i_3_cry_30 (joined + (portRef COUT (instanceRef un1_i_3_cry_29_0)) + (portRef CIN (instanceRef un1_i_3_s_31_0)) )) - (net un1_i_2_s_31_0_COUT (joined - (portRef COUT (instanceRef un1_i_2_s_31_0)) + (net un1_i_3_s_31_0_COUT (joined + (portRef COUT (instanceRef un1_i_3_s_31_0)) )) - (net un1_i_2_s_31_0_S1 (joined - (portRef S1 (instanceRef un1_i_2_s_31_0)) + (net un1_i_3_s_31_0_S1 (joined + (portRef S1 (instanceRef un1_i_3_s_31_0)) )) - (net ctrl_reg_12 (joined - (portRef ctrl_reg_12) + (net ctrl_reg_36 (joined + (portRef ctrl_reg_36) (portRef C1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_1_0)) (portRef A1 (instanceRef tw_post_cry_0_0)) (portRef B (instanceRef tw_post_axb_0)) @@ -936006,11 +939566,6 @@ (net tw_post_cry_9_0_COUT (joined (portRef COUT (instanceRef tw_post_cry_9_0)) )) - (net stat_reg_416 (joined - (portRef Q (instanceRef wait_time_0)) - (portRef A1 (instanceRef un1_readout_i_2_cry_0_0)) - (portRef stat_reg_416) - )) (net un1_readout_i_2_cry_0 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_0_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_1_0)) @@ -936018,176 +939573,56 @@ (net un1_readout_i_2_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_readout_i_2_cry_0_0)) )) - (net stat_reg_417 (joined - (portRef Q (instanceRef wait_time_1)) - (portRef A0 (instanceRef un1_readout_i_2_cry_1_0)) - (portRef stat_reg_417) - )) - (net stat_reg_418 (joined - (portRef Q (instanceRef wait_time_2)) - (portRef A1 (instanceRef un1_readout_i_2_cry_1_0)) - (portRef stat_reg_418) - )) (net un1_readout_i_2_cry_2 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_1_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_3_0)) )) - (net stat_reg_419 (joined - (portRef Q (instanceRef wait_time_3)) - (portRef A0 (instanceRef un1_readout_i_2_cry_3_0)) - (portRef stat_reg_419) - )) - (net stat_reg_420 (joined - (portRef Q (instanceRef wait_time_4)) - (portRef A1 (instanceRef un1_readout_i_2_cry_3_0)) - (portRef stat_reg_420) - )) (net un1_readout_i_2_cry_4 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_3_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_5_0)) )) - (net stat_reg_421 (joined - (portRef Q (instanceRef wait_time_5)) - (portRef A0 (instanceRef un1_readout_i_2_cry_5_0)) - (portRef stat_reg_421) - )) - (net stat_reg_422 (joined - (portRef Q (instanceRef wait_time_6)) - (portRef A1 (instanceRef un1_readout_i_2_cry_5_0)) - (portRef stat_reg_422) - )) (net un1_readout_i_2_cry_6 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_5_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_7_0)) )) - (net stat_reg_423 (joined - (portRef Q (instanceRef wait_time_7)) - (portRef A0 (instanceRef un1_readout_i_2_cry_7_0)) - (portRef stat_reg_423) - )) - (net stat_reg_424 (joined - (portRef Q (instanceRef wait_time_8)) - (portRef A1 (instanceRef un1_readout_i_2_cry_7_0)) - (portRef stat_reg_424) - )) (net un1_readout_i_2_cry_8 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_7_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_9_0)) )) - (net stat_reg_425 (joined - (portRef Q (instanceRef wait_time_9)) - (portRef A0 (instanceRef un1_readout_i_2_cry_9_0)) - (portRef stat_reg_425) - )) - (net stat_reg_426 (joined - (portRef Q (instanceRef wait_time_10)) - (portRef A1 (instanceRef un1_readout_i_2_cry_9_0)) - (portRef stat_reg_426) - )) (net un1_readout_i_2_cry_10 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_9_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_11_0)) )) - (net stat_reg_427 (joined - (portRef Q (instanceRef wait_time_11)) - (portRef A0 (instanceRef un1_readout_i_2_cry_11_0)) - (portRef stat_reg_427) - )) - (net stat_reg_428 (joined - (portRef Q (instanceRef wait_time_12)) - (portRef A1 (instanceRef un1_readout_i_2_cry_11_0)) - (portRef stat_reg_428) - )) (net un1_readout_i_2_cry_12 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_11_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_13_0)) )) - (net stat_reg_429 (joined - (portRef Q (instanceRef wait_time_13)) - (portRef A0 (instanceRef un1_readout_i_2_cry_13_0)) - (portRef stat_reg_429) - )) - (net stat_reg_430 (joined - (portRef Q (instanceRef wait_time_14)) - (portRef A1 (instanceRef un1_readout_i_2_cry_13_0)) - (portRef stat_reg_430) - )) (net un1_readout_i_2_cry_14 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_13_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_15_0)) )) - (net stat_reg_431 (joined - (portRef Q (instanceRef wait_time_15)) - (portRef A0 (instanceRef un1_readout_i_2_cry_15_0)) - (portRef stat_reg_431) - )) - (net stat_reg_432 (joined - (portRef Q (instanceRef wait_time_16)) - (portRef A1 (instanceRef un1_readout_i_2_cry_15_0)) - (portRef stat_reg_432) - )) (net un1_readout_i_2_cry_16 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_15_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_17_0)) )) - (net stat_reg_433 (joined - (portRef Q (instanceRef wait_time_17)) - (portRef A0 (instanceRef un1_readout_i_2_cry_17_0)) - (portRef stat_reg_433) - )) - (net stat_reg_434 (joined - (portRef Q (instanceRef wait_time_18)) - (portRef A1 (instanceRef un1_readout_i_2_cry_17_0)) - (portRef stat_reg_434) - )) (net un1_readout_i_2_cry_18 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_17_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_19_0)) )) - (net stat_reg_435 (joined - (portRef Q (instanceRef wait_time_19)) - (portRef A0 (instanceRef un1_readout_i_2_cry_19_0)) - (portRef stat_reg_435) - )) - (net stat_reg_436 (joined - (portRef Q (instanceRef wait_time_20)) - (portRef A1 (instanceRef un1_readout_i_2_cry_19_0)) - (portRef stat_reg_436) - )) (net un1_readout_i_2_cry_20 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_19_0)) (portRef CIN (instanceRef un1_readout_i_2_cry_21_0)) )) - (net stat_reg_437 (joined - (portRef Q (instanceRef wait_time_21)) - (portRef A0 (instanceRef un1_readout_i_2_cry_21_0)) - (portRef stat_reg_437) - )) - (net stat_reg_438 (joined - (portRef Q (instanceRef wait_time_22)) - (portRef A1 (instanceRef un1_readout_i_2_cry_21_0)) - (portRef stat_reg_438) - )) (net un1_readout_i_2_cry_22 (joined (portRef COUT (instanceRef un1_readout_i_2_cry_21_0)) (portRef CIN (instanceRef un1_readout_i_2_s_23_0)) )) - (net stat_reg_439 (joined - (portRef Q (instanceRef wait_time_23)) - (portRef A0 (instanceRef un1_readout_i_2_s_23_0)) - (portRef stat_reg_439) - )) (net un1_readout_i_2_s_23_0_COUT (joined (portRef COUT (instanceRef un1_readout_i_2_s_23_0)) )) (net un1_readout_i_2_s_23_0_S1 (joined (portRef S1 (instanceRef un1_readout_i_2_s_23_0)) )) - (net stat_reg_128 (joined - (portRef Q (instanceRef trig_number_0)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) - (portRef stat_reg_128) - )) (net un2_valid_timing_trg_pulse_1_cry_0 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) @@ -936195,175 +939630,230 @@ (net un2_valid_timing_trg_pulse_1_cry_0_0_S0 (joined (portRef S0 (instanceRef un2_valid_timing_trg_pulse_1_cry_0_0)) )) - (net stat_reg_129 (joined - (portRef Q (instanceRef trig_number_1)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) - (portRef stat_reg_129) - )) - (net stat_reg_130 (joined - (portRef Q (instanceRef trig_number_2)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) - (portRef stat_reg_130) - )) (net un2_valid_timing_trg_pulse_1_cry_2 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_1_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) )) - (net stat_reg_131 (joined - (portRef Q (instanceRef trig_number_3)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) - (portRef stat_reg_131) - )) - (net stat_reg_132 (joined - (portRef Q (instanceRef trig_number_4)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) - (portRef stat_reg_132) - )) (net un2_valid_timing_trg_pulse_1_cry_4 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_3_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) )) - (net stat_reg_133 (joined - (portRef Q (instanceRef trig_number_5)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) - (portRef stat_reg_133) - )) - (net stat_reg_134 (joined - (portRef Q (instanceRef trig_number_6)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) - (portRef stat_reg_134) - )) (net un2_valid_timing_trg_pulse_1_cry_6 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_5_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) )) - (net stat_reg_135 (joined - (portRef Q (instanceRef trig_number_7)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) - (portRef stat_reg_135) - )) - (net stat_reg_136 (joined - (portRef Q (instanceRef trig_number_8)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) - (portRef stat_reg_136) - )) (net un2_valid_timing_trg_pulse_1_cry_8 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_7_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) )) - (net stat_reg_137 (joined - (portRef Q (instanceRef trig_number_9)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) - (portRef stat_reg_137) - )) - (net stat_reg_138 (joined - (portRef Q (instanceRef trig_number_10)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) - (portRef stat_reg_138) - )) (net un2_valid_timing_trg_pulse_1_cry_10 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_9_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) )) - (net stat_reg_139 (joined - (portRef Q (instanceRef trig_number_11)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) - (portRef stat_reg_139) - )) - (net stat_reg_140 (joined - (portRef Q (instanceRef trig_number_12)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) - (portRef stat_reg_140) - )) (net un2_valid_timing_trg_pulse_1_cry_12 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_11_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) )) - (net stat_reg_141 (joined - (portRef Q (instanceRef trig_number_13)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) - (portRef stat_reg_141) - )) - (net stat_reg_142 (joined - (portRef Q (instanceRef trig_number_14)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) - (portRef stat_reg_142) - )) (net un2_valid_timing_trg_pulse_1_cry_14 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_13_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) )) - (net stat_reg_143 (joined - (portRef Q (instanceRef trig_number_15)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) - (portRef stat_reg_143) - )) - (net stat_reg_144 (joined - (portRef Q (instanceRef trig_number_16)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) - (portRef stat_reg_144) - )) (net un2_valid_timing_trg_pulse_1_cry_16 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_15_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) )) - (net stat_reg_145 (joined - (portRef Q (instanceRef trig_number_17)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) - (portRef stat_reg_145) - )) - (net stat_reg_146 (joined - (portRef Q (instanceRef trig_number_18)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) - (portRef stat_reg_146) - )) (net un2_valid_timing_trg_pulse_1_cry_18 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_17_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) )) - (net stat_reg_147 (joined - (portRef Q (instanceRef trig_number_19)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) - (portRef stat_reg_147) - )) - (net stat_reg_148 (joined - (portRef Q (instanceRef trig_number_20)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) - (portRef stat_reg_148) - )) (net un2_valid_timing_trg_pulse_1_cry_20 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_19_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) )) - (net stat_reg_149 (joined - (portRef Q (instanceRef trig_number_21)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) - (portRef stat_reg_149) - )) - (net stat_reg_150 (joined - (portRef Q (instanceRef trig_number_22)) - (portRef A1 (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) - (portRef stat_reg_150) - )) (net un2_valid_timing_trg_pulse_1_cry_22 (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_cry_21_0)) (portRef CIN (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) )) - (net stat_reg_151 (joined - (portRef Q (instanceRef trig_number_23)) - (portRef A0 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) - (portRef stat_reg_151) - )) (net un2_valid_timing_trg_pulse_1_s_23_0_COUT (joined (portRef COUT (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) )) (net un2_valid_timing_trg_pulse_1_s_23_0_S1 (joined (portRef S1 (instanceRef un2_valid_timing_trg_pulse_1_s_23_0)) )) - (net stat_reg_448 (joined - (portRef Q (instanceRef total_empty_channel_0)) - (portRef A1 (instanceRef un1_empty_channels_cry_0_0)) - (portRef stat_reg_448) + (net un1_idle_i_cry_0 (joined + (portRef COUT (instanceRef un1_idle_i_cry_0_0)) + (portRef CIN (instanceRef un1_idle_i_cry_1_0)) + )) + (net un1_idle_i_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_idle_i_cry_0_0)) + )) + (net un1_idle_i_cry_2 (joined + (portRef COUT (instanceRef un1_idle_i_cry_1_0)) + (portRef CIN (instanceRef un1_idle_i_cry_3_0)) + )) + (net un1_idle_i_cry_4 (joined + (portRef COUT (instanceRef un1_idle_i_cry_3_0)) + (portRef CIN (instanceRef un1_idle_i_cry_5_0)) + )) + (net un1_idle_i_cry_6 (joined + (portRef COUT (instanceRef un1_idle_i_cry_5_0)) + (portRef CIN (instanceRef un1_idle_i_cry_7_0)) + )) + (net un1_idle_i_cry_8 (joined + (portRef COUT (instanceRef un1_idle_i_cry_7_0)) + (portRef CIN (instanceRef un1_idle_i_cry_9_0)) + )) + (net un1_idle_i_cry_10 (joined + (portRef COUT (instanceRef un1_idle_i_cry_9_0)) + (portRef CIN (instanceRef un1_idle_i_cry_11_0)) + )) + (net un1_idle_i_cry_12 (joined + (portRef COUT (instanceRef un1_idle_i_cry_11_0)) + (portRef CIN (instanceRef un1_idle_i_cry_13_0)) + )) + (net un1_idle_i_cry_14 (joined + (portRef COUT (instanceRef un1_idle_i_cry_13_0)) + (portRef CIN (instanceRef un1_idle_i_cry_15_0)) + )) + (net un1_idle_i_cry_16 (joined + (portRef COUT (instanceRef un1_idle_i_cry_15_0)) + (portRef CIN (instanceRef un1_idle_i_cry_17_0)) + )) + (net un1_idle_i_cry_18 (joined + (portRef COUT (instanceRef un1_idle_i_cry_17_0)) + (portRef CIN (instanceRef un1_idle_i_cry_19_0)) + )) + (net un1_idle_i_cry_20 (joined + (portRef COUT (instanceRef un1_idle_i_cry_19_0)) + (portRef CIN (instanceRef un1_idle_i_cry_21_0)) + )) + (net un1_idle_i_cry_22 (joined + (portRef COUT (instanceRef un1_idle_i_cry_21_0)) + (portRef CIN (instanceRef un1_idle_i_s_23_0)) + )) + (net un1_idle_i_s_23_0_COUT (joined + (portRef COUT (instanceRef un1_idle_i_s_23_0)) + )) + (net un1_idle_i_s_23_0_S1 (joined + (portRef S1 (instanceRef un1_idle_i_s_23_0)) + )) + (net spike_detected_pulse (joined + (portRef spike_detected_pulse (instanceRef edge_to_pulse_6)) + (portRef B0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + )) + (net un1_spike_detected_pulse_cry_0 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_0_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_1_0)) + )) + (net un1_spike_detected_pulse_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_spike_detected_pulse_cry_0_0)) + )) + (net un1_spike_detected_pulse_cry_2 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_1_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_3_0)) + )) + (net un1_spike_detected_pulse_cry_4 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_3_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_5_0)) + )) + (net un1_spike_detected_pulse_cry_6 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_5_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_7_0)) + )) + (net un1_spike_detected_pulse_cry_8 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_7_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_9_0)) + )) + (net un1_spike_detected_pulse_cry_10 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_9_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_11_0)) + )) + (net un1_spike_detected_pulse_cry_12 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_11_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_13_0)) + )) + (net un1_spike_detected_pulse_cry_14 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_13_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_15_0)) + )) + (net un1_spike_detected_pulse_cry_16 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_15_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_17_0)) + )) + (net un1_spike_detected_pulse_cry_18 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_17_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_19_0)) + )) + (net un1_spike_detected_pulse_cry_20 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_19_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_cry_21_0)) + )) + (net un1_spike_detected_pulse_cry_22 (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_cry_21_0)) + (portRef CIN (instanceRef un1_spike_detected_pulse_s_23_0)) + )) + (net un1_spike_detected_pulse_s_23_0_COUT (joined + (portRef COUT (instanceRef un1_spike_detected_pulse_s_23_0)) + )) + (net un1_spike_detected_pulse_s_23_0_S1 (joined + (portRef S1 (instanceRef un1_spike_detected_pulse_s_23_0)) + )) + (net un1_wrong_readout_i_cry_0 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_0_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_1_0)) + )) + (net un1_wrong_readout_i_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_wrong_readout_i_cry_0_0)) + )) + (net un1_wrong_readout_i_cry_2 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_1_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_3_0)) + )) + (net un1_wrong_readout_i_cry_4 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_3_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_5_0)) + )) + (net un1_wrong_readout_i_cry_6 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_5_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_7_0)) + )) + (net un1_wrong_readout_i_cry_8 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_7_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_9_0)) + )) + (net un1_wrong_readout_i_cry_10 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_9_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_11_0)) + )) + (net un1_wrong_readout_i_cry_12 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_11_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_13_0)) + )) + (net un1_wrong_readout_i_cry_14 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_13_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_15_0)) + )) + (net un1_wrong_readout_i_cry_16 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_15_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_17_0)) + )) + (net un1_wrong_readout_i_cry_18 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_17_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_19_0)) + )) + (net un1_wrong_readout_i_cry_20 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_19_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_cry_21_0)) + )) + (net un1_wrong_readout_i_cry_22 (joined + (portRef COUT (instanceRef un1_wrong_readout_i_cry_21_0)) + (portRef CIN (instanceRef un1_wrong_readout_i_s_23_0)) + )) + (net un1_wrong_readout_i_s_23_0_COUT (joined + (portRef COUT (instanceRef un1_wrong_readout_i_s_23_0)) + )) + (net un1_wrong_readout_i_s_23_0_S1 (joined + (portRef S1 (instanceRef un1_wrong_readout_i_s_23_0)) )) (net un1_empty_channels_cry_0 (joined (portRef COUT (instanceRef un1_empty_channels_cry_0_0)) @@ -936372,165 +939862,50 @@ (net un1_empty_channels_cry_0_0_S0 (joined (portRef S0 (instanceRef un1_empty_channels_cry_0_0)) )) - (net stat_reg_449 (joined - (portRef Q (instanceRef total_empty_channel_1)) - (portRef A0 (instanceRef un1_empty_channels_cry_1_0)) - (portRef stat_reg_449) - )) - (net stat_reg_450 (joined - (portRef Q (instanceRef total_empty_channel_2)) - (portRef A1 (instanceRef un1_empty_channels_cry_1_0)) - (portRef stat_reg_450) - )) (net un1_empty_channels_cry_2 (joined (portRef COUT (instanceRef un1_empty_channels_cry_1_0)) (portRef CIN (instanceRef un1_empty_channels_cry_3_0)) )) - (net stat_reg_451 (joined - (portRef Q (instanceRef total_empty_channel_3)) - (portRef A0 (instanceRef un1_empty_channels_cry_3_0)) - (portRef stat_reg_451) - )) - (net stat_reg_452 (joined - (portRef Q (instanceRef total_empty_channel_4)) - (portRef A1 (instanceRef un1_empty_channels_cry_3_0)) - (portRef stat_reg_452) - )) (net un1_empty_channels_cry_4 (joined (portRef COUT (instanceRef un1_empty_channels_cry_3_0)) (portRef CIN (instanceRef un1_empty_channels_cry_5_0)) )) - (net stat_reg_453 (joined - (portRef Q (instanceRef total_empty_channel_5)) - (portRef A0 (instanceRef un1_empty_channels_cry_5_0)) - (portRef stat_reg_453) - )) - (net stat_reg_454 (joined - (portRef Q (instanceRef total_empty_channel_6)) - (portRef A1 (instanceRef un1_empty_channels_cry_5_0)) - (portRef stat_reg_454) - )) (net un1_empty_channels_cry_6 (joined (portRef COUT (instanceRef un1_empty_channels_cry_5_0)) (portRef CIN (instanceRef un1_empty_channels_cry_7_0)) )) - (net stat_reg_455 (joined - (portRef Q (instanceRef total_empty_channel_7)) - (portRef A0 (instanceRef un1_empty_channels_cry_7_0)) - (portRef stat_reg_455) - )) - (net stat_reg_456 (joined - (portRef Q (instanceRef total_empty_channel_8)) - (portRef A1 (instanceRef un1_empty_channels_cry_7_0)) - (portRef stat_reg_456) - )) (net un1_empty_channels_cry_8 (joined (portRef COUT (instanceRef un1_empty_channels_cry_7_0)) (portRef CIN (instanceRef un1_empty_channels_cry_9_0)) )) - (net stat_reg_457 (joined - (portRef Q (instanceRef total_empty_channel_9)) - (portRef A0 (instanceRef un1_empty_channels_cry_9_0)) - (portRef stat_reg_457) - )) - (net stat_reg_458 (joined - (portRef Q (instanceRef total_empty_channel_10)) - (portRef A1 (instanceRef un1_empty_channels_cry_9_0)) - (portRef stat_reg_458) - )) (net un1_empty_channels_cry_10 (joined (portRef COUT (instanceRef un1_empty_channels_cry_9_0)) (portRef CIN (instanceRef un1_empty_channels_cry_11_0)) )) - (net stat_reg_459 (joined - (portRef Q (instanceRef total_empty_channel_11)) - (portRef A0 (instanceRef un1_empty_channels_cry_11_0)) - (portRef stat_reg_459) - )) - (net stat_reg_460 (joined - (portRef Q (instanceRef total_empty_channel_12)) - (portRef A1 (instanceRef un1_empty_channels_cry_11_0)) - (portRef stat_reg_460) - )) (net un1_empty_channels_cry_12 (joined (portRef COUT (instanceRef un1_empty_channels_cry_11_0)) (portRef CIN (instanceRef un1_empty_channels_cry_13_0)) )) - (net stat_reg_461 (joined - (portRef Q (instanceRef total_empty_channel_13)) - (portRef A0 (instanceRef un1_empty_channels_cry_13_0)) - (portRef stat_reg_461) - )) - (net stat_reg_462 (joined - (portRef Q (instanceRef total_empty_channel_14)) - (portRef A1 (instanceRef un1_empty_channels_cry_13_0)) - (portRef stat_reg_462) - )) (net un1_empty_channels_cry_14 (joined (portRef COUT (instanceRef un1_empty_channels_cry_13_0)) (portRef CIN (instanceRef un1_empty_channels_cry_15_0)) )) - (net stat_reg_463 (joined - (portRef Q (instanceRef total_empty_channel_15)) - (portRef A0 (instanceRef un1_empty_channels_cry_15_0)) - (portRef stat_reg_463) - )) - (net stat_reg_464 (joined - (portRef Q (instanceRef total_empty_channel_16)) - (portRef A1 (instanceRef un1_empty_channels_cry_15_0)) - (portRef stat_reg_464) - )) (net un1_empty_channels_cry_16 (joined (portRef COUT (instanceRef un1_empty_channels_cry_15_0)) (portRef CIN (instanceRef un1_empty_channels_cry_17_0)) )) - (net stat_reg_465 (joined - (portRef Q (instanceRef total_empty_channel_17)) - (portRef A0 (instanceRef un1_empty_channels_cry_17_0)) - (portRef stat_reg_465) - )) - (net stat_reg_466 (joined - (portRef Q (instanceRef total_empty_channel_18)) - (portRef A1 (instanceRef un1_empty_channels_cry_17_0)) - (portRef stat_reg_466) - )) (net un1_empty_channels_cry_18 (joined (portRef COUT (instanceRef un1_empty_channels_cry_17_0)) (portRef CIN (instanceRef un1_empty_channels_cry_19_0)) )) - (net stat_reg_467 (joined - (portRef Q (instanceRef total_empty_channel_19)) - (portRef A0 (instanceRef un1_empty_channels_cry_19_0)) - (portRef stat_reg_467) - )) - (net stat_reg_468 (joined - (portRef Q (instanceRef total_empty_channel_20)) - (portRef A1 (instanceRef un1_empty_channels_cry_19_0)) - (portRef stat_reg_468) - )) (net un1_empty_channels_cry_20 (joined (portRef COUT (instanceRef un1_empty_channels_cry_19_0)) (portRef CIN (instanceRef un1_empty_channels_cry_21_0)) )) - (net stat_reg_469 (joined - (portRef Q (instanceRef total_empty_channel_21)) - (portRef A0 (instanceRef un1_empty_channels_cry_21_0)) - (portRef stat_reg_469) - )) - (net stat_reg_470 (joined - (portRef Q (instanceRef total_empty_channel_22)) - (portRef A1 (instanceRef un1_empty_channels_cry_21_0)) - (portRef stat_reg_470) - )) (net un1_empty_channels_cry_22 (joined (portRef COUT (instanceRef un1_empty_channels_cry_21_0)) (portRef CIN (instanceRef un1_empty_channels_s_23_0)) )) - (net stat_reg_471 (joined - (portRef Q (instanceRef total_empty_channel_23)) - (portRef A0 (instanceRef un1_empty_channels_s_23_0)) - (portRef stat_reg_471) - )) (net un1_empty_channels_s_23_0_COUT (joined (portRef COUT (instanceRef un1_empty_channels_s_23_0)) )) @@ -936568,44 +939943,44 @@ (portRef stat_reg_99) (portRef B0 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_3_0)) )) - (net ctrl_reg_0 (joined - (portRef ctrl_reg_0) + (net ctrl_reg_24 (joined + (portRef ctrl_reg_24) (portRef B1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_3_0)) )) (net TW_pre_1_cry_4 (joined (portRef COUT (instanceRef Trg_Win_Calculation_TW_pre_1_cry_3_0)) (portRef CIN (instanceRef Trg_Win_Calculation_TW_pre_1_cry_5_0)) )) - (net ctrl_reg_1 (joined - (portRef ctrl_reg_1) + (net ctrl_reg_25 (joined + (portRef ctrl_reg_25) (portRef B0 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_5_0)) )) - (net ctrl_reg_2 (joined - (portRef ctrl_reg_2) + (net ctrl_reg_26 (joined + (portRef ctrl_reg_26) (portRef B1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_5_0)) )) (net TW_pre_1_cry_6 (joined (portRef COUT (instanceRef Trg_Win_Calculation_TW_pre_1_cry_5_0)) (portRef CIN (instanceRef Trg_Win_Calculation_TW_pre_1_cry_7_0)) )) - (net ctrl_reg_3 (joined - (portRef ctrl_reg_3) + (net ctrl_reg_27 (joined + (portRef ctrl_reg_27) (portRef B0 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_7_0)) )) - (net ctrl_reg_4 (joined - (portRef ctrl_reg_4) + (net ctrl_reg_28 (joined + (portRef ctrl_reg_28) (portRef B1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_7_0)) )) (net TW_pre_1_cry_8 (joined (portRef COUT (instanceRef Trg_Win_Calculation_TW_pre_1_cry_7_0)) (portRef CIN (instanceRef Trg_Win_Calculation_TW_pre_1_cry_9_0)) )) - (net ctrl_reg_5 (joined - (portRef ctrl_reg_5) + (net ctrl_reg_29 (joined + (portRef ctrl_reg_29) (portRef B0 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_9_0)) )) - (net ctrl_reg_6 (joined - (portRef ctrl_reg_6) + (net ctrl_reg_30 (joined + (portRef ctrl_reg_30) (portRef B1 (instanceRef Trg_Win_Calculation_TW_pre_1_cry_9_0)) )) (net TW_pre_1_cry_9_0_COUT (joined @@ -936789,8 +940164,8 @@ (net un1_channel_hit_time_cry_10_0_S1 (joined (portRef S1 (instanceRef Check_Trg_Win_Left_un1_channel_hit_time_cry_10_0)) )) - (net ctrl_reg_13 (joined - (portRef ctrl_reg_13) + (net ctrl_reg_37 (joined + (portRef ctrl_reg_37) (portRef D1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_1_0)) (portRef A0 (instanceRef tw_post_cry_1_0)) )) @@ -936804,23 +940179,23 @@ (net un3_trg_win_cnt_0_I_1_0_S1 (joined (portRef S1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_1_0)) )) - (net ctrl_reg_14 (joined - (portRef ctrl_reg_14) + (net ctrl_reg_38 (joined + (portRef ctrl_reg_38) (portRef C0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) (portRef A1 (instanceRef tw_post_cry_1_0)) )) - (net ctrl_reg_15 (joined - (portRef ctrl_reg_15) + (net ctrl_reg_39 (joined + (portRef ctrl_reg_39) (portRef D0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) (portRef A0 (instanceRef tw_post_cry_3_0)) )) - (net ctrl_reg_16 (joined - (portRef ctrl_reg_16) + (net ctrl_reg_40 (joined + (portRef ctrl_reg_40) (portRef C1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) (portRef A1 (instanceRef tw_post_cry_3_0)) )) - (net ctrl_reg_17 (joined - (portRef ctrl_reg_17) + (net ctrl_reg_41 (joined + (portRef ctrl_reg_41) (portRef D1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) (portRef A0 (instanceRef tw_post_cry_5_0)) )) @@ -936834,23 +940209,23 @@ (net un3_trg_win_cnt_0_I_9_0_S1 (joined (portRef S1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_9_0)) )) - (net ctrl_reg_18 (joined - (portRef ctrl_reg_18) + (net ctrl_reg_42 (joined + (portRef ctrl_reg_42) (portRef C0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) (portRef A1 (instanceRef tw_post_cry_5_0)) )) - (net ctrl_reg_19 (joined - (portRef ctrl_reg_19) + (net ctrl_reg_43 (joined + (portRef ctrl_reg_43) (portRef D0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) (portRef A0 (instanceRef tw_post_cry_7_0)) )) - (net ctrl_reg_20 (joined - (portRef ctrl_reg_20) + (net ctrl_reg_44 (joined + (portRef ctrl_reg_44) (portRef C1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) (portRef A1 (instanceRef tw_post_cry_7_0)) )) - (net ctrl_reg_21 (joined - (portRef ctrl_reg_21) + (net ctrl_reg_45 (joined + (portRef ctrl_reg_45) (portRef D1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) (portRef A0 (instanceRef tw_post_cry_9_0)) )) @@ -936864,8 +940239,8 @@ (net un3_trg_win_cnt_0_I_27_0_S1 (joined (portRef S1 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_27_0)) )) - (net ctrl_reg_22 (joined - (portRef ctrl_reg_22) + (net ctrl_reg_46 (joined + (portRef ctrl_reg_46) (portRef C0 (instanceRef Check_Trg_Win_End_Conrollers_un3_trg_win_cnt_0_I_33_0)) (portRef A1 (instanceRef tw_post_cry_9_0)) )) @@ -936887,72 +940262,72 @@ )) (net coarse_cnt_i_1 (joined (portRef coarse_cnt_i_1 (instanceRef The_Coarse_Counter)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_29_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_14_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_31_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_24_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_12_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_27_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_22_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_10_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_22_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_27_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_12_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_25_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_15_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_30_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_13_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_28_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_23_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_28_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_26_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_31_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_14_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_30_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_15_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_7_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_17_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_7_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_5_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_20_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_8_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_3_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_18_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_6_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_1_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_4_Channels)) - (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_9_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_1_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_6_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_18_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_3_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_8_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_20_Channels)) + (portRef (member coarse_cnt_i 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member coarse_cnt_i 0) (instanceRef The_Reference_Time)) )) (net coarse_cnt_0 (joined (portRef (member coarse_cnt 10) (instanceRef The_Coarse_Counter)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_29_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_14_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_31_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_24_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_12_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_27_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_22_Channels)) (portRef (member coarse_cnt 10) (instanceRef GEN_Channels_10_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_22_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_27_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_12_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_25_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_15_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_30_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_13_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_28_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_16_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_23_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_28_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_26_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_31_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_14_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_30_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_15_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_7_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_11_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_17_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_2_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_7_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_5_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_20_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_8_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_3_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_18_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_6_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_1_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_21_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_4_Channels)) - (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_9_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_1_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_6_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_18_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_3_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_8_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_20_Channels)) + (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_19_Channels)) (portRef (member coarse_cnt 0) (instanceRef The_Reference_Time)) )) (net trg_multiple_trg_i (joined @@ -936970,488 +940345,406 @@ )) (net un3_hit_time_stamp_i_s_9_0_S0 (joined (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_s_9_0_S0 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_7_0_S1 (joined (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S1 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_7_0_S0 (joined (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_7_0_S0 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_5_0_S1 (joined (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S1 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_5_0_S0 (joined (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_5_0_S0 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_3_0_S1 (joined (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S1 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_3_0_S0 (joined (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_3_0_S0 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_1_0_S1 (joined (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S1 (instanceRef The_Reference_Time)) )) (net un3_hit_time_stamp_i_cry_1_0_S0 (joined (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_10_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_29_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_14_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_31_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_24_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_12_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_27_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_22_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_27_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_12_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_25_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_15_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_30_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_13_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_28_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_16_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_23_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_28_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_26_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_31_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_14_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_30_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_15_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_7_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_11_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_17_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_2_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_7_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_5_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_20_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_8_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_3_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_18_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_6_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_1_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_21_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_4_Channels)) - (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_9_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_1_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_6_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_18_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_3_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_8_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_20_Channels)) + (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef GEN_Channels_19_Channels)) (portRef un3_hit_time_stamp_i_cry_1_0_S0 (instanceRef The_Reference_Time)) )) (net G_1803_Q (joined (portRef G_1803_Q) - (portRef G_1803_Q (instanceRef GEN_Channels_9_Channels)) + (portRef G_1803_Q (instanceRef GEN_Channels_19_Channels)) )) - (net N_295849_0 (joined - (portRef N_295849_0 (instanceRef GEN_Channels_9_Channels)) - (portRef N_295849_0) + (net N_296147_0 (joined + (portRef N_296147_0 (instanceRef GEN_Channels_19_Channels)) + (portRef N_296147_0) )) (net G_1801_Q (joined (portRef G_1801_Q) - (portRef G_1801_Q (instanceRef GEN_Channels_9_Channels)) + (portRef G_1801_Q (instanceRef GEN_Channels_19_Channels)) )) (net sync_q_and (joined - (portRef sync_q_and (instanceRef GEN_Channels_9_Channels)) + (portRef sync_q_and (instanceRef GEN_Channels_19_Channels)) (portRef sync_q_and) )) (net G_1798_Q (joined (portRef G_1798_Q) - (portRef G_1798_Q (instanceRef GEN_Channels_9_Channels)) + (portRef G_1798_Q (instanceRef GEN_Channels_19_Channels)) )) (net G_1794_Q (joined (portRef G_1794_Q) - (portRef G_1794_Q (instanceRef GEN_Channels_19_Channels)) + (portRef G_1794_Q (instanceRef GEN_Channels_20_Channels)) )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_14_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_27_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_22_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_10_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_12_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_15_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_28_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_16_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_23_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_28_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_26_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_14_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_30_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_15_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_21_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_7_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_20_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_6_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_1_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_21_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_4_Channels)) - (portRef reset_i_rep2_1 (instanceRef GEN_Channels_19_Channels)) (portRef reset_i_rep2_1 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_6_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_18_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_3_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_8_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_i_rep2_1 (instanceRef GEN_Channels_19_Channels)) + )) + (net reset_i_9 (joined + (portRef reset_i_9) + (portRef reset_i_9 (instanceRef GEN_Channels_18_Channels)) + (portRef reset_i_9 (instanceRef GEN_Channels_20_Channels)) + (portRef reset_i_9 (instanceRef GEN_Channels_19_Channels)) )) - (net N_295836_0 (joined - (portRef N_295836_0 (instanceRef GEN_Channels_19_Channels)) - (portRef N_295836_0) + (net N_296134_0 (joined + (portRef N_296134_0 (instanceRef GEN_Channels_20_Channels)) + (portRef N_296134_0) )) (net G_1792_Q (joined (portRef G_1792_Q) - (portRef G_1792_Q (instanceRef GEN_Channels_19_Channels)) + (portRef G_1792_Q (instanceRef GEN_Channels_20_Channels)) )) (net sync_q_and_0 (joined - (portRef sync_q_and_0 (instanceRef GEN_Channels_19_Channels)) + (portRef sync_q_and_0 (instanceRef GEN_Channels_20_Channels)) (portRef sync_q_and_0) )) (net G_1789_Q (joined (portRef G_1789_Q) - (portRef G_1789_Q (instanceRef GEN_Channels_19_Channels)) + (portRef G_1789_Q (instanceRef GEN_Channels_20_Channels)) )) (net G_1785_Q (joined (portRef G_1785_Q) - (portRef G_1785_Q (instanceRef GEN_Channels_4_Channels)) + (portRef G_1785_Q (instanceRef GEN_Channels_8_Channels)) )) - (net N_295823_0 (joined - (portRef N_295823_0 (instanceRef GEN_Channels_4_Channels)) - (portRef N_295823_0) + (net N_296121_0 (joined + (portRef N_296121_0 (instanceRef GEN_Channels_8_Channels)) + (portRef N_296121_0) )) (net G_1783_Q (joined (portRef G_1783_Q) - (portRef G_1783_Q (instanceRef GEN_Channels_4_Channels)) + (portRef G_1783_Q (instanceRef GEN_Channels_8_Channels)) )) (net sync_q_and_1 (joined - (portRef sync_q_and_1 (instanceRef GEN_Channels_4_Channels)) + (portRef sync_q_and_1 (instanceRef GEN_Channels_8_Channels)) (portRef sync_q_and_1) )) (net G_1780_Q (joined (portRef G_1780_Q) - (portRef G_1780_Q (instanceRef GEN_Channels_4_Channels)) - )) - (net G_1776_Q (joined - (portRef G_1776_Q) - (portRef G_1776_Q (instanceRef GEN_Channels_21_Channels)) - )) - (net N_295810_0 (joined - (portRef N_295810_0 (instanceRef GEN_Channels_21_Channels)) - (portRef N_295810_0) - )) - (net G_1774_Q (joined - (portRef G_1774_Q) - (portRef G_1774_Q (instanceRef GEN_Channels_21_Channels)) - )) - (net sync_q_and_2 (joined - (portRef sync_q_and_2 (instanceRef GEN_Channels_21_Channels)) - (portRef sync_q_and_2) - )) - (net G_1771_Q (joined - (portRef G_1771_Q) - (portRef G_1771_Q (instanceRef GEN_Channels_21_Channels)) - )) - (net G_1767_Q (joined - (portRef G_1767_Q) - (portRef G_1767_Q (instanceRef GEN_Channels_1_Channels)) - )) - (net N_295797_0 (joined - (portRef N_295797_0 (instanceRef GEN_Channels_1_Channels)) - (portRef N_295797_0) - )) - (net G_1765_Q (joined - (portRef G_1765_Q) - (portRef G_1765_Q (instanceRef GEN_Channels_1_Channels)) - )) - (net sync_q_and_3 (joined - (portRef sync_q_and_3 (instanceRef GEN_Channels_1_Channels)) - (portRef sync_q_and_3) - )) - (net G_1762_Q (joined - (portRef G_1762_Q) - (portRef G_1762_Q (instanceRef GEN_Channels_1_Channels)) - )) - (net G_1758_Q (joined - (portRef G_1758_Q) - (portRef G_1758_Q (instanceRef GEN_Channels_6_Channels)) - )) - (net N_295784_0 (joined - (portRef N_295784_0 (instanceRef GEN_Channels_6_Channels)) - (portRef N_295784_0) - )) - (net G_1756_Q (joined - (portRef G_1756_Q) - (portRef G_1756_Q (instanceRef GEN_Channels_6_Channels)) - )) - (net sync_q_and_4 (joined - (portRef sync_q_and_4 (instanceRef GEN_Channels_6_Channels)) - (portRef sync_q_and_4) - )) - (net G_1753_Q (joined - (portRef G_1753_Q) - (portRef G_1753_Q (instanceRef GEN_Channels_6_Channels)) - )) - (net G_1749_Q (joined - (portRef G_1749_Q) - (portRef G_1749_Q (instanceRef GEN_Channels_18_Channels)) - )) - (net reset_i_8 (joined - (portRef reset_i_8) - (portRef reset_i_8 (instanceRef GEN_Channels_20_Channels)) - (portRef reset_i_8 (instanceRef GEN_Channels_18_Channels)) - (portRef reset_i_8 (instanceRef GEN_Channels_19_Channels)) - )) - (net N_295771_0 (joined - (portRef N_295771_0 (instanceRef GEN_Channels_18_Channels)) - (portRef N_295771_0) - )) - (net G_1747_Q (joined - (portRef G_1747_Q) - (portRef G_1747_Q (instanceRef GEN_Channels_18_Channels)) - )) - (net sync_q_and_5 (joined - (portRef sync_q_and_5 (instanceRef GEN_Channels_18_Channels)) - (portRef sync_q_and_5) - )) - (net G_1744_Q (joined - (portRef G_1744_Q) - (portRef G_1744_Q (instanceRef GEN_Channels_18_Channels)) + (portRef G_1780_Q (instanceRef GEN_Channels_8_Channels)) )) (net stat_reg_535 (joined (portRef stat_reg_500 (instanceRef GEN_Channels_3_Channels)) @@ -937741,141 +941034,189 @@ (portRef stat_reg_445 (instanceRef GEN_Channels_3_Channels)) (portRef stat_reg_480) )) + (net G_1776_Q (joined + (portRef G_1776_Q) + (portRef G_1776_Q (instanceRef GEN_Channels_3_Channels)) + )) + (net N_296108_0 (joined + (portRef N_296108_0 (instanceRef GEN_Channels_3_Channels)) + (portRef N_296108_0) + )) + (net G_1774_Q (joined + (portRef G_1774_Q) + (portRef G_1774_Q (instanceRef GEN_Channels_3_Channels)) + )) + (net sync_q_and_2 (joined + (portRef sync_q_and_2 (instanceRef GEN_Channels_3_Channels)) + (portRef sync_q_and_2) + )) + (net G_1771_Q (joined + (portRef G_1771_Q) + (portRef G_1771_Q (instanceRef GEN_Channels_3_Channels)) + )) + (net G_1767_Q (joined + (portRef G_1767_Q) + (portRef G_1767_Q (instanceRef GEN_Channels_18_Channels)) + )) + (net N_296095_0 (joined + (portRef N_296095_0 (instanceRef GEN_Channels_18_Channels)) + (portRef N_296095_0) + )) + (net G_1765_Q (joined + (portRef G_1765_Q) + (portRef G_1765_Q (instanceRef GEN_Channels_18_Channels)) + )) + (net sync_q_and_3 (joined + (portRef sync_q_and_3 (instanceRef GEN_Channels_18_Channels)) + (portRef sync_q_and_3) + )) + (net G_1762_Q (joined + (portRef G_1762_Q) + (portRef G_1762_Q (instanceRef GEN_Channels_18_Channels)) + )) + (net G_1758_Q (joined + (portRef G_1758_Q) + (portRef G_1758_Q (instanceRef GEN_Channels_6_Channels)) + )) + (net N_296082_0 (joined + (portRef N_296082_0 (instanceRef GEN_Channels_6_Channels)) + (portRef N_296082_0) + )) + (net G_1756_Q (joined + (portRef G_1756_Q) + (portRef G_1756_Q (instanceRef GEN_Channels_6_Channels)) + )) + (net sync_q_and_4 (joined + (portRef sync_q_and_4 (instanceRef GEN_Channels_6_Channels)) + (portRef sync_q_and_4) + )) + (net G_1753_Q (joined + (portRef G_1753_Q) + (portRef G_1753_Q (instanceRef GEN_Channels_6_Channels)) + )) + (net G_1749_Q (joined + (portRef G_1749_Q) + (portRef G_1749_Q (instanceRef GEN_Channels_1_Channels)) + )) + (net reset_i_18 (joined + (portRef reset_i_18) + (portRef reset_i_18 (instanceRef GEN_Channels_2_Channels)) + (portRef reset_i_18 (instanceRef GEN_Channels_1_Channels)) + (portRef reset_i_18 (instanceRef GEN_Channels_3_Channels)) + )) + (net N_296069_0 (joined + (portRef N_296069_0 (instanceRef GEN_Channels_1_Channels)) + (portRef N_296069_0) + )) + (net G_1747_Q (joined + (portRef G_1747_Q) + (portRef G_1747_Q (instanceRef GEN_Channels_1_Channels)) + )) + (net sync_q_and_5 (joined + (portRef sync_q_and_5 (instanceRef GEN_Channels_1_Channels)) + (portRef sync_q_and_5) + )) + (net G_1744_Q (joined + (portRef G_1744_Q) + (portRef G_1744_Q (instanceRef GEN_Channels_1_Channels)) + )) (net G_1740_Q (joined (portRef G_1740_Q) - (portRef G_1740_Q (instanceRef GEN_Channels_3_Channels)) + (portRef G_1740_Q (instanceRef GEN_Channels_9_Channels)) )) - (net reset_i_16 (joined - (portRef reset_i_16) - (portRef reset_i_16 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_i_16 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_i_16 (instanceRef GEN_Channels_4_Channels)) - )) - (net reset_i_17 (joined - (portRef reset_i_17) - (portRef reset_i_17 (instanceRef GEN_Channels_2_Channels)) - (portRef reset_i_17 (instanceRef GEN_Channels_3_Channels)) - (portRef reset_i_17 (instanceRef GEN_Channels_1_Channels)) + (net reset_i_15 (joined + (portRef reset_i_15) + (portRef reset_i_15 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_i_15 (instanceRef GEN_Channels_9_Channels)) + (portRef reset_i_15 (instanceRef GEN_Channels_8_Channels)) )) - (net N_295758_0 (joined - (portRef N_295758_0 (instanceRef GEN_Channels_3_Channels)) - (portRef N_295758_0) + (net N_296056_0 (joined + (portRef N_296056_0 (instanceRef GEN_Channels_9_Channels)) + (portRef N_296056_0) )) (net G_1738_Q (joined (portRef G_1738_Q) - (portRef G_1738_Q (instanceRef GEN_Channels_3_Channels)) + (portRef G_1738_Q (instanceRef GEN_Channels_9_Channels)) )) (net sync_q_and_6 (joined - (portRef sync_q_and_6 (instanceRef GEN_Channels_3_Channels)) + (portRef sync_q_and_6 (instanceRef GEN_Channels_9_Channels)) (portRef sync_q_and_6) )) (net G_1735_Q (joined (portRef G_1735_Q) - (portRef G_1735_Q (instanceRef GEN_Channels_3_Channels)) + (portRef G_1735_Q (instanceRef GEN_Channels_9_Channels)) )) (net G_1731_Q (joined (portRef G_1731_Q) - (portRef G_1731_Q (instanceRef GEN_Channels_8_Channels)) + (portRef G_1731_Q (instanceRef GEN_Channels_4_Channels)) )) - (net reset_i_14 (joined - (portRef reset_i_14) - (portRef reset_i_14 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_i_14 (instanceRef GEN_Channels_8_Channels)) - (portRef reset_i_14 (instanceRef GEN_Channels_9_Channels)) + (net reset_i_17 (joined + (portRef reset_i_17) + (portRef reset_i_17 (instanceRef GEN_Channels_5_Channels)) + (portRef reset_i_17 (instanceRef GEN_Channels_4_Channels)) + (portRef reset_i_17 (instanceRef GEN_Channels_3_Channels)) )) - (net N_295745_0 (joined - (portRef N_295745_0 (instanceRef GEN_Channels_8_Channels)) - (portRef N_295745_0) + (net N_296043_0 (joined + (portRef N_296043_0 (instanceRef GEN_Channels_4_Channels)) + (portRef N_296043_0) )) (net G_1729_Q (joined (portRef G_1729_Q) - (portRef G_1729_Q (instanceRef GEN_Channels_8_Channels)) + (portRef G_1729_Q (instanceRef GEN_Channels_4_Channels)) )) (net sync_q_and_7 (joined - (portRef sync_q_and_7 (instanceRef GEN_Channels_8_Channels)) + (portRef sync_q_and_7 (instanceRef GEN_Channels_4_Channels)) (portRef sync_q_and_7) )) (net G_1726_Q (joined (portRef G_1726_Q) - (portRef G_1726_Q (instanceRef GEN_Channels_8_Channels)) + (portRef G_1726_Q (instanceRef GEN_Channels_4_Channels)) )) (net G_1722_Q (joined (portRef G_1722_Q) - (portRef G_1722_Q (instanceRef GEN_Channels_20_Channels)) + (portRef G_1722_Q (instanceRef GEN_Channels_5_Channels)) )) - (net reset_i_7 (joined - (portRef reset_i_7) - (portRef reset_i_7 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_i_7 (instanceRef GEN_Channels_20_Channels)) - (portRef reset_i_7 (instanceRef GEN_Channels_21_Channels)) + (net reset_i_16 (joined + (portRef reset_i_16) + (portRef reset_i_16 (instanceRef GEN_Channels_7_Channels)) + (portRef reset_i_16 (instanceRef GEN_Channels_5_Channels)) + (portRef reset_i_16 (instanceRef GEN_Channels_6_Channels)) )) - (net N_295732_0 (joined - (portRef N_295732_0 (instanceRef GEN_Channels_20_Channels)) - (portRef N_295732_0) + (net N_296030_0 (joined + (portRef N_296030_0 (instanceRef GEN_Channels_5_Channels)) + (portRef N_296030_0) )) (net G_1720_Q (joined (portRef G_1720_Q) - (portRef G_1720_Q (instanceRef GEN_Channels_20_Channels)) + (portRef G_1720_Q (instanceRef GEN_Channels_5_Channels)) )) (net sync_q_and_8 (joined - (portRef sync_q_and_8 (instanceRef GEN_Channels_20_Channels)) + (portRef sync_q_and_8 (instanceRef GEN_Channels_5_Channels)) (portRef sync_q_and_8) )) (net G_1717_Q (joined (portRef G_1717_Q) - (portRef G_1717_Q (instanceRef GEN_Channels_20_Channels)) + (portRef G_1717_Q (instanceRef GEN_Channels_5_Channels)) )) (net G_1713_Q (joined (portRef G_1713_Q) - (portRef G_1713_Q (instanceRef GEN_Channels_5_Channels)) + (portRef G_1713_Q (instanceRef GEN_Channels_7_Channels)) )) - (net reset_i_15 (joined - (portRef reset_i_15) - (portRef reset_i_15 (instanceRef GEN_Channels_7_Channels)) - (portRef reset_i_15 (instanceRef GEN_Channels_5_Channels)) - (portRef reset_i_15 (instanceRef GEN_Channels_6_Channels)) - )) - (net N_295719_0 (joined - (portRef N_295719_0 (instanceRef GEN_Channels_5_Channels)) - (portRef N_295719_0) + (net N_296017_0 (joined + (portRef N_296017_0 (instanceRef GEN_Channels_7_Channels)) + (portRef N_296017_0) )) (net G_1711_Q (joined (portRef G_1711_Q) - (portRef G_1711_Q (instanceRef GEN_Channels_5_Channels)) + (portRef G_1711_Q (instanceRef GEN_Channels_7_Channels)) )) (net sync_q_and_9 (joined - (portRef sync_q_and_9 (instanceRef GEN_Channels_5_Channels)) + (portRef sync_q_and_9 (instanceRef GEN_Channels_7_Channels)) (portRef sync_q_and_9) )) (net G_1708_Q (joined (portRef G_1708_Q) - (portRef G_1708_Q (instanceRef GEN_Channels_5_Channels)) - )) - (net G_1704_Q (joined - (portRef G_1704_Q) - (portRef G_1704_Q (instanceRef GEN_Channels_17_Channels)) - )) - (net reset_i_9 (joined - (portRef reset_i_9) - (portRef reset_i_9 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_i_9 (instanceRef GEN_Channels_17_Channels)) - (portRef reset_i_9 (instanceRef GEN_Channels_18_Channels)) - )) - (net N_295706_0 (joined - (portRef N_295706_0 (instanceRef GEN_Channels_17_Channels)) - (portRef N_295706_0) - )) - (net G_1702_Q (joined - (portRef G_1702_Q) - (portRef G_1702_Q (instanceRef GEN_Channels_17_Channels)) - )) - (net sync_q_and_10 (joined - (portRef sync_q_and_10 (instanceRef GEN_Channels_17_Channels)) - (portRef sync_q_and_10) - )) - (net G_1699_Q (joined - (portRef G_1699_Q) - (portRef G_1699_Q (instanceRef GEN_Channels_17_Channels)) + (portRef G_1708_Q (instanceRef GEN_Channels_7_Channels)) )) (net stat_reg_631 (joined (portRef stat_reg_597 (instanceRef GEN_Channels_2_Channels)) @@ -938165,280 +941506,357 @@ (portRef stat_reg_542 (instanceRef GEN_Channels_2_Channels)) (portRef stat_reg_576) )) + (net G_1704_Q (joined + (portRef G_1704_Q) + (portRef G_1704_Q (instanceRef GEN_Channels_2_Channels)) + )) + (net N_296004_0 (joined + (portRef N_296004_0 (instanceRef GEN_Channels_2_Channels)) + (portRef N_296004_0) + )) + (net G_1702_Q (joined + (portRef G_1702_Q) + (portRef G_1702_Q (instanceRef GEN_Channels_2_Channels)) + )) + (net sync_q_and_10 (joined + (portRef sync_q_and_10 (instanceRef GEN_Channels_2_Channels)) + (portRef sync_q_and_10) + )) + (net G_1699_Q (joined + (portRef G_1699_Q) + (portRef G_1699_Q (instanceRef GEN_Channels_2_Channels)) + )) (net G_1695_Q (joined (portRef G_1695_Q) - (portRef G_1695_Q (instanceRef GEN_Channels_2_Channels)) + (portRef G_1695_Q (instanceRef GEN_Channels_17_Channels)) )) - (net N_295693_0 (joined - (portRef N_295693_0 (instanceRef GEN_Channels_2_Channels)) - (portRef N_295693_0) + (net reset_i_10 (joined + (portRef reset_i_10) + (portRef reset_i_10 (instanceRef GEN_Channels_16_Channels)) + (portRef reset_i_10 (instanceRef GEN_Channels_17_Channels)) + (portRef reset_i_10 (instanceRef GEN_Channels_18_Channels)) + )) + (net N_295991_0 (joined + (portRef N_295991_0 (instanceRef GEN_Channels_17_Channels)) + (portRef N_295991_0) )) (net G_1693_Q (joined (portRef G_1693_Q) - (portRef G_1693_Q (instanceRef GEN_Channels_2_Channels)) + (portRef G_1693_Q (instanceRef GEN_Channels_17_Channels)) )) (net sync_q_and_11 (joined - (portRef sync_q_and_11 (instanceRef GEN_Channels_2_Channels)) + (portRef sync_q_and_11 (instanceRef GEN_Channels_17_Channels)) (portRef sync_q_and_11) )) (net G_1690_Q (joined (portRef G_1690_Q) - (portRef G_1690_Q (instanceRef GEN_Channels_2_Channels)) + (portRef G_1690_Q (instanceRef GEN_Channels_17_Channels)) )) (net G_1686_Q (joined (portRef G_1686_Q) - (portRef G_1686_Q (instanceRef GEN_Channels_7_Channels)) + (portRef G_1686_Q (instanceRef GEN_Channels_21_Channels)) + )) + (net reset_i_8 (joined + (portRef reset_i_8) + (portRef reset_i_8 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_i_8 (instanceRef GEN_Channels_21_Channels)) + (portRef reset_i_8 (instanceRef GEN_Channels_20_Channels)) )) - (net N_295680_0 (joined - (portRef N_295680_0 (instanceRef GEN_Channels_7_Channels)) - (portRef N_295680_0) + (net N_295978_0 (joined + (portRef N_295978_0 (instanceRef GEN_Channels_21_Channels)) + (portRef N_295978_0) )) (net G_1684_Q (joined (portRef G_1684_Q) - (portRef G_1684_Q (instanceRef GEN_Channels_7_Channels)) + (portRef G_1684_Q (instanceRef GEN_Channels_21_Channels)) )) (net sync_q_and_12 (joined - (portRef sync_q_and_12 (instanceRef GEN_Channels_7_Channels)) + (portRef sync_q_and_12 (instanceRef GEN_Channels_21_Channels)) (portRef sync_q_and_12) )) (net G_1681_Q (joined (portRef G_1681_Q) - (portRef G_1681_Q (instanceRef GEN_Channels_7_Channels)) + (portRef G_1681_Q (instanceRef GEN_Channels_21_Channels)) )) (net G_1677_Q (joined (portRef G_1677_Q) - (portRef G_1677_Q (instanceRef GEN_Channels_15_Channels)) + (portRef G_1677_Q (instanceRef GEN_Channels_11_Channels)) )) - (net N_295667_0 (joined - (portRef N_295667_0 (instanceRef GEN_Channels_15_Channels)) - (portRef N_295667_0) + (net reset_i_14 (joined + (portRef reset_i_14) + (portRef reset_i_14 (instanceRef GEN_Channels_10_Channels)) + (portRef reset_i_14 (instanceRef GEN_Channels_11_Channels)) + (portRef reset_i_14 (instanceRef GEN_Channels_9_Channels)) + )) + (net N_295965_0 (joined + (portRef N_295965_0 (instanceRef GEN_Channels_11_Channels)) + (portRef N_295965_0) )) (net G_1675_Q (joined (portRef G_1675_Q) - (portRef G_1675_Q (instanceRef GEN_Channels_15_Channels)) + (portRef G_1675_Q (instanceRef GEN_Channels_11_Channels)) )) (net sync_q_and_13 (joined - (portRef sync_q_and_13 (instanceRef GEN_Channels_15_Channels)) + (portRef sync_q_and_13 (instanceRef GEN_Channels_11_Channels)) (portRef sync_q_and_13) )) (net G_1672_Q (joined (portRef G_1672_Q) - (portRef G_1672_Q (instanceRef GEN_Channels_15_Channels)) + (portRef G_1672_Q (instanceRef GEN_Channels_11_Channels)) )) (net G_1668_Q (joined (portRef G_1668_Q) - (portRef G_1668_Q (instanceRef GEN_Channels_30_Channels)) + (portRef G_1668_Q (instanceRef GEN_Channels_26_Channels)) )) - (net N_295654_0 (joined - (portRef N_295654_0 (instanceRef GEN_Channels_30_Channels)) - (portRef N_295654_0) + (net N_295952_0 (joined + (portRef N_295952_0 (instanceRef GEN_Channels_26_Channels)) + (portRef N_295952_0) )) (net G_1666_Q (joined (portRef G_1666_Q) - (portRef G_1666_Q (instanceRef GEN_Channels_30_Channels)) + (portRef G_1666_Q (instanceRef GEN_Channels_26_Channels)) )) (net sync_q_and_14 (joined - (portRef sync_q_and_14 (instanceRef GEN_Channels_30_Channels)) + (portRef sync_q_and_14 (instanceRef GEN_Channels_26_Channels)) (portRef sync_q_and_14) )) (net G_1663_Q (joined (portRef G_1663_Q) - (portRef G_1663_Q (instanceRef GEN_Channels_30_Channels)) + (portRef G_1663_Q (instanceRef GEN_Channels_26_Channels)) )) (net G_1659_Q (joined (portRef G_1659_Q) - (portRef G_1659_Q (instanceRef GEN_Channels_14_Channels)) + (portRef G_1659_Q (instanceRef GEN_Channels_28_Channels)) )) - (net reset_i_10 (joined - (portRef reset_i_10) - (portRef reset_i_10 (instanceRef GEN_Channels_16_Channels)) - (portRef reset_i_10 (instanceRef GEN_Channels_14_Channels)) - (portRef reset_i_10 (instanceRef GEN_Channels_15_Channels)) - )) - (net N_295641_0 (joined - (portRef N_295641_0 (instanceRef GEN_Channels_14_Channels)) - (portRef N_295641_0) + (net N_295939_0 (joined + (portRef N_295939_0 (instanceRef GEN_Channels_28_Channels)) + (portRef N_295939_0) )) (net G_1657_Q (joined (portRef G_1657_Q) - (portRef G_1657_Q (instanceRef GEN_Channels_14_Channels)) + (portRef G_1657_Q (instanceRef GEN_Channels_28_Channels)) )) (net sync_q_and_15 (joined - (portRef sync_q_and_15 (instanceRef GEN_Channels_14_Channels)) + (portRef sync_q_and_15 (instanceRef GEN_Channels_28_Channels)) (portRef sync_q_and_15) )) (net G_1654_Q (joined (portRef G_1654_Q) - (portRef G_1654_Q (instanceRef GEN_Channels_14_Channels)) + (portRef G_1654_Q (instanceRef GEN_Channels_28_Channels)) )) (net G_1650_Q (joined (portRef G_1650_Q) - (portRef G_1650_Q (instanceRef GEN_Channels_31_Channels)) - )) - (net reset_i_2 (joined - (portRef reset_i_2) - (portRef reset_i_2 (instanceRef GEN_Channels_29_Channels)) - (portRef reset_i_2 (instanceRef GEN_Channels_31_Channels)) - (portRef reset_i_2 (instanceRef GEN_Channels_30_Channels)) + (portRef G_1650_Q (instanceRef GEN_Channels_23_Channels)) )) - (net N_295628_0 (joined - (portRef N_295628_0 (instanceRef GEN_Channels_31_Channels)) - (portRef N_295628_0) + (net N_295926_0 (joined + (portRef N_295926_0 (instanceRef GEN_Channels_23_Channels)) + (portRef N_295926_0) )) (net G_1648_Q (joined (portRef G_1648_Q) - (portRef G_1648_Q (instanceRef GEN_Channels_31_Channels)) + (portRef G_1648_Q (instanceRef GEN_Channels_23_Channels)) )) (net sync_q_and_16 (joined - (portRef sync_q_and_16 (instanceRef GEN_Channels_31_Channels)) + (portRef sync_q_and_16 (instanceRef GEN_Channels_23_Channels)) (portRef sync_q_and_16) )) (net G_1645_Q (joined (portRef G_1645_Q) - (portRef G_1645_Q (instanceRef GEN_Channels_31_Channels)) + (portRef G_1645_Q (instanceRef GEN_Channels_23_Channels)) )) (net G_1641_Q (joined (portRef G_1641_Q) - (portRef G_1641_Q (instanceRef GEN_Channels_26_Channels)) + (portRef G_1641_Q (instanceRef GEN_Channels_16_Channels)) )) - (net N_295615_0 (joined - (portRef N_295615_0 (instanceRef GEN_Channels_26_Channels)) - (portRef N_295615_0) + (net N_295913_0 (joined + (portRef N_295913_0 (instanceRef GEN_Channels_16_Channels)) + (portRef N_295913_0) )) (net G_1639_Q (joined (portRef G_1639_Q) - (portRef G_1639_Q (instanceRef GEN_Channels_26_Channels)) + (portRef G_1639_Q (instanceRef GEN_Channels_16_Channels)) )) (net sync_q_and_17 (joined - (portRef sync_q_and_17 (instanceRef GEN_Channels_26_Channels)) + (portRef sync_q_and_17 (instanceRef GEN_Channels_16_Channels)) (portRef sync_q_and_17) )) (net G_1636_Q (joined (portRef G_1636_Q) - (portRef G_1636_Q (instanceRef GEN_Channels_26_Channels)) + (portRef G_1636_Q (instanceRef GEN_Channels_16_Channels)) )) (net G_1632_Q (joined (portRef G_1632_Q) - (portRef G_1632_Q (instanceRef GEN_Channels_11_Channels)) - )) - (net reset_i_13 (joined - (portRef reset_i_13) - (portRef reset_i_13 (instanceRef GEN_Channels_10_Channels)) - (portRef reset_i_13 (instanceRef GEN_Channels_11_Channels)) - (portRef reset_i_13 (instanceRef GEN_Channels_9_Channels)) + (portRef G_1632_Q (instanceRef GEN_Channels_13_Channels)) )) - (net N_295602_0 (joined - (portRef N_295602_0 (instanceRef GEN_Channels_11_Channels)) - (portRef N_295602_0) + (net N_295900_0 (joined + (portRef N_295900_0 (instanceRef GEN_Channels_13_Channels)) + (portRef N_295900_0) )) (net G_1630_Q (joined (portRef G_1630_Q) - (portRef G_1630_Q (instanceRef GEN_Channels_11_Channels)) + (portRef G_1630_Q (instanceRef GEN_Channels_13_Channels)) )) (net sync_q_and_18 (joined - (portRef sync_q_and_18 (instanceRef GEN_Channels_11_Channels)) + (portRef sync_q_and_18 (instanceRef GEN_Channels_13_Channels)) (portRef sync_q_and_18) )) (net G_1627_Q (joined (portRef G_1627_Q) - (portRef G_1627_Q (instanceRef GEN_Channels_11_Channels)) + (portRef G_1627_Q (instanceRef GEN_Channels_13_Channels)) )) (net G_1623_Q (joined (portRef G_1623_Q) - (portRef G_1623_Q (instanceRef GEN_Channels_23_Channels)) + (portRef G_1623_Q (instanceRef GEN_Channels_30_Channels)) )) - (net N_295589_0 (joined - (portRef N_295589_0 (instanceRef GEN_Channels_23_Channels)) - (portRef N_295589_0) + (net N_295887_0 (joined + (portRef N_295887_0 (instanceRef GEN_Channels_30_Channels)) + (portRef N_295887_0) )) (net G_1621_Q (joined (portRef G_1621_Q) - (portRef G_1621_Q (instanceRef GEN_Channels_23_Channels)) + (portRef G_1621_Q (instanceRef GEN_Channels_30_Channels)) )) (net sync_q_and_19 (joined - (portRef sync_q_and_19 (instanceRef GEN_Channels_23_Channels)) + (portRef sync_q_and_19 (instanceRef GEN_Channels_30_Channels)) (portRef sync_q_and_19) )) (net G_1618_Q (joined (portRef G_1618_Q) - (portRef G_1618_Q (instanceRef GEN_Channels_23_Channels)) + (portRef G_1618_Q (instanceRef GEN_Channels_30_Channels)) )) (net G_1614_Q (joined (portRef G_1614_Q) - (portRef G_1614_Q (instanceRef GEN_Channels_28_Channels)) + (portRef G_1614_Q (instanceRef GEN_Channels_15_Channels)) )) - (net reset_tdc_rep2_r7 (joined - (portRef Q (instanceRef reset_tdc_rep2_r7)) - (portRef reset_tdc_rep2_r7 (instanceRef GEN_Channels_28_Channels)) - (portRef reset_tdc_rep2_r7) + (net reset_i_11 (joined + (portRef reset_i_11) + (portRef reset_i_11 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_i_11 (instanceRef GEN_Channels_15_Channels)) + (portRef reset_i_11 (instanceRef GEN_Channels_16_Channels)) )) - (net N_295576_0 (joined - (portRef N_295576_0 (instanceRef GEN_Channels_28_Channels)) - (portRef N_295576_0) + (net N_295874_0 (joined + (portRef N_295874_0 (instanceRef GEN_Channels_15_Channels)) + (portRef N_295874_0) )) (net G_1612_Q (joined (portRef G_1612_Q) - (portRef G_1612_Q (instanceRef GEN_Channels_28_Channels)) + (portRef G_1612_Q (instanceRef GEN_Channels_15_Channels)) )) (net sync_q_and_20 (joined - (portRef sync_q_and_20 (instanceRef GEN_Channels_28_Channels)) + (portRef sync_q_and_20 (instanceRef GEN_Channels_15_Channels)) (portRef sync_q_and_20) )) (net G_1609_Q (joined (portRef G_1609_Q) - (portRef G_1609_Q (instanceRef GEN_Channels_28_Channels)) + (portRef G_1609_Q (instanceRef GEN_Channels_15_Channels)) )) (net G_1605_Q (joined (portRef G_1605_Q) - (portRef G_1605_Q (instanceRef GEN_Channels_13_Channels)) + (portRef G_1605_Q (instanceRef GEN_Channels_25_Channels)) )) - (net reset_i_11 (joined - (portRef reset_i_11) - (portRef reset_i_11 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_i_11 (instanceRef GEN_Channels_13_Channels)) - (portRef reset_i_11 (instanceRef GEN_Channels_14_Channels)) + (net reset_i_5 (joined + (portRef reset_i_5) + (portRef reset_i_5 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_i_5 (instanceRef GEN_Channels_25_Channels)) + (portRef reset_i_5 (instanceRef GEN_Channels_26_Channels)) )) - (net N_295563_0 (joined - (portRef N_295563_0 (instanceRef GEN_Channels_13_Channels)) - (portRef N_295563_0) + (net N_295861_0 (joined + (portRef N_295861_0 (instanceRef GEN_Channels_25_Channels)) + (portRef N_295861_0) )) (net G_1603_Q (joined (portRef G_1603_Q) - (portRef G_1603_Q (instanceRef GEN_Channels_13_Channels)) + (portRef G_1603_Q (instanceRef GEN_Channels_25_Channels)) )) (net sync_q_and_21 (joined - (portRef sync_q_and_21 (instanceRef GEN_Channels_13_Channels)) + (portRef sync_q_and_21 (instanceRef GEN_Channels_25_Channels)) (portRef sync_q_and_21) )) (net G_1600_Q (joined (portRef G_1600_Q) - (portRef G_1600_Q (instanceRef GEN_Channels_13_Channels)) + (portRef G_1600_Q (instanceRef GEN_Channels_25_Channels)) )) (net G_1596_Q (joined (portRef G_1596_Q) - (portRef G_1596_Q (instanceRef GEN_Channels_25_Channels)) + (portRef G_1596_Q (instanceRef GEN_Channels_12_Channels)) )) - (net reset_i_4 (joined - (portRef reset_i_4) - (portRef reset_i_4 (instanceRef GEN_Channels_27_Channels)) - (portRef reset_i_4 (instanceRef GEN_Channels_25_Channels)) - (portRef reset_i_4 (instanceRef GEN_Channels_26_Channels)) + (net reset_i_12 (joined + (portRef reset_i_12) + (portRef reset_i_12 (instanceRef GEN_Channels_14_Channels)) + (portRef reset_i_12 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_i_12 (instanceRef GEN_Channels_13_Channels)) + )) + (net reset_i_13 (joined + (portRef reset_i_13) + (portRef reset_i_13 (instanceRef GEN_Channels_12_Channels)) + (portRef reset_i_13 (instanceRef GEN_Channels_11_Channels)) )) - (net N_295550_0 (joined - (portRef N_295550_0 (instanceRef GEN_Channels_25_Channels)) - (portRef N_295550_0) + (net N_295848_0 (joined + (portRef N_295848_0 (instanceRef GEN_Channels_12_Channels)) + (portRef N_295848_0) )) (net G_1594_Q (joined (portRef G_1594_Q) - (portRef G_1594_Q (instanceRef GEN_Channels_25_Channels)) + (portRef G_1594_Q (instanceRef GEN_Channels_12_Channels)) )) (net sync_q_and_22 (joined - (portRef sync_q_and_22 (instanceRef GEN_Channels_25_Channels)) + (portRef sync_q_and_22 (instanceRef GEN_Channels_12_Channels)) (portRef sync_q_and_22) )) (net G_1591_Q (joined (portRef G_1591_Q) - (portRef G_1591_Q (instanceRef GEN_Channels_25_Channels)) + (portRef G_1591_Q (instanceRef GEN_Channels_12_Channels)) + )) + (net G_1587_Q (joined + (portRef G_1587_Q) + (portRef G_1587_Q (instanceRef GEN_Channels_27_Channels)) + )) + (net reset_i_4 (joined + (portRef reset_i_4) + (portRef reset_i_4 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_i_4 (instanceRef GEN_Channels_27_Channels)) + (portRef reset_i_4 (instanceRef GEN_Channels_28_Channels)) + )) + (net N_295835_0 (joined + (portRef N_295835_0 (instanceRef GEN_Channels_27_Channels)) + (portRef N_295835_0) + )) + (net G_1585_Q (joined + (portRef G_1585_Q) + (portRef G_1585_Q (instanceRef GEN_Channels_27_Channels)) + )) + (net sync_q_and_23 (joined + (portRef sync_q_and_23 (instanceRef GEN_Channels_27_Channels)) + (portRef sync_q_and_23) + )) + (net G_1582_Q (joined + (portRef G_1582_Q) + (portRef G_1582_Q (instanceRef GEN_Channels_27_Channels)) + )) + (net G_1578_Q (joined + (portRef G_1578_Q) + (portRef G_1578_Q (instanceRef GEN_Channels_22_Channels)) + )) + (net reset_i_7 (joined + (portRef reset_i_7) + (portRef reset_i_7 (instanceRef GEN_Channels_22_Channels)) + (portRef reset_i_7 (instanceRef GEN_Channels_23_Channels)) + )) + (net N_295822_0 (joined + (portRef N_295822_0 (instanceRef GEN_Channels_22_Channels)) + (portRef N_295822_0) + )) + (net G_1576_Q (joined + (portRef G_1576_Q) + (portRef G_1576_Q (instanceRef GEN_Channels_22_Channels)) + )) + (net sync_q_and_24 (joined + (portRef sync_q_and_24 (instanceRef GEN_Channels_22_Channels)) + (portRef sync_q_and_24) + )) + (net G_1573_Q (joined + (portRef G_1573_Q) + (portRef G_1573_Q (instanceRef GEN_Channels_22_Channels)) )) (net coarse_cnt_1 (joined (portRef (member coarse_cnt 9) (instanceRef The_Coarse_Counter)) @@ -938480,175 +941898,133 @@ (portRef (member coarse_cnt 0) (instanceRef The_Coarse_Counter)) (portRef (member coarse_cnt 0) (instanceRef GEN_Channels_10_Channels)) )) - (net G_1587_Q (joined - (portRef G_1587_Q) - (portRef G_1587_Q (instanceRef GEN_Channels_10_Channels)) - )) - (net N_295537_0 (joined - (portRef N_295537_0 (instanceRef GEN_Channels_10_Channels)) - (portRef N_295537_0) - )) - (net G_1585_Q (joined - (portRef G_1585_Q) - (portRef G_1585_Q (instanceRef GEN_Channels_10_Channels)) - )) - (net sync_q_and_23 (joined - (portRef sync_q_and_23 (instanceRef GEN_Channels_10_Channels)) - (portRef sync_q_and_23) - )) - (net G_1582_Q (joined - (portRef G_1582_Q) - (portRef G_1582_Q (instanceRef GEN_Channels_10_Channels)) - )) - (net G_1578_Q (joined - (portRef G_1578_Q) - (portRef G_1578_Q (instanceRef GEN_Channels_22_Channels)) - )) - (net reset_i_6 (joined - (portRef reset_i_6) - (portRef reset_i_6 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_i_6 (instanceRef GEN_Channels_22_Channels)) - (portRef reset_i_6 (instanceRef GEN_Channels_23_Channels)) - )) - (net N_295524_0 (joined - (portRef N_295524_0 (instanceRef GEN_Channels_22_Channels)) - (portRef N_295524_0) - )) - (net G_1576_Q (joined - (portRef G_1576_Q) - (portRef G_1576_Q (instanceRef GEN_Channels_22_Channels)) - )) - (net sync_q_and_24 (joined - (portRef sync_q_and_24 (instanceRef GEN_Channels_22_Channels)) - (portRef sync_q_and_24) - )) - (net G_1573_Q (joined - (portRef G_1573_Q) - (portRef G_1573_Q (instanceRef GEN_Channels_22_Channels)) - )) (net G_1569_Q (joined (portRef G_1569_Q) - (portRef G_1569_Q (instanceRef GEN_Channels_27_Channels)) + (portRef G_1569_Q (instanceRef GEN_Channels_10_Channels)) )) - (net reset_i_3 (joined - (portRef reset_i_3) - (portRef reset_i_3 (instanceRef GEN_Channels_29_Channels)) - (portRef reset_i_3 (instanceRef GEN_Channels_27_Channels)) - (portRef reset_i_3 (instanceRef GEN_Channels_28_Channels)) - )) - (net N_295511_0 (joined - (portRef N_295511_0 (instanceRef GEN_Channels_27_Channels)) - (portRef N_295511_0) + (net N_295809_0 (joined + (portRef N_295809_0 (instanceRef GEN_Channels_10_Channels)) + (portRef N_295809_0) )) (net G_1567_Q (joined (portRef G_1567_Q) - (portRef G_1567_Q (instanceRef GEN_Channels_27_Channels)) + (portRef G_1567_Q (instanceRef GEN_Channels_10_Channels)) )) (net sync_q_and_25 (joined - (portRef sync_q_and_25 (instanceRef GEN_Channels_27_Channels)) + (portRef sync_q_and_25 (instanceRef GEN_Channels_10_Channels)) (portRef sync_q_and_25) )) (net G_1564_Q (joined (portRef G_1564_Q) - (portRef G_1564_Q (instanceRef GEN_Channels_27_Channels)) + (portRef G_1564_Q (instanceRef GEN_Channels_10_Channels)) )) (net G_1560_Q (joined (portRef G_1560_Q) - (portRef G_1560_Q (instanceRef GEN_Channels_12_Channels)) + (portRef G_1560_Q (instanceRef GEN_Channels_24_Channels)) )) - (net reset_i_12 (joined - (portRef reset_i_12) - (portRef reset_i_12 (instanceRef GEN_Channels_12_Channels)) - (portRef reset_i_12 (instanceRef GEN_Channels_11_Channels)) + (net reset_i_6 (joined + (portRef reset_i_6) + (portRef reset_i_6 (instanceRef GEN_Channels_24_Channels)) + (portRef reset_i_6 (instanceRef GEN_Channels_25_Channels)) )) - (net N_295498_0 (joined - (portRef N_295498_0 (instanceRef GEN_Channels_12_Channels)) - (portRef N_295498_0) + (net N_295796_0 (joined + (portRef N_295796_0 (instanceRef GEN_Channels_24_Channels)) + (portRef N_295796_0) )) (net G_1558_Q (joined (portRef G_1558_Q) - (portRef G_1558_Q (instanceRef GEN_Channels_12_Channels)) + (portRef G_1558_Q (instanceRef GEN_Channels_24_Channels)) )) (net sync_q_and_26 (joined - (portRef sync_q_and_26 (instanceRef GEN_Channels_12_Channels)) + (portRef sync_q_and_26 (instanceRef GEN_Channels_24_Channels)) (portRef sync_q_and_26) )) (net G_1555_Q (joined (portRef G_1555_Q) - (portRef G_1555_Q (instanceRef GEN_Channels_12_Channels)) + (portRef G_1555_Q (instanceRef GEN_Channels_24_Channels)) )) (net G_1551_Q (joined (portRef G_1551_Q) - (portRef G_1551_Q (instanceRef GEN_Channels_24_Channels)) + (portRef G_1551_Q (instanceRef GEN_Channels_31_Channels)) )) - (net reset_i_5 (joined - (portRef reset_i_5) - (portRef reset_i_5 (instanceRef GEN_Channels_24_Channels)) - (portRef reset_i_5 (instanceRef GEN_Channels_25_Channels)) + (net reset_i_3 (joined + (portRef reset_i_3) + (portRef reset_i_3 (instanceRef GEN_Channels_29_Channels)) + (portRef reset_i_3 (instanceRef GEN_Channels_31_Channels)) + (portRef reset_i_3 (instanceRef GEN_Channels_30_Channels)) )) - (net N_295485_0 (joined - (portRef N_295485_0 (instanceRef GEN_Channels_24_Channels)) - (portRef N_295485_0) + (net N_295783_0 (joined + (portRef N_295783_0 (instanceRef GEN_Channels_31_Channels)) + (portRef N_295783_0) )) (net G_1549_Q (joined (portRef G_1549_Q) - (portRef G_1549_Q (instanceRef GEN_Channels_24_Channels)) + (portRef G_1549_Q (instanceRef GEN_Channels_31_Channels)) )) (net sync_q_and_27 (joined - (portRef sync_q_and_27 (instanceRef GEN_Channels_24_Channels)) + (portRef sync_q_and_27 (instanceRef GEN_Channels_31_Channels)) (portRef sync_q_and_27) )) (net G_1546_Q (joined (portRef G_1546_Q) - (portRef G_1546_Q (instanceRef GEN_Channels_24_Channels)) + (portRef G_1546_Q (instanceRef GEN_Channels_31_Channels)) )) (net G_1542_Q (joined (portRef G_1542_Q) - (portRef G_1542_Q (instanceRef GEN_Channels_29_Channels)) + (portRef G_1542_Q (instanceRef GEN_Channels_14_Channels)) )) - (net N_295472_0 (joined - (portRef N_295472_0 (instanceRef GEN_Channels_29_Channels)) - (portRef N_295472_0) + (net N_295770_0 (joined + (portRef N_295770_0 (instanceRef GEN_Channels_14_Channels)) + (portRef N_295770_0) )) (net G_1540_Q (joined (portRef G_1540_Q) - (portRef G_1540_Q (instanceRef GEN_Channels_29_Channels)) + (portRef G_1540_Q (instanceRef GEN_Channels_14_Channels)) )) (net sync_q_and_28 (joined - (portRef sync_q_and_28 (instanceRef GEN_Channels_29_Channels)) + (portRef sync_q_and_28 (instanceRef GEN_Channels_14_Channels)) (portRef sync_q_and_28) )) (net G_1537_Q (joined (portRef G_1537_Q) - (portRef G_1537_Q (instanceRef GEN_Channels_29_Channels)) + (portRef G_1537_Q (instanceRef GEN_Channels_14_Channels)) )) (net G_1533_Q (joined (portRef G_1533_Q) - (portRef G_1533_Q (instanceRef GEN_Channels_16_Channels)) + (portRef G_1533_Q (instanceRef GEN_Channels_29_Channels)) )) - (net N_295459_0 (joined - (portRef N_295459_0 (instanceRef GEN_Channels_16_Channels)) - (portRef N_295459_0) + (net N_295757_0 (joined + (portRef N_295757_0 (instanceRef GEN_Channels_29_Channels)) + (portRef N_295757_0) )) (net G_1531_Q (joined (portRef G_1531_Q) - (portRef G_1531_Q (instanceRef GEN_Channels_16_Channels)) + (portRef G_1531_Q (instanceRef GEN_Channels_29_Channels)) )) (net sync_q_and_29 (joined - (portRef sync_q_and_29 (instanceRef GEN_Channels_16_Channels)) + (portRef sync_q_and_29 (instanceRef GEN_Channels_29_Channels)) (portRef sync_q_and_29) )) (net G_1528_Q (joined (portRef G_1528_Q) - (portRef G_1528_Q (instanceRef GEN_Channels_16_Channels)) + (portRef G_1528_Q (instanceRef GEN_Channels_29_Channels)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef GEN_2_ROM)) - (portRef reset_i_18 (instanceRef GEN_1_ROM)) - (portRef reset_i_18 (instanceRef GEN_0_ROM)) - (portRef reset_i_18 (instanceRef GEN_3_ROM)) - (portRef reset_i_18 (instanceRef GEN_Channels_1_Channels)) + (net ctrl_reg_0 (joined + (portRef ctrl_reg_0) + (portRef (member ctrl_reg 0) (instanceRef Readout_trigger_mode_sync)) + )) + (net valid_timing_trg_200 (joined + (portRef valid_timing_trg_200 (instanceRef Valid_timing_trigger_sync)) + (portRef valid_timing_trg_200 (instanceRef Valid_timing_trigger_pulse)) + ) + ) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef GEN_2_ROM)) + (portRef reset_i_19 (instanceRef GEN_3_ROM)) + (portRef reset_i_19 (instanceRef GEN_0_ROM)) + (portRef reset_i_19 (instanceRef GEN_1_ROM)) + (portRef reset_i_19 (instanceRef GEN_Channels_1_Channels)) )) (net signal_sync (joined (portRef signal_sync) @@ -938673,10 +942049,8 @@ (cell fpga_reboot (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename common_ctrl_reg "common_ctrl_reg(15:15)") 1) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) - (port reset_i_rep2 (direction INPUT)) + (port (array (rename common_ctrl_reg "common_ctrl_reg(15:15)") 1) (direction INPUT)) (port GND (direction INPUT)) (port PROGRAMN_c (direction OUTPUT)) (port VCC (direction INPUT)) @@ -938684,127 +942058,124 @@ ) (contents (instance PROGRAMN_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance restart_fpga_counter_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance delayed_restart_fpga_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C (!B A)))")) + ) + (instance restart_fpga_counter_0 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_1 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_2 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_3 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_4 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_5 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_6 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_7 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_8 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_9 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_10 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance restart_fpga_counter_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance restart_fpga_counter_11 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) (instance delayed_restart_fpga (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance PROGRAMN (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) (property IOB (string "FALSE")) ) - (instance PROC_REBOOT_un1_restart_fpga_counter_10_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance delayed_restart_fpga_0_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance PROC_REBOOT_un1_restart_fpga_counter_10_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance PROC_REBOOT_restart_fpga_counter_5_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance PROC_REBOOT_restart_fpga_counter_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance restart_fpga_counter_lm_0_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A))")) + (instance delayed_restart_fpga_0_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance PROC_REBOOT_un1_restart_fpga_counter_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance PROC_REBOOT_un1_restart_fpga_counter_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance delayed_restart_fpga_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) + (instance PROC_REBOOT_un1_restart_fpga_counter_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance restart_fpga_countere_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B+!A)))")) + (instance PROC_REBOOT_restart_fpga_counter9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance restart_fpga_counter_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (instance un1_restart_fpga_counter9_cry_0_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_1 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_1_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_3 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_3_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_5 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_5_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_7 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_7_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_cry_0_9 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x0600")) + (instance un1_restart_fpga_counter9_cry_9_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) - (property INIT1 (string "0x0600")) + (property INIT1 (string "0x0a0c")) ) - (instance restart_fpga_counter_s_0_11 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) - (property INIT0 (string "0x060a")) + (instance un1_restart_fpga_counter9_s_11_0 (viewRef PRIM (cellRef CCU2C (libraryRef LUCENT))) + (property INIT0 (string "0x0a0c")) (property INJECT1_1 (string "NO")) (property INJECT1_0 (string "NO")) (property INIT1 (string "0x0a0c")) @@ -938817,32 +942188,36 @@ (portRef Z (instanceRef PROGRAMN_RNO)) (portRef D (instanceRef PROGRAMN)) )) - (net restart_fpga_counter_lm_0 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_0)) - (portRef D (instanceRef restart_fpga_counter_0)) + (net delayed_restart_fpga_0_sqmuxa_3 (joined + (portRef Z (instanceRef delayed_restart_fpga_0_sqmuxa_3)) + (portRef C (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef A (instanceRef delayed_restart_fpga_RNO)) + )) + (net un1_restart_fpga_counter_5 (joined + (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef C (instanceRef delayed_restart_fpga_RNO)) )) - (net restart_fpga_countere_0_i (joined - (portRef Z (instanceRef restart_fpga_countere_0_i)) - (portRef SP (instanceRef restart_fpga_counter_11)) - (portRef SP (instanceRef restart_fpga_counter_10)) - (portRef SP (instanceRef restart_fpga_counter_9)) - (portRef SP (instanceRef restart_fpga_counter_8)) - (portRef SP (instanceRef restart_fpga_counter_7)) - (portRef SP (instanceRef restart_fpga_counter_6)) - (portRef SP (instanceRef restart_fpga_counter_5)) - (portRef SP (instanceRef restart_fpga_counter_4)) - (portRef SP (instanceRef restart_fpga_counter_3)) - (portRef SP (instanceRef restart_fpga_counter_2)) - (portRef SP (instanceRef restart_fpga_counter_1)) - (portRef SP (instanceRef restart_fpga_counter_0)) + (net un1_restart_fpga_counter_4 (joined + (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef D (instanceRef delayed_restart_fpga_RNO)) + )) + (net delayed_restart_fpga_0_sqmuxa (joined + (portRef Z (instanceRef delayed_restart_fpga_RNO)) + (portRef D (instanceRef delayed_restart_fpga)) + )) + (net restart_fpga_counter_5_0 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_0)) + (portRef D (instanceRef restart_fpga_counter_0)) )) (net restart_fpga_counter_0 (joined (portRef Q (instanceRef restart_fpga_counter_0)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef C (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) )) - (net restart_fpga_counter_lm_1 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_1)) + (net restart_fpga_counter_5_1 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_1)) (portRef D (instanceRef restart_fpga_counter_1)) )) (net clk_100_i_c (joined @@ -938862,298 +942237,272 @@ (portRef CK (instanceRef restart_fpga_counter_1)) (portRef CK (instanceRef restart_fpga_counter_0)) )) + (net final_reset_iso_1 (joined + (portRef (member final_reset_iso 0)) + (portRef CD (instanceRef delayed_restart_fpga)) + (portRef PD (instanceRef restart_fpga_counter_11)) + (portRef PD (instanceRef restart_fpga_counter_10)) + (portRef PD (instanceRef restart_fpga_counter_9)) + (portRef PD (instanceRef restart_fpga_counter_8)) + (portRef PD (instanceRef restart_fpga_counter_7)) + (portRef PD (instanceRef restart_fpga_counter_6)) + (portRef PD (instanceRef restart_fpga_counter_5)) + (portRef PD (instanceRef restart_fpga_counter_4)) + (portRef PD (instanceRef restart_fpga_counter_3)) + (portRef PD (instanceRef restart_fpga_counter_2)) + (portRef PD (instanceRef restart_fpga_counter_1)) + (portRef PD (instanceRef restart_fpga_counter_0)) + )) (net restart_fpga_counter_1 (joined (portRef Q (instanceRef restart_fpga_counter_1)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef D (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) )) (net VCC (joined (portRef VCC) - (portRef D1 (instanceRef restart_fpga_counter_s_0_11)) - (portRef D0 (instanceRef restart_fpga_counter_s_0_11)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef D1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef D0 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_0)) - )) - (net restart_fpga_counter_lm_2 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_2)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef D1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef D0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + )) + (net restart_fpga_counter_5_2 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_2)) (portRef D (instanceRef restart_fpga_counter_2)) )) (net restart_fpga_counter_2 (joined (portRef Q (instanceRef restart_fpga_counter_2)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_3)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef C (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) )) - (net restart_fpga_counter_lm_3 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_3)) + (net restart_fpga_counter_5_3 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_3)) (portRef D (instanceRef restart_fpga_counter_3)) )) (net restart_fpga_counter_3 (joined (portRef Q (instanceRef restart_fpga_counter_3)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_3)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef D (instanceRef PROC_REBOOT_un1_restart_fpga_counter_4)) )) - (net restart_fpga_counter_lm_4 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_4)) + (net restart_fpga_counter_5_4 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_4)) (portRef D (instanceRef restart_fpga_counter_4)) )) (net restart_fpga_counter_4 (joined (portRef Q (instanceRef restart_fpga_counter_4)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) )) - (net restart_fpga_counter_lm_5 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_5)) + (net restart_fpga_counter_5_5 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_5)) (portRef D (instanceRef restart_fpga_counter_5)) )) (net restart_fpga_counter_5 (joined (portRef Q (instanceRef restart_fpga_counter_5)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) )) - (net restart_fpga_counter_lm_6 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_6)) + (net restart_fpga_counter_5_6 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_6)) (portRef D (instanceRef restart_fpga_counter_6)) )) (net restart_fpga_counter_6 (joined (portRef Q (instanceRef restart_fpga_counter_6)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef C (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef C (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) )) - (net restart_fpga_counter_lm_7 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_7)) + (net restart_fpga_counter_5_7 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_7)) (portRef D (instanceRef restart_fpga_counter_7)) )) (net restart_fpga_counter_7 (joined (portRef Q (instanceRef restart_fpga_counter_7)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef D (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef D (instanceRef PROC_REBOOT_un1_restart_fpga_counter_5)) )) - (net restart_fpga_counter_lm_8 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_8)) + (net restart_fpga_counter_5_8 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_8)) (portRef D (instanceRef restart_fpga_counter_8)) )) (net restart_fpga_counter_8 (joined (portRef Q (instanceRef restart_fpga_counter_8)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_7)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) (portRef A (instanceRef delayed_restart_fpga_0_sqmuxa_3)) )) - (net restart_fpga_counter_lm_9 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_9)) + (net restart_fpga_counter_5_9 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_9)) (portRef D (instanceRef restart_fpga_counter_9)) )) (net restart_fpga_counter_9 (joined (portRef Q (instanceRef restart_fpga_counter_9)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_9)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) (portRef B (instanceRef delayed_restart_fpga_0_sqmuxa_3)) )) - (net restart_fpga_counter_lm_10 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_10)) + (net restart_fpga_counter_5_10 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_10)) (portRef D (instanceRef restart_fpga_counter_10)) )) (net restart_fpga_counter_10 (joined (portRef Q (instanceRef restart_fpga_counter_10)) - (portRef A1 (instanceRef restart_fpga_counter_cry_0_9)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) (portRef C (instanceRef delayed_restart_fpga_0_sqmuxa_3)) )) - (net restart_fpga_counter_lm_11 (joined - (portRef Z (instanceRef restart_fpga_counter_lm_0_11)) + (net restart_fpga_counter_5_11 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter_5_11)) (portRef D (instanceRef restart_fpga_counter_11)) )) (net restart_fpga_counter_11 (joined (portRef Q (instanceRef restart_fpga_counter_11)) - (portRef A0 (instanceRef restart_fpga_counter_s_0_11)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_s_11_0)) (portRef D (instanceRef delayed_restart_fpga_0_sqmuxa_3)) )) - (net delayed_restart_fpga_0_sqmuxa (joined - (portRef Z (instanceRef delayed_restart_fpga_0_sqmuxa_0_a2)) - (portRef D (instanceRef delayed_restart_fpga)) - )) - (net final_reset_iso_1 (joined - (portRef (member final_reset_iso 0)) - (portRef CD (instanceRef delayed_restart_fpga)) - )) (net PROGRAMN_c (joined (portRef Q (instanceRef PROGRAMN)) (portRef PROGRAMN_c) )) - (net GND (joined - (portRef GND) - (portRef C1 (instanceRef restart_fpga_counter_s_0_11)) - (portRef B1 (instanceRef restart_fpga_counter_s_0_11)) - (portRef A1 (instanceRef restart_fpga_counter_s_0_11)) - (portRef C0 (instanceRef restart_fpga_counter_s_0_11)) - (portRef B0 (instanceRef restart_fpga_counter_s_0_11)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef B0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_0)) - (portRef C1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef B1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef C0 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef A0 (instanceRef restart_fpga_counter_cry_0_0)) - )) - (net un1_restart_fpga_counter_10_3 (joined - (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_3)) - (portRef A (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) - )) - (net delayed_restart_fpga_0_sqmuxa_3 (joined - (portRef Z (instanceRef delayed_restart_fpga_0_sqmuxa_3)) - (portRef B (instanceRef restart_fpga_countere_0_i)) - (portRef B (instanceRef delayed_restart_fpga_0_sqmuxa_0_a2)) - )) - (net un1_restart_fpga_counter_10_4 (joined - (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10_4)) - (portRef B (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) - )) - (net restart_fpga_counter_s_0 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_0)) - (portRef A (instanceRef restart_fpga_counter_lm_0_0)) - )) - (net restart_fpga_counter_s_1 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef A (instanceRef restart_fpga_counter_lm_0_1)) + (net un1_restart_fpga_counter9_s_11_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_11)) )) (net common_ctrl_reg_15 (joined (portRef (member common_ctrl_reg 0)) - (portRef C (instanceRef restart_fpga_countere_0_i)) - (portRef C (instanceRef delayed_restart_fpga_0_sqmuxa_0_a2)) - (portRef B (instanceRef restart_fpga_counter_lm_0_11)) - (portRef B (instanceRef restart_fpga_counter_lm_0_10)) - (portRef B (instanceRef restart_fpga_counter_lm_0_9)) - (portRef B (instanceRef restart_fpga_counter_lm_0_8)) - (portRef B (instanceRef restart_fpga_counter_lm_0_7)) - (portRef B (instanceRef restart_fpga_counter_lm_0_6)) - (portRef B (instanceRef restart_fpga_counter_lm_0_5)) - (portRef B (instanceRef restart_fpga_counter_lm_0_4)) - (portRef B (instanceRef restart_fpga_counter_lm_0_3)) - (portRef B (instanceRef restart_fpga_counter_lm_0_2)) - (portRef B (instanceRef restart_fpga_counter_lm_0_1)) - (portRef B (instanceRef restart_fpga_counter_lm_0_0)) + (portRef D (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_0)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_1)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_2)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_3)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_4)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_5)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_6)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_7)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_8)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_9)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_10)) + (portRef B (instanceRef PROC_REBOOT_restart_fpga_counter_5_11)) + (portRef B (instanceRef delayed_restart_fpga_RNO)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef C (instanceRef restart_fpga_counter_lm_0_11)) - (portRef C (instanceRef restart_fpga_counter_lm_0_10)) - (portRef C (instanceRef restart_fpga_counter_lm_0_9)) - (portRef C (instanceRef restart_fpga_counter_lm_0_8)) - (portRef C (instanceRef restart_fpga_counter_lm_0_7)) - (portRef C (instanceRef restart_fpga_counter_lm_0_6)) - (portRef C (instanceRef restart_fpga_counter_lm_0_5)) - (portRef C (instanceRef restart_fpga_counter_lm_0_4)) - (portRef C (instanceRef restart_fpga_counter_lm_0_3)) - (portRef C (instanceRef restart_fpga_counter_lm_0_2)) - (portRef C (instanceRef restart_fpga_counter_lm_0_1)) - (portRef C (instanceRef restart_fpga_counter_lm_0_0)) - )) - (net restart_fpga_counter_s_2 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_1)) - (portRef A (instanceRef restart_fpga_counter_lm_0_2)) - )) - (net restart_fpga_counter_s_3 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef A (instanceRef restart_fpga_counter_lm_0_3)) - )) - (net restart_fpga_counter_s_4 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_3)) - (portRef A (instanceRef restart_fpga_counter_lm_0_4)) - )) - (net restart_fpga_counter_s_5 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef A (instanceRef restart_fpga_counter_lm_0_5)) - )) - (net restart_fpga_counter_s_6 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_5)) - (portRef A (instanceRef restart_fpga_counter_lm_0_6)) - )) - (net restart_fpga_counter_s_7 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef A (instanceRef restart_fpga_counter_lm_0_7)) - )) - (net restart_fpga_counter_s_8 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_7)) - (portRef A (instanceRef restart_fpga_counter_lm_0_8)) - )) - (net restart_fpga_counter_s_9 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef A (instanceRef restart_fpga_counter_lm_0_9)) - )) - (net restart_fpga_counter_s_10 (joined - (portRef S1 (instanceRef restart_fpga_counter_cry_0_9)) - (portRef A (instanceRef restart_fpga_counter_lm_0_10)) - )) - (net restart_fpga_counter_s_11 (joined - (portRef S0 (instanceRef restart_fpga_counter_s_0_11)) - (portRef A (instanceRef restart_fpga_counter_lm_0_11)) - )) - (net un1_restart_fpga_counter_10 (joined - (portRef Z (instanceRef PROC_REBOOT_un1_restart_fpga_counter_10)) - (portRef A (instanceRef restart_fpga_countere_0_i)) - (portRef A (instanceRef delayed_restart_fpga_0_sqmuxa_0_a2)) - )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef D (instanceRef restart_fpga_countere_0_i)) - )) - (net restart_fpga_counter_cry_0 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_0)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_1)) - )) - (net restart_fpga_counter_cry_0_S0_0 (joined - (portRef S0 (instanceRef restart_fpga_counter_cry_0_0)) - )) - (net restart_fpga_counter_cry_2 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_1)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_3)) - )) - (net restart_fpga_counter_cry_4 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_3)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_5)) - )) - (net restart_fpga_counter_cry_6 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_5)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_7)) - )) - (net restart_fpga_counter_cry_8 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_7)) - (portRef CIN (instanceRef restart_fpga_counter_cry_0_9)) - )) - (net restart_fpga_counter_cry_10 (joined - (portRef COUT (instanceRef restart_fpga_counter_cry_0_9)) - (portRef CIN (instanceRef restart_fpga_counter_s_0_11)) - )) - (net restart_fpga_counter_s_0_COUT_11 (joined - (portRef COUT (instanceRef restart_fpga_counter_s_0_11)) - )) - (net restart_fpga_counter_s_0_S1_11 (joined - (portRef S1 (instanceRef restart_fpga_counter_s_0_11)) + (net GND (joined + (portRef GND) + (portRef C1 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef A1 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_s_11_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef C1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef B1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef C0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef A0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + )) + (net un1_restart_fpga_counter9_cry_9_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_10)) + )) + (net un1_restart_fpga_counter9_cry_9_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_9)) + )) + (net un1_restart_fpga_counter9_cry_7_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_8)) + )) + (net un1_restart_fpga_counter9_cry_7_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_7)) + )) + (net un1_restart_fpga_counter9_cry_5_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_6)) + )) + (net un1_restart_fpga_counter9_cry_5_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_5)) + )) + (net un1_restart_fpga_counter9_cry_3_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_4)) + )) + (net un1_restart_fpga_counter9_cry_3_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_3)) + )) + (net un1_restart_fpga_counter9_cry_1_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_2)) + )) + (net un1_restart_fpga_counter9_cry_1_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_1)) + )) + (net un1_restart_fpga_counter9_cry_0_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef A (instanceRef PROC_REBOOT_restart_fpga_counter_5_0)) + )) + (net restart_fpga_counter9 (joined + (portRef Z (instanceRef PROC_REBOOT_restart_fpga_counter9)) + (portRef B0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + )) + (net un1_restart_fpga_counter9_cry_0 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_0_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_1_0)) + )) + (net un1_restart_fpga_counter9_cry_0_0_S0 (joined + (portRef S0 (instanceRef un1_restart_fpga_counter9_cry_0_0)) + )) + (net un1_restart_fpga_counter9_cry_2 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_1_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_3_0)) + )) + (net un1_restart_fpga_counter9_cry_4 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_3_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_5_0)) + )) + (net un1_restart_fpga_counter9_cry_6 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_5_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_7_0)) + )) + (net un1_restart_fpga_counter9_cry_8 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_7_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_cry_9_0)) + )) + (net un1_restart_fpga_counter9_cry_10 (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_cry_9_0)) + (portRef CIN (instanceRef un1_restart_fpga_counter9_s_11_0)) + )) + (net un1_restart_fpga_counter9_s_11_0_COUT (joined + (portRef COUT (instanceRef un1_restart_fpga_counter9_s_11_0)) + )) + (net un1_restart_fpga_counter9_s_11_0_S1 (joined + (portRef S1 (instanceRef un1_restart_fpga_counter9_s_11_0)) )) ) ) @@ -939169,12 +942518,12 @@ (port (array (rename spi_bram_wr_d "spi_bram_wr_d(7:0)") 8) (direction OUTPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) (port spictrl_addr (direction INPUT)) - (port reset_i_18 (direction INPUT)) + (port reset_i_19 (direction INPUT)) (port spi_bram_we (direction INPUT)) - (port spimem_write_en (direction INPUT)) - (port GND (direction INPUT)) (port reset_i_rep2 (direction INPUT)) + (port spimem_write_en (direction INPUT)) (port spimem_read_en (direction INPUT)) + (port GND (direction INPUT)) (port spimem_ack (direction OUTPUT)) (port VCC (direction INPUT)) (port clk_100_i_c (direction INPUT)) @@ -939184,59 +942533,57 @@ ) (instance bus_ack (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance CURRENT_STATE_0 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_1 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance CURRENT_STATE_1 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + (instance CURRENT_STATE_2 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance CURRENT_STATE_3 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) (instance CURRENT_STATE_4 (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_5 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) + (instance CURRENT_STATE_5 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance CURRENT_STATEc_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) - ) - (instance bus_ack_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) + (instance bus_ack_x_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance CURRENT_STATEc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance CURRENT_STATE_srsts_0_a4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance CURRENT_STATE_srsts_0_i_a2_0_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_srsts_0_a4_0_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance store_wr_x_1_sqmuxa_0_a4_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_srsts_0_a4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance CURRENT_STATE_srsts_i_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C !B+C (!B+!A)))")) - ) (instance CURRENT_STATE_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+A)))")) + (property lut_function (string "(!C B+C (B+A))")) ) (instance CURRENT_STATE_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+A)))")) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance CURRENT_STATE_srsts_0_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) + (instance CURRENT_STATE_srsts_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C A)+D (!C B+C (B A)))")) ) - (instance CURRENT_STATE_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)))")) + (instance CURRENT_STATE_nss_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (B+A)))")) + ) + (instance bus_ack_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance THE_BUS_SPI_DPRAM (viewRef netlist (cellRef spi_dpram_32_to_8)) ) (net store_wr_x_1_sqmuxa (joined - (portRef Z (instanceRef store_wr_x_1_sqmuxa_0_a4_0_a2)) + (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_3)) + (portRef D (instanceRef CURRENT_STATE_3)) (portRef D (instanceRef store_wr)) )) (net store_wr (joined (portRef Q (instanceRef store_wr)) (portRef store_wr (instanceRef THE_BUS_SPI_DPRAM)) )) - (net N_387_i (joined + (net N_54_i (joined (portRef Z (instanceRef bus_ack_RNO)) (portRef D (instanceRef bus_ack)) )) @@ -939254,7 +942601,11 @@ )) (net final_reset_iso_1 (joined (portRef (member final_reset_iso 0)) - (portRef PD (instanceRef CURRENT_STATE_5)) + (portRef CD (instanceRef CURRENT_STATE_4)) + (portRef CD (instanceRef CURRENT_STATE_3)) + (portRef CD (instanceRef CURRENT_STATE_2)) + (portRef CD (instanceRef CURRENT_STATE_1)) + (portRef CD (instanceRef CURRENT_STATE_0)) (portRef CD (instanceRef bus_ack)) (portRef CD (instanceRef store_wr)) )) @@ -939266,102 +942617,89 @@ (portRef VCC) (portRef VCC (instanceRef THE_BUS_SPI_DPRAM)) )) - (net N_372_i (joined - (portRef Z (instanceRef CURRENT_STATE_RNO_0)) + (net N_34_0 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_0)) (portRef D (instanceRef CURRENT_STATE_0)) )) (net CURRENT_STATE_0 (joined (portRef Q (instanceRef CURRENT_STATE_0)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_i_5)) + (portRef A (instanceRef CURRENT_STATE_nss_0_i_0)) )) - (net N_370_i (joined + (net N_48_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_1)) (portRef D (instanceRef CURRENT_STATE_1)) )) (net CURRENT_STATE_1 (joined (portRef Q (instanceRef CURRENT_STATE_1)) - (portRef A (instanceRef CURRENT_STATE_RNO_0)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_i_5)) + (portRef B (instanceRef bus_ack_RNO)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_0)) (portRef A (instanceRef CURRENT_STATE_RNO_1)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef A (instanceRef bus_ack_RNO)) + (portRef A (instanceRef bus_ack_x_i_a2)) )) - (net N_371_i (joined + (net N_49_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_2)) (portRef D (instanceRef CURRENT_STATE_2)) )) (net CURRENT_STATE_2 (joined (portRef Q (instanceRef CURRENT_STATE_2)) - (portRef B (instanceRef CURRENT_STATE_RNO_0)) - (portRef C (instanceRef CURRENT_STATE_srsts_0_i_5)) + (portRef A (instanceRef bus_ack_RNO)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_0)) (portRef A (instanceRef CURRENT_STATE_RNO_2)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef B (instanceRef bus_ack_RNO)) - )) - (net CURRENT_STATEc (joined - (portRef Z (instanceRef CURRENT_STATEc)) - (portRef D (instanceRef CURRENT_STATE_3)) + (portRef B (instanceRef bus_ack_x_i_a2)) )) (net CURRENT_STATE_3 (joined (portRef Q (instanceRef CURRENT_STATE_3)) (portRef B (instanceRef CURRENT_STATE_RNO_1)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) )) - (net spimem_read_en (joined - (portRef spimem_read_en) - (portRef D (instanceRef CURRENT_STATE_RNO_2)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef B (instanceRef store_wr_x_1_sqmuxa_0_a4_0_a2)) - (portRef C (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) + (net CURRENT_STATE_nss_1 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_4)) (portRef D (instanceRef CURRENT_STATE_4)) - (portRef CD (instanceRef CURRENT_STATE_3)) - )) - (net CURRENT_STATEc_1_i (joined - (portRef Z (instanceRef CURRENT_STATEc_1)) - (portRef CD (instanceRef CURRENT_STATE_4)) )) (net CURRENT_STATE_4 (joined (portRef Q (instanceRef CURRENT_STATE_4)) (portRef B (instanceRef CURRENT_STATE_RNO_2)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) )) - (net CURRENT_STATE_srsts_0_i_5 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_5)) + (net CURRENT_STATE_nss_0_i_0 (joined + (portRef Z (instanceRef CURRENT_STATE_nss_0_i_0)) (portRef D (instanceRef CURRENT_STATE_5)) )) (net CURRENT_STATE_5 (joined (portRef Q (instanceRef CURRENT_STATE_5)) - (portRef A (instanceRef store_wr_x_1_sqmuxa_0_a4_0_a2)) - (portRef A (instanceRef CURRENT_STATEc)) - (portRef A (instanceRef CURRENT_STATEc_1)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_3)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_4)) )) (net GND (joined (portRef GND) (portRef GND (instanceRef THE_BUS_SPI_DPRAM)) )) - (net reset_i_rep2 (joined - (portRef reset_i_rep2) - (portRef D (instanceRef CURRENT_STATE_RNO_0)) - (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (net N_54 (joined + (portRef Z (instanceRef bus_ack_x_i_a2)) + (portRef C (instanceRef CURRENT_STATE_nss_0_i_0)) + )) + (net spimem_read_en (joined + (portRef spimem_read_en) + (portRef C (instanceRef CURRENT_STATE_srsts_i_0)) (portRef C (instanceRef CURRENT_STATE_RNO_2)) - (portRef B (instanceRef CURRENT_STATEc)) - (portRef B (instanceRef CURRENT_STATEc_1)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_3)) + (portRef C (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_4)) + )) + (net CURRENT_STATE_srsts_0_a4_0_2_5 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) + (portRef B (instanceRef CURRENT_STATE_nss_0_i_0)) )) (net spimem_write_en (joined (portRef spimem_write_en) - (portRef D (instanceRef CURRENT_STATE_RNO_1)) - (portRef D (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef C (instanceRef store_wr_x_1_sqmuxa_0_a4_0_a2)) - (portRef D (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) - (portRef C (instanceRef CURRENT_STATEc)) - )) - (net CURRENT_STATE_srsts_0_i_a2_0_2_5 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_5)) - (portRef D (instanceRef CURRENT_STATE_srsts_0_i_5)) + (portRef D (instanceRef CURRENT_STATE_srsts_i_0)) + (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (portRef C (instanceRef CURRENT_STATE_srsts_0_a4_3)) + (portRef D (instanceRef CURRENT_STATE_srsts_0_a4_0_2_5)) )) - (net CURRENT_STATE_srsts_i_i_0_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_0_0)) - (portRef C (instanceRef CURRENT_STATE_RNO_0)) + (net reset_i_rep2 (joined + (portRef reset_i_rep2) + (portRef D (instanceRef CURRENT_STATE_nss_0_i_0)) )) (net spi_bram_wr_d_0 (joined (portRef (member spi_bram_wr_d 7) (instanceRef THE_BUS_SPI_DPRAM)) @@ -939739,9 +943077,9 @@ (portRef spi_bram_we) (portRef spi_bram_we (instanceRef THE_BUS_SPI_DPRAM)) )) - (net reset_i_18 (joined - (portRef reset_i_18) - (portRef reset_i_18 (instanceRef THE_BUS_SPI_DPRAM)) + (net reset_i_19 (joined + (portRef reset_i_19) + (portRef reset_i_19 (instanceRef THE_BUS_SPI_DPRAM)) )) (net spictrl_addr (joined (portRef spictrl_addr) @@ -939754,7 +943092,6 @@ (cell spi_master (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename tx_sreg_rni6cro3 "tx_sreg_RNI6CRO3(6:6)") 1) (direction OUTPUT)) (port (array (rename rx_sreg "rx_sreg(0:0)") 1) (direction INPUT)) (port (array (rename spi_bram_rd_d "spi_bram_rd_d(7:0)") 8) (direction OUTPUT)) (port (array (rename spi_bram_addr "spi_bram_addr(7:0)") 8) (direction OUTPUT)) @@ -939763,10 +943100,11 @@ (port (array (rename spimem_data_in "spimem_data_in(31:0)") 32) (direction INPUT)) (port busy_x_i (direction OUTPUT)) (port tx_ena_RNIH02J (direction OUTPUT)) - (port N_727_i (direction OUTPUT)) + (port N_393 (direction OUTPUT)) + (port N_633_i (direction OUTPUT)) (port spi_bram_we (direction OUTPUT)) (port un1_clk_en_0_i (direction OUTPUT)) - (port N_446_i (direction OUTPUT)) + (port N_389_i (direction OUTPUT)) (port spictrl_write_en (direction INPUT)) (port GND (direction INPUT)) (port spictrl_ack (direction OUTPUT)) @@ -939779,16 +943117,16 @@ (port spictrl_read_en (direction INPUT)) ) (contents - (instance CURRENT_STATE_RNI8CP31_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance store_rd_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A))+D (B A))")) + ) + (instance CURRENT_STATE_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A))+D (B A))")) ) - (instance reg_bus_data_out_RNO_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance reg_bus_data_out_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A)))")) ) (instance reg_bus_data_out_RNO_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) - (instance reg_bus_data_out_RNO_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B !A)))")) ) (instance store_wr (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) @@ -939963,22 +943301,22 @@ ) (instance CURRENT_STATE_7 (viewRef PRIM (cellRef FD1S3JX (libraryRef LUCENT))) ) - (instance CURRENT_STATE_srsts_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance bus_busy_x_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) ) (instance THE_WRITE_REG_PROC_un6_store_wr_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance CURRENT_STATE_srsts_i_o3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance bus_busy_x_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance CURRENT_STATE_srsts_i_i_o2_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) ) - (instance CURRENT_STATE_srsts_0_a4_0_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_srsts_0_i_a2_1_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance CURRENT_STATE_srsts_i_i_a2_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -939987,71 +943325,71 @@ (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C B)")) ) (instance store_wr_RNIGMD9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) (instance store_rd_RNIV5MG (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C A+C (B+A))")) ) - (instance STATE_MEM_bus_ack_2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) + (instance STATE_MEM_bus_ack_2_0_i_s_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CURRENT_STATE_srsts_0_i_a2_0_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance bus_busy_x_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+!B)+D (!C !A+C (!B !A)))")) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) - (instance CURRENT_STATE_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance bus_busy_x_0_i_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) (instance CURRENT_STATE_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) - (instance CURRENT_STATE_srsts_i_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance CURRENT_STATE_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance STATE_MEM_bus_ack_2_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) + (instance THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) (instance reg_bus_data_out_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D C+D (B+A))")) ) - (instance STATE_MEM_bus_ack_2_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B+A))")) + (instance CURRENT_STATE_srsts_0_i_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C (!B A)+C A))")) ) - (instance CURRENT_STATE_srsts_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C !A)+D (!C !B+C (!B !A)))")) - ) - (instance CURRENT_STATE_srsts_i_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B+A))")) + (instance CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) (instance reg_bus_data_out_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A))+D (!B+!A))")) @@ -940059,11 +943397,11 @@ (instance reg_bus_data_out_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A))+D (!B+!A))")) ) - (instance CURRENT_STATE_srsts_i_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+A)+C A))")) + (instance CURRENT_STATE_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B+!A))")) ) - (instance CURRENT_STATE_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C A+C (B+A)))")) + (instance CURRENT_STATE_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C !A+C (!B !A)))")) ) (instance reg_bus_data_out_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A))+D (!B+!A))")) @@ -940071,116 +943409,115 @@ (instance reg_bus_data_out_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B+!A))+D (!B+!A))")) ) - (instance bus_busy_x_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B+!A))+D (B+!A))")) + (instance CURRENT_STATE_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B+!A)+C B))")) ) - (instance CURRENT_STATE_srsts_i_o3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance bus_busy_x_0_i_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B+A))+D (!B+A))")) ) - (instance CURRENT_STATE_srsts_0_a4_0_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance CURRENT_STATE_srsts_i_i_o2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) (instance THE_SPI_SLIM (viewRef netlist (cellRef spi_slim)) ) (net CURRENT_STATE_7 (joined (portRef Q (instanceRef CURRENT_STATE_7)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_o3_6)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_3)) - (portRef B (instanceRef CURRENT_STATE_RNI8CP31_7)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_i_o2_6)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) + (portRef B (instanceRef CURRENT_STATE_RNO_4)) + (portRef B (instanceRef store_rd_RNO)) )) (net busy_Q (joined (portRef busy_Q (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_o3_6)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_o3_3)) - (portRef C (instanceRef CURRENT_STATE_RNI8CP31_7)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_i_o2_6)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_i_o2_0_3)) + (portRef C (instanceRef CURRENT_STATE_RNO_4)) + (portRef C (instanceRef store_rd_RNO)) )) - (net N_125_0 (joined - (portRef Z (instanceRef CURRENT_STATE_RNI8CP31_7)) - (portRef D (instanceRef CURRENT_STATE_4)) + (net N_589_i (joined + (portRef Z (instanceRef store_rd_RNO)) (portRef D (instanceRef store_rd)) )) - (net STATE_4 (joined - (portRef STATE_4 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0)) - (portRef A (instanceRef reg_bus_data_out_RNO_1_4)) - )) - (net STATE_1 (joined - (portRef STATE_1 (instanceRef THE_SPI_SLIM)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0)) - (portRef B (instanceRef reg_bus_data_out_RNO_1_4)) + (net spictrl_read_en (joined + (portRef spictrl_read_en) + (portRef D (instanceRef bus_busy_x_0_i_s)) + (portRef C (instanceRef CURRENT_STATE_RNO_5)) + (portRef C (instanceRef CURRENT_STATE_RNO_0)) + (portRef C (instanceRef CURRENT_STATE_RNO_6)) + (portRef C (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) + (portRef C (instanceRef STATE_MEM_bus_ack_2_0_i_s)) + (portRef C (instanceRef CURRENT_STATE_RNO_2)) + (portRef C (instanceRef bus_busy_x_0_i_s_0)) + (portRef A (instanceRef bus_busy_x_0_i_o2)) + (portRef A (instanceRef CURRENT_STATE_RNO_4)) + (portRef A (instanceRef store_rd_RNO)) )) (net spictrl_addr (joined (portRef spictrl_addr) - (portRef C (instanceRef CURRENT_STATE_srsts_i_o3_6)) + (portRef C (instanceRef CURRENT_STATE_srsts_i_i_o2_6)) (portRef D (instanceRef reg_bus_data_out_RNO_1)) (portRef D (instanceRef reg_bus_data_out_RNO_0)) (portRef D (instanceRef reg_bus_data_out_RNO_4)) (portRef D (instanceRef reg_bus_data_out_RNO_2)) (portRef D (instanceRef reg_bus_data_out_RNO_3)) - (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) + (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) (portRef C (instanceRef store_rd_RNIV5MG)) (portRef B (instanceRef store_wr_RNIGMD9)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_27)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_28)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_29)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30)) (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_31)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_29)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_28)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_27)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26)) (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_25)) (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_24)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_2)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_o3_3)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_i_o2_0_3)) (portRef B (instanceRef THE_WRITE_REG_PROC_un6_store_wr_0_a2)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_1_4)) + (portRef C (instanceRef reg_bus_data_out_RNO_0_4)) (portRef C (instanceRef reg_bus_data_out_RNO_0_2)) - (portRef C (instanceRef reg_bus_data_out_RNO_1_4)) - (portRef D (instanceRef CURRENT_STATE_RNI8CP31_7)) + (portRef D (instanceRef CURRENT_STATE_RNO_4)) + (portRef D (instanceRef store_rd_RNO)) + )) + (net CURRENT_STATEc_2_i (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_4)) + (portRef D (instanceRef CURRENT_STATE_4)) + )) + (net STATE_8 (joined + (portRef STATE_8 (instanceRef THE_SPI_SLIM)) + (portRef A (instanceRef reg_bus_data_out_RNO_0_2)) + )) + (net STATE_3 (joined + (portRef STATE_3 (instanceRef THE_SPI_SLIM)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + (portRef B (instanceRef reg_bus_data_out_RNO_0_2)) )) (net STATE_2 (joined (portRef STATE_2 (instanceRef THE_SPI_SLIM)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_2)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_1_4)) + (portRef D (instanceRef reg_bus_data_out_RNO_0_4)) (portRef D (instanceRef reg_bus_data_out_RNO_0_2)) - (portRef D (instanceRef reg_bus_data_out_RNO_1_4)) )) - (net reg_bus_data_out_6_i_a2_0_2_4 (joined - (portRef Z (instanceRef reg_bus_data_out_RNO_1_4)) - (portRef B (instanceRef reg_bus_data_out_RNO_4)) + (net reg_bus_data_out_6_i_a2_0_3_2 (joined + (portRef Z (instanceRef reg_bus_data_out_RNO_0_2)) + (portRef B (instanceRef reg_bus_data_out_RNO_2)) )) - (net STATE_6 (joined - (portRef STATE_6 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) + (net STATE_4 (joined + (portRef STATE_4 (instanceRef THE_SPI_SLIM)) (portRef A (instanceRef reg_bus_data_out_RNO_0_4)) )) - (net STATE_5 (joined - (portRef STATE_5 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) + (net STATE_1 (joined + (portRef STATE_1 (instanceRef THE_SPI_SLIM)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2)) (portRef B (instanceRef reg_bus_data_out_RNO_0_4)) )) - (net STATE_7 (joined - (portRef STATE_7 (instanceRef THE_SPI_SLIM)) - (portRef C (instanceRef reg_bus_data_out_RNO_0_4)) - )) - (net STATE_3 (joined - (portRef STATE_3 (instanceRef THE_SPI_SLIM)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) - (portRef B (instanceRef reg_bus_data_out_RNO_0_2)) - (portRef D (instanceRef reg_bus_data_out_RNO_0_4)) - )) (net reg_bus_data_out_6_i_a2_0_1_4 (joined (portRef Z (instanceRef reg_bus_data_out_RNO_0_4)) - (portRef A (instanceRef reg_bus_data_out_RNO_4)) - )) - (net STATE_8 (joined - (portRef STATE_8 (instanceRef THE_SPI_SLIM)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0)) - (portRef A (instanceRef reg_bus_data_out_RNO_0_2)) - )) - (net reg_bus_data_out_6_i_0_a2_0_3_2 (joined - (portRef Z (instanceRef reg_bus_data_out_RNO_0_2)) - (portRef B (instanceRef reg_bus_data_out_RNO_2)) + (portRef B (instanceRef reg_bus_data_out_RNO_4)) )) - (net N_127_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_3)) + (net N_594_i (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) (portRef D (instanceRef CURRENT_STATE_3)) (portRef D (instanceRef store_wr)) )) @@ -940435,7 +943772,7 @@ (portRef reset_i_rep2_1) (portRef D (instanceRef reset_i)) )) - (net N_688_i (joined + (net N_610_i (joined (portRef Z (instanceRef store_wr_RNIGMD9)) (portRef SP (instanceRef reg_status_data_31)) (portRef SP (instanceRef reg_status_data_30)) @@ -940782,7 +944119,7 @@ (portRef (member reg_ctrl_data 0) (instanceRef THE_SPI_SLIM)) (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_31)) )) - (net N_396_i (joined + (net N_605_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_0)) (portRef D (instanceRef reg_bus_data_out_0)) )) @@ -940790,7 +944127,7 @@ (portRef Q (instanceRef reg_bus_data_out_0)) (portRef (member spictrl_data_out 31)) )) - (net N_701_i (joined + (net N_611_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_1)) (portRef D (instanceRef reg_bus_data_out_1)) )) @@ -940798,7 +944135,7 @@ (portRef Q (instanceRef reg_bus_data_out_1)) (portRef (member spictrl_data_out 30)) )) - (net N_397_i (joined + (net N_606_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_2)) (portRef D (instanceRef reg_bus_data_out_2)) )) @@ -940806,7 +944143,7 @@ (portRef Q (instanceRef reg_bus_data_out_2)) (portRef (member spictrl_data_out 29)) )) - (net N_702_i (joined + (net N_607_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_3)) (portRef D (instanceRef reg_bus_data_out_3)) )) @@ -940814,7 +944151,7 @@ (portRef Q (instanceRef reg_bus_data_out_3)) (portRef (member spictrl_data_out 28)) )) - (net N_703_i (joined + (net N_604_i (joined (portRef Z (instanceRef reg_bus_data_out_RNO_4)) (portRef D (instanceRef reg_bus_data_out_4)) )) @@ -940920,7 +944257,7 @@ (portRef Q (instanceRef reg_bus_data_out_23)) (portRef (member spictrl_data_out 8)) )) - (net N_252 (joined + (net reg_bus_data_out_6_i_m2_24 (joined (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_24)) (portRef D (instanceRef reg_bus_data_out_24)) )) @@ -940928,7 +944265,7 @@ (portRef Q (instanceRef reg_bus_data_out_24)) (portRef (member spictrl_data_out 7)) )) - (net N_253 (joined + (net N_315 (joined (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_25)) (portRef D (instanceRef reg_bus_data_out_25)) )) @@ -940936,7 +944273,7 @@ (portRef Q (instanceRef reg_bus_data_out_25)) (portRef (member spictrl_data_out 6)) )) - (net N_254 (joined + (net reg_bus_data_out_6_i_m2_26 (joined (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_26)) (portRef D (instanceRef reg_bus_data_out_26)) )) @@ -940968,7 +944305,7 @@ (portRef Q (instanceRef reg_bus_data_out_29)) (portRef (member spictrl_data_out 2)) )) - (net reg_bus_data_out_6_i_m2_30 (joined + (net N_320 (joined (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_m2_30)) (portRef D (instanceRef reg_bus_data_out_30)) )) @@ -940984,185 +944321,179 @@ (portRef Q (instanceRef reg_bus_data_out_31)) (portRef (member spictrl_data_out 0)) )) - (net bus_busy_x_0_i (joined - (portRef Z (instanceRef bus_busy_x_0_i)) + (net bus_busy_x_0_i_s (joined + (portRef Z (instanceRef bus_busy_x_0_i_s)) (portRef D (instanceRef bus_busy)) )) (net spictrl_busy (joined (portRef Q (instanceRef bus_busy)) (portRef spictrl_busy) )) - (net bus_ack_2_0_i (joined - (portRef Z (instanceRef STATE_MEM_bus_ack_2_0_i)) + (net bus_ack_2_0_i_s (joined + (portRef Z (instanceRef STATE_MEM_bus_ack_2_0_i_s)) (portRef D (instanceRef bus_ack)) )) (net spictrl_ack (joined (portRef Q (instanceRef bus_ack)) (portRef spictrl_ack) )) - (net N_110_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_0)) + (net CURRENT_STATEc_i (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_0)) (portRef D (instanceRef CURRENT_STATE_0)) )) (net CURRENT_STATE_0 (joined (portRef Q (instanceRef CURRENT_STATE_0)) - (portRef A (instanceRef CURRENT_STATE_RNO_7)) + (portRef C (instanceRef CURRENT_STATE_srsts_0_i_7)) )) - (net N_142_i (joined + (net N_367_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_1)) (portRef D (instanceRef CURRENT_STATE_1)) )) (net CURRENT_STATE_1 (joined (portRef Q (instanceRef CURRENT_STATE_1)) - (portRef D (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) - (portRef A (instanceRef STATE_MEM_bus_ack_2_0_i)) (portRef A (instanceRef CURRENT_STATE_RNO_1)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_0_1_7)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef A (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_i_a2_0_0_0)) )) - (net N_143_i (joined + (net N_368_i (joined (portRef Z (instanceRef CURRENT_STATE_RNO_2)) (portRef D (instanceRef CURRENT_STATE_2)) )) (net CURRENT_STATE_2 (joined (portRef Q (instanceRef CURRENT_STATE_2)) + (portRef A (instanceRef STATE_MEM_bus_ack_2_0_i_s)) (portRef A (instanceRef CURRENT_STATE_RNO_2)) - (portRef A (instanceRef STATE_MEM_bus_ack_2_0_1)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_a3_7)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_i_a2_1_7)) )) (net CURRENT_STATE_3 (joined (portRef Q (instanceRef CURRENT_STATE_3)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) (portRef B (instanceRef CURRENT_STATE_RNO_1)) - (portRef B (instanceRef STATE_MEM_bus_ack_2_0_1)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef B (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) )) (net CURRENT_STATE_4 (joined (portRef Q (instanceRef CURRENT_STATE_4)) - (portRef A (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) (portRef B (instanceRef CURRENT_STATE_RNO_2)) - (portRef C (instanceRef STATE_MEM_bus_ack_2_0_1)) + (portRef C (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef C (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) )) - (net N_120_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_5)) + (net CURRENT_STATEc_1_i (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_5)) (portRef D (instanceRef CURRENT_STATE_5)) )) (net CURRENT_STATE_5 (joined (portRef Q (instanceRef CURRENT_STATE_5)) - (portRef C (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_5)) - (portRef A (instanceRef bus_busy_x_0_0)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_a4_0_1_7)) + (portRef B (instanceRef CURRENT_STATE_RNO_5)) + (portRef A (instanceRef bus_busy_x_0_i_s_0)) + (portRef D (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef B (instanceRef CURRENT_STATE_srsts_i_i_a2_0_0_0)) )) - (net N_122_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_6)) + (net CURRENT_STATEc_0_i (joined + (portRef Z (instanceRef CURRENT_STATE_RNO_6)) (portRef D (instanceRef CURRENT_STATE_6)) )) (net CURRENT_STATE_6 (joined (portRef Q (instanceRef CURRENT_STATE_6)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_6)) - (portRef B (instanceRef bus_busy_x_0_0)) - (portRef B (instanceRef CURRENT_STATE_srsts_0_a3_7)) + (portRef B (instanceRef CURRENT_STATE_RNO_6)) + (portRef B (instanceRef bus_busy_x_0_i_s_0)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_i_a2_1_7)) )) - (net CURRENT_STATEs_i (joined - (portRef Z (instanceRef CURRENT_STATE_RNO_7)) + (net CURRENT_STATE_srsts_0_i_7 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_7)) (portRef D (instanceRef CURRENT_STATE_7)) )) (net GND (joined (portRef GND) (portRef GND (instanceRef THE_SPI_SLIM)) )) - (net N_149 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_a3_7)) - (portRef D (instanceRef CURRENT_STATE_RNO_7)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_0)) + (net reg_bus_data_out_6_i_a2_1_4 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_1_4)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) )) - (net spictrl_read_en (joined - (portRef spictrl_read_en) - (portRef D (instanceRef bus_busy_x_0_i)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_5)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_6)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_0)) - (portRef C (instanceRef CURRENT_STATE_srsts_i_3)) - (portRef C (instanceRef CURRENT_STATE_RNO_2)) - (portRef C (instanceRef bus_busy_x_0_0)) - (portRef D (instanceRef STATE_MEM_bus_ack_2_0_1)) - (portRef A (instanceRef bus_busy_x_0_o3)) - (portRef A (instanceRef CURRENT_STATE_RNI8CP31_7)) + (net bus_busy_x_0_i_o2 (joined + (portRef Z (instanceRef bus_busy_x_0_i_o2)) + (portRef B (instanceRef CURRENT_STATE_srsts_0_i_7)) )) - (net N_134_0 (joined - (portRef Z (instanceRef bus_busy_x_0_o3)) - (portRef C (instanceRef CURRENT_STATE_RNO_7)) + (net N_637 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_o2_0_3)) + (portRef A (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) )) - (net N_129_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_o3_3)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_3)) + (net N_578 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_a2_1_7)) + (portRef A (instanceRef CURRENT_STATE_RNO_0)) + (portRef A (instanceRef CURRENT_STATE_srsts_0_i_7)) )) - (net N_586 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_2)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) + (net CURRENT_STATE_srsts_i_i_a2_0_0 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_a2_0_0_0)) + (portRef B (instanceRef CURRENT_STATE_RNO_0)) )) - (net CURRENT_STATE_srsts_i_a4_0_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_0_1_7)) - (portRef A (instanceRef CURRENT_STATE_srsts_i_0)) + (net spictrl_write_en (joined + (portRef spictrl_write_en) + (portRef C (instanceRef bus_busy_x_0_i_s)) + (portRef D (instanceRef CURRENT_STATE_RNO_5)) + (portRef D (instanceRef CURRENT_STATE_RNO_0)) + (portRef D (instanceRef CURRENT_STATE_srsts_i_i_o2_0_RNI677S_3)) + (portRef C (instanceRef CURRENT_STATE_RNO_1)) + (portRef D (instanceRef bus_busy_x_0_i_s_0)) + (portRef D (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) + (portRef B (instanceRef bus_busy_x_0_i_o2)) )) - (net reg_bus_data_out_6_i_0_a2_1_0 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_1_0)) - (portRef (member reg_bus_data_out_6_i_0_a2_1 0) (instanceRef THE_SPI_SLIM)) - (portRef A (instanceRef reg_bus_data_out_RNO_1)) - (portRef A (instanceRef reg_bus_data_out_RNO_0)) + (net bus_ack_2_0_i_s_1 (joined + (portRef Z (instanceRef STATE_MEM_bus_ack_2_0_i_s_1)) + (portRef B (instanceRef STATE_MEM_bus_ack_2_0_i_s)) )) - (net bus_ack_2_0_1 (joined - (portRef Z (instanceRef STATE_MEM_bus_ack_2_0_1)) - (portRef B (instanceRef STATE_MEM_bus_ack_2_0_i)) + (net STATE_5 (joined + (portRef STATE_5 (instanceRef THE_SPI_SLIM)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + )) + (net STATE_6 (joined + (portRef STATE_6 (instanceRef THE_SPI_SLIM)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + )) + (net STATE_7 (joined + (portRef STATE_7 (instanceRef THE_SPI_SLIM)) + (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + )) + (net reg_bus_data_out_6_i_a2_0_0_4 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_0_4)) + (portRef A (instanceRef reg_bus_data_out_RNO_4)) + )) + (net CURRENT_STATE_srsts_0_i_a2_0_2_7 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_0_i_a2_0_2_7)) + (portRef D (instanceRef CURRENT_STATE_srsts_0_i_7)) )) (net STATE_10 (joined (portRef STATE_10 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) - (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) + (portRef B (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2)) )) (net STATE_11 (joined (portRef STATE_11 (instanceRef THE_SPI_SLIM)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2)) )) - (net reg_bus_data_out_6_i_0_a2_0_2_2 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_2_2)) + (net reg_bus_data_out_6_i_a2_0_2_2 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_2)) (portRef A (instanceRef reg_bus_data_out_RNO_2)) )) - (net STATE_13 (joined - (portRef STATE_13 (instanceRef THE_SPI_SLIM)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) - )) - (net STATE_14 (joined - (portRef STATE_14 (instanceRef THE_SPI_SLIM)) - (portRef B (instanceRef reg_bus_data_out_RNO_3)) - (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) - )) - (net reg_bus_data_out_6_i_0_a2_0_4_0 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_3_0)) - (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) - )) - (net spictrl_write_en (joined - (portRef spictrl_write_en) - (portRef C (instanceRef bus_busy_x_0_i)) - (portRef D (instanceRef CURRENT_STATE_srsts_i_5)) - (portRef D (instanceRef CURRENT_STATE_srsts_i_0)) - (portRef C (instanceRef STATE_MEM_bus_ack_2_0_i)) - (portRef D (instanceRef CURRENT_STATE_srsts_i_3)) - (portRef C (instanceRef CURRENT_STATE_RNO_1)) - (portRef D (instanceRef bus_busy_x_0_0)) - (portRef B (instanceRef bus_busy_x_0_o3)) - )) - (net bus_busy_x_0_0 (joined - (portRef Z (instanceRef bus_busy_x_0_0)) - (portRef A (instanceRef bus_busy_x_0_i)) - )) (net STATE_12 (joined (portRef STATE_12 (instanceRef THE_SPI_SLIM)) (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) - (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) )) - (net reg_bus_data_out_6_i_0_a2_0_5_0 (joined - (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_0_a2_0_4_0)) - (portRef B (instanceRef reg_bus_data_out_RNO_0)) + (net STATE_13 (joined + (portRef STATE_13 (instanceRef THE_SPI_SLIM)) + (portRef D (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) + )) + (net reg_bus_data_out_6_i_a2_0_4_0 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_4_0)) + (portRef A (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) + )) + (net bus_busy_x_0_i_s_0 (joined + (portRef Z (instanceRef bus_busy_x_0_i_s_0)) + (portRef A (instanceRef bus_busy_x_0_i_s)) )) (net STATE_9 (joined (portRef STATE_9 (instanceRef THE_SPI_SLIM)) @@ -941172,19 +944503,29 @@ (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_2_1)) (portRef B (instanceRef reg_bus_data_out_RNO_1)) )) + (net STATE_14 (joined + (portRef STATE_14 (instanceRef THE_SPI_SLIM)) + (portRef B (instanceRef reg_bus_data_out_RNO_3)) + (portRef C (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) + )) + (net reg_bus_data_out_6_i_a2_0_5_0 (joined + (portRef Z (instanceRef THE_READ_REG_PROC_reg_bus_data_out_6_i_a2_0_5_0)) + (portRef B (instanceRef reg_bus_data_out_RNO_0)) + )) (net STATE_0 (joined (portRef STATE_0 (instanceRef THE_SPI_SLIM)) (portRef A (instanceRef reg_bus_data_out_RNO_3)) )) - (net N_130_0 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_i_o3_6)) - (portRef B (instanceRef bus_busy_x_0_i)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_5)) - (portRef B (instanceRef CURRENT_STATE_srsts_i_6)) + (net N_276 (joined + (portRef Z (instanceRef CURRENT_STATE_srsts_i_i_o2_6)) + (portRef B (instanceRef bus_busy_x_0_i_s)) + (portRef A (instanceRef CURRENT_STATE_RNO_5)) + (portRef A (instanceRef CURRENT_STATE_RNO_6)) )) - (net CURRENT_STATE_srsts_0_a4_0_2_7 (joined - (portRef Z (instanceRef CURRENT_STATE_srsts_0_a4_0_2_7)) - (portRef B (instanceRef CURRENT_STATE_RNO_7)) + (net N_557 (joined + (portRef N_557 (instanceRef THE_SPI_SLIM)) + (portRef A (instanceRef reg_bus_data_out_RNO_1)) + (portRef A (instanceRef reg_bus_data_out_RNO_0)) )) (net spi_bram_wr_d_0 (joined (portRef (member spi_bram_wr_d 7)) @@ -941286,13 +944627,9 @@ (portRef (member rx_sreg 0)) (portRef rx_sreg_0 (instanceRef THE_SPI_SLIM)) )) - (net tx_sreg_RNI6CRO3_6 (joined - (portRef (member tx_sreg_rni6cro3 0) (instanceRef THE_SPI_SLIM)) - (portRef (member tx_sreg_rni6cro3 0)) - )) - (net N_446_i (joined - (portRef N_446_i (instanceRef THE_SPI_SLIM)) - (portRef N_446_i) + (net N_389_i (joined + (portRef N_389_i (instanceRef THE_SPI_SLIM)) + (portRef N_389_i) )) (net un1_clk_en_0_i (joined (portRef un1_clk_en_0_i (instanceRef THE_SPI_SLIM)) @@ -941302,9 +944639,13 @@ (portRef spi_bram_we (instanceRef THE_SPI_SLIM)) (portRef spi_bram_we) )) - (net N_727_i (joined - (portRef N_727_i (instanceRef THE_SPI_SLIM)) - (portRef N_727_i) + (net N_633_i (joined + (portRef N_633_i (instanceRef THE_SPI_SLIM)) + (portRef N_633_i) + )) + (net N_393 (joined + (portRef N_393 (instanceRef THE_SPI_SLIM)) + (portRef N_393) )) (net tx_ena_RNIH02J (joined (portRef tx_ena_RNIH02J (instanceRef THE_SPI_SLIM)) @@ -941329,13 +944670,13 @@ (port (array (rename spimem_data_in "spimem_data_in(31:0)") 32) (direction OUTPUT)) (port (array (rename regio_data_out "regio_data_out(31:0)") 32) (direction INPUT)) (port (array (rename final_reset_iso "final_reset_iso(1:1)") 1) (direction INPUT)) - (port reset_i_fast_r10 (direction INPUT)) + (port reset_i_fast_r9 (direction INPUT)) (port regio_write_enable_out (direction INPUT)) (port regio_read_enable_out (direction INPUT)) (port spimem_ack (direction INPUT)) (port spictrl_ack (direction INPUT)) - (port spictrl_busy (direction INPUT)) (port GND (direction INPUT)) + (port spictrl_busy (direction INPUT)) (port regio_write_ack_in (direction OUTPUT)) (port regio_no_more_data_in (direction OUTPUT)) (port regio_unknown_addr_in (direction OUTPUT)) @@ -941510,86 +944851,98 @@ ) (instance DAT_DATAREADY_OUT (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance proc_port_select_gen_port_select_0_un3_dat_addr_in_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance DAT_NO_MORE_DATA_OUT_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance DAT_NO_MORE_DATA_OUTc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance un20_dat_data_out_0_i_m4_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance un20_dat_data_out_i_m4_20 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_23 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_30 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_28 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_26 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_i_m4_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_0_3_i_m4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un20_dat_data_out_i_m2_i_m4_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance N_277_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) + ) + (instance N_267_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) (instance DAT_UNKNOWN_ADDR_OUTc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C A)")) + (property lut_function (string "(C+(!B !A))")) ) (instance next_port_select_int_1_i_a2_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) @@ -941597,41 +944950,29 @@ (instance next_port_select_int_1_i_a2_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A)))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance DAT_DATA_OUT_RNO_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance proc_reg_output_signals_un18_dat_data_out_N_923_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance DAT_DATA_OUT_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance N_273_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A))")) - ) - (instance proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) (instance DAT_DATA_OUT_RNO_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance DAT_DATA_OUT_RNO_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) - ) - (instance DAT_DATA_OUT_RNO_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance N_263_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A))")) ) - (instance DAT_DATA_OUT_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C (B+A))")) + (instance proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) ) (instance next_port_select_int_1_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) @@ -941664,50 +945005,50 @@ (portRef Z (instanceRef proc_port_select_gen_port_select_1_un6_dat_addr_in_0_a2)) (portRef D (instanceRef port_select_int_0)) )) - (net N_277_i (joined - (portRef Z (instanceRef N_277_i)) + (net N_267_i (joined + (portRef Z (instanceRef N_267_i)) (portRef SP (instanceRef port_select_int_1)) (portRef SP (instanceRef port_select_int_0)) )) (net port_select_int_0 (joined (portRef Q (instanceRef port_select_int_0)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_1)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_7)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_9)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_13)) (portRef A (instanceRef DAT_DATA_OUT_RNO_14)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i)) - (portRef A (instanceRef DAT_UNKNOWN_ADDR_OUTc)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_3)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_5)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_6)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_8)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_11)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_12)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_15)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_16)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_22)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_25)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_26)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_28)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_29)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_30)) - (portRef A (instanceRef DAT_DATA_OUT_RNO_17)) (portRef A (instanceRef DAT_DATA_OUT_RNO_0)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4)) - (portRef A (instanceRef un20_dat_data_out_i_m4_20)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4)) - (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4)) - (portRef A (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2)) - (portRef A (instanceRef DAT_NO_MORE_DATA_OUTc)) - )) - (net N_278_i (joined + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_N_923_i)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i)) + (portRef A (instanceRef DAT_DATA_OUT_RNO_12)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i)) + (portRef C (instanceRef DAT_UNKNOWN_ADDR_OUTc)) + (portRef A (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4)) + (portRef A (instanceRef un20_dat_data_out_i_m2_i_m4_18)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4)) + (portRef A (instanceRef un20_dat_data_out_0_3_i_m4_2)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_3)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_5)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_6)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_10)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_30)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_29)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_23)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4)) + (portRef A (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_31)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_28)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_21)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_20)) + (portRef A (instanceRef un20_dat_data_out_0_i_m4_11)) + (portRef A (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) + )) + (net N_268_i (joined (portRef Z (instanceRef port_select_int_RNO_1)) (portRef D (instanceRef port_select_int_1)) )) @@ -941863,13 +945204,13 @@ (portRef Q (instanceRef buf_BUS_WRITE_OUT_1)) (portRef spimem_write_en) )) - (net N_275_i (joined + (net N_265_i (joined (portRef Z (instanceRef buf_BUS_WRITE_OUT_RNO_2)) (portRef D (instanceRef buf_BUS_WRITE_OUT_2)) )) (net buf_BUS_WRITE_OUT_2 (joined (portRef Q (instanceRef buf_BUS_WRITE_OUT_2)) - (portRef C (instanceRef DAT_UNKNOWN_ADDR_OUTc)) + (portRef B (instanceRef DAT_UNKNOWN_ADDR_OUTc)) )) (net buf_BUS_READ_OUT_4_0 (joined (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a3_0)) @@ -941887,20 +945228,20 @@ (portRef Q (instanceRef buf_BUS_READ_OUT_1)) (portRef spimem_read_en) )) - (net N_274_i (joined + (net N_264_i (joined (portRef Z (instanceRef buf_BUS_READ_OUT_RNO_2)) (portRef D (instanceRef buf_BUS_READ_OUT_2)) )) (net buf_BUS_READ_OUT_2 (joined (portRef Q (instanceRef buf_BUS_READ_OUT_2)) - (portRef B (instanceRef DAT_UNKNOWN_ADDR_OUTc)) + (portRef A (instanceRef DAT_UNKNOWN_ADDR_OUTc)) )) (net regio_data_out_0 (joined (portRef (member regio_data_out 31)) (portRef D (instanceRef buf_BUS_DATA_OUT_0)) )) - (net N_273_i (joined - (portRef Z (instanceRef N_273_i)) + (net N_263_i (joined + (portRef Z (instanceRef N_263_i)) (portRef SP (instanceRef buf_BUS_ADDR_OUT_5)) (portRef SP (instanceRef buf_BUS_ADDR_OUT_4)) (portRef SP (instanceRef buf_BUS_ADDR_OUT_3)) @@ -942228,15 +945569,15 @@ (portRef Q (instanceRef DAT_UNKNOWN_ADDR_OUT)) (portRef regio_unknown_addr_in) )) - (net DAT_NO_MORE_DATA_OUTc (joined - (portRef Z (instanceRef DAT_NO_MORE_DATA_OUTc)) + (net DAT_NO_MORE_DATA_OUT_1 (joined + (portRef Z (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) (portRef D (instanceRef DAT_NO_MORE_DATA_OUT)) )) (net regio_no_more_data_in (joined (portRef Q (instanceRef DAT_NO_MORE_DATA_OUT)) (portRef regio_no_more_data_in) )) - (net DAT_DATA_OUT_RNO_0 (joined + (net N_151_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_0)) (portRef D (instanceRef DAT_DATA_OUT_0)) )) @@ -942244,95 +945585,95 @@ (portRef Q (instanceRef DAT_DATA_OUT_0)) (portRef (member regio_data_in 31)) )) - (net DAT_DATA_OUTc_i (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_1)) + (net N_163 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_1)) )) (net regio_data_in_1 (joined (portRef Q (instanceRef DAT_DATA_OUT_1)) (portRef (member regio_data_in 30)) )) - (net N_949 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4)) + (net un20_dat_data_out_0_3_i_m4_2 (joined + (portRef Z (instanceRef un20_dat_data_out_0_3_i_m4_2)) (portRef D (instanceRef DAT_DATA_OUT_2)) )) (net regio_data_in_2 (joined (portRef Q (instanceRef DAT_DATA_OUT_2)) (portRef (member regio_data_in 29)) )) - (net N_950 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_3)) + (net N_157 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_3)) (portRef D (instanceRef DAT_DATA_OUT_3)) )) (net regio_data_in_3 (joined (portRef Q (instanceRef DAT_DATA_OUT_3)) (portRef (member regio_data_in 28)) )) - (net N_951 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4)) + (net un18_dat_data_out_11_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_4)) )) (net regio_data_in_4 (joined (portRef Q (instanceRef DAT_DATA_OUT_4)) (portRef (member regio_data_in 27)) )) - (net N_952 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_5)) + (net un20_dat_data_out_0_i_m4_5 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_5)) (portRef D (instanceRef DAT_DATA_OUT_5)) )) (net regio_data_in_5 (joined (portRef Q (instanceRef DAT_DATA_OUT_5)) (portRef (member regio_data_in 26)) )) - (net N_953 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_6)) + (net N_155 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_6)) (portRef D (instanceRef DAT_DATA_OUT_6)) )) (net regio_data_in_6 (joined (portRef Q (instanceRef DAT_DATA_OUT_6)) (portRef (member regio_data_in 25)) )) - (net DAT_DATA_OUTc_0_i (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_7)) + (net N_944_i (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i)) (portRef D (instanceRef DAT_DATA_OUT_7)) )) (net regio_data_in_7 (joined (portRef Q (instanceRef DAT_DATA_OUT_7)) (portRef (member regio_data_in 24)) )) - (net N_954 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_8)) + (net un18_dat_data_out_15_i_0_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4)) (portRef D (instanceRef DAT_DATA_OUT_8)) )) (net regio_data_in_8 (joined (portRef Q (instanceRef DAT_DATA_OUT_8)) (portRef (member regio_data_in 23)) )) - (net DAT_DATA_OUTc_1_i (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_9)) + (net un18_dat_data_out_16_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_9)) )) (net regio_data_in_9 (joined (portRef Q (instanceRef DAT_DATA_OUT_9)) (portRef (member regio_data_in 22)) )) - (net N_955_i (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i)) + (net N_154 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_10)) (portRef D (instanceRef DAT_DATA_OUT_10)) )) (net regio_data_in_10 (joined (portRef Q (instanceRef DAT_DATA_OUT_10)) (portRef (member regio_data_in 21)) )) - (net N_956 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_11)) + (net un20_dat_data_out_0_i_m4_11 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_11)) (portRef D (instanceRef DAT_DATA_OUT_11)) )) (net regio_data_in_11 (joined (portRef Q (instanceRef DAT_DATA_OUT_11)) (portRef (member regio_data_in 20)) )) - (net N_957 (joined + (net N_946_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_12)) (portRef D (instanceRef DAT_DATA_OUT_12)) )) @@ -942340,15 +945681,15 @@ (portRef Q (instanceRef DAT_DATA_OUT_12)) (portRef (member regio_data_in 19)) )) - (net DAT_DATA_OUTc_2_i (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_13)) + (net N_947_i (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i)) (portRef D (instanceRef DAT_DATA_OUT_13)) )) (net regio_data_in_13 (joined (portRef Q (instanceRef DAT_DATA_OUT_13)) (portRef (member regio_data_in 18)) )) - (net DAT_DATA_OUTc_3_i (joined + (net N_932_i (joined (portRef Z (instanceRef DAT_DATA_OUT_RNO_14)) (portRef D (instanceRef DAT_DATA_OUT_14)) )) @@ -942356,354 +945697,386 @@ (portRef Q (instanceRef DAT_DATA_OUT_14)) (portRef (member regio_data_in 17)) )) - (net N_958 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_15)) + (net N_923_i (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_N_923_i)) (portRef D (instanceRef DAT_DATA_OUT_15)) )) (net regio_data_in_15 (joined (portRef Q (instanceRef DAT_DATA_OUT_15)) (portRef (member regio_data_in 16)) )) - (net N_959 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_16)) + (net m16_4_03_1_m3_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_16)) )) (net regio_data_in_16 (joined (portRef Q (instanceRef DAT_DATA_OUT_16)) (portRef (member regio_data_in 15)) )) - (net DAT_DATA_OUT_RNO_17 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_17)) + (net un18_dat_data_out_24_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_17)) )) (net regio_data_in_17 (joined (portRef Q (instanceRef DAT_DATA_OUT_17)) (portRef (member regio_data_in 14)) )) - (net N_80 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4)) + (net N_162 (joined + (portRef Z (instanceRef un20_dat_data_out_i_m2_i_m4_18)) (portRef D (instanceRef DAT_DATA_OUT_18)) )) (net regio_data_in_18 (joined (portRef Q (instanceRef DAT_DATA_OUT_18)) (portRef (member regio_data_in 13)) )) - (net N_81 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4)) + (net un18_dat_data_out_26_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_19)) )) (net regio_data_in_19 (joined (portRef Q (instanceRef DAT_DATA_OUT_19)) (portRef (member regio_data_in 12)) )) - (net N_82 (joined - (portRef Z (instanceRef un20_dat_data_out_i_m4_20)) + (net un20_dat_data_out_0_i_m4_20 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_20)) (portRef D (instanceRef DAT_DATA_OUT_20)) )) (net regio_data_in_20 (joined (portRef Q (instanceRef DAT_DATA_OUT_20)) (portRef (member regio_data_in 11)) )) - (net N_83 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4)) + (net un20_dat_data_out_0_i_m4_21 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_21)) (portRef D (instanceRef DAT_DATA_OUT_21)) )) (net regio_data_in_21 (joined (portRef Q (instanceRef DAT_DATA_OUT_21)) (portRef (member regio_data_in 10)) )) - (net N_84 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_22)) + (net m22_4_03_0_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_22)) )) (net regio_data_in_22 (joined (portRef Q (instanceRef DAT_DATA_OUT_22)) (portRef (member regio_data_in 9)) )) - (net N_85_i (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i)) + (net un20_dat_data_out_0_i_m4_23 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_23)) (portRef D (instanceRef DAT_DATA_OUT_23)) )) (net regio_data_in_23 (joined (portRef Q (instanceRef DAT_DATA_OUT_23)) (portRef (member regio_data_in 8)) )) - (net N_86_i (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i)) + (net N_159 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_24)) )) (net regio_data_in_24 (joined (portRef Q (instanceRef DAT_DATA_OUT_24)) (portRef (member regio_data_in 7)) )) - (net N_87 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_25)) + (net un18_dat_data_out_32_i_m3_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_25)) )) (net regio_data_in_25 (joined (portRef Q (instanceRef DAT_DATA_OUT_25)) (portRef (member regio_data_in 6)) )) - (net N_88 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_26)) + (net N_161 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_26)) )) (net regio_data_in_26 (joined (portRef Q (instanceRef DAT_DATA_OUT_26)) (portRef (member regio_data_in 5)) )) - (net N_89_i (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i)) + (net un18_dat_data_out_34_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4)) (portRef D (instanceRef DAT_DATA_OUT_27)) )) (net regio_data_in_27 (joined (portRef Q (instanceRef DAT_DATA_OUT_27)) (portRef (member regio_data_in 4)) )) - (net N_90 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_28)) + (net un20_dat_data_out_0_i_m4_28 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_28)) (portRef D (instanceRef DAT_DATA_OUT_28)) )) (net regio_data_in_28 (joined (portRef Q (instanceRef DAT_DATA_OUT_28)) (portRef (member regio_data_in 3)) )) - (net N_91 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_29)) + (net un20_dat_data_out_0_i_m4_29 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_29)) (portRef D (instanceRef DAT_DATA_OUT_29)) )) (net regio_data_in_29 (joined (portRef Q (instanceRef DAT_DATA_OUT_29)) (portRef (member regio_data_in 2)) )) - (net N_92 (joined - (portRef Z (instanceRef DAT_DATA_OUT_RNO_30)) + (net un20_dat_data_out_0_i_m4_30 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_30)) (portRef D (instanceRef DAT_DATA_OUT_30)) )) (net regio_data_in_30 (joined (portRef Q (instanceRef DAT_DATA_OUT_30)) (portRef (member regio_data_in 1)) )) - (net N_93_i_0 (joined - (portRef Z (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i)) + (net un20_dat_data_out_0_i_m4_31 (joined + (portRef Z (instanceRef un20_dat_data_out_0_i_m4_31)) (portRef D (instanceRef DAT_DATA_OUT_31)) )) (net regio_data_in_31 (joined (portRef Q (instanceRef DAT_DATA_OUT_31)) (portRef (member regio_data_in 0)) )) - (net DAT_DATAREADY_OUT_1_0_i_m2 (joined - (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2)) + (net DAT_DATAREADY_OUT_1_0_i_m2_i_m4 (joined + (portRef Z (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4)) (portRef D (instanceRef DAT_DATAREADY_OUT)) )) (net regio_write_ack_in (joined (portRef Q (instanceRef DAT_DATAREADY_OUT)) (portRef regio_write_ack_in) )) + (net spictrl_busy (joined + (portRef spictrl_busy) + (portRef B (instanceRef DAT_NO_MORE_DATA_OUT_RNO)) + )) + (net GND (joined + (portRef GND) + )) (net regio_addr_out_1 (joined (portRef (member regio_addr_out 14)) - (portRef A (instanceRef proc_port_select_gen_port_select_0_un3_dat_addr_in_1)) + (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_1_0)) (portRef D (instanceRef buf_BUS_ADDR_OUT_1)) )) (net regio_addr_out_2 (joined (portRef (member regio_addr_out 13)) - (portRef B (instanceRef proc_port_select_gen_port_select_0_un3_dat_addr_in_1)) + (portRef B (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_1_0)) (portRef D (instanceRef buf_BUS_ADDR_OUT_2)) )) - (net GND (joined - (portRef GND) - )) - (net N_256 (joined - (portRef Z (instanceRef proc_port_select_gen_port_select_0_un3_dat_addr_in_1)) + (net buf_BUS_READ_OUT_4_0_a2_1_0 (joined + (portRef Z (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_1_0)) (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a2_0)) )) - (net spictrl_busy (joined - (portRef spictrl_busy) - (portRef B (instanceRef DAT_NO_MORE_DATA_OUTc)) - )) - (net spictrl_ack (joined - (portRef spictrl_ack) - (portRef B (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2)) - )) - (net spimem_ack (joined - (portRef spimem_ack) - (portRef C (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2)) - )) - (net spictrl_data_out_18 (joined - (portRef (member spictrl_data_out 13)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4)) - )) - (net spimem_data_out_18 (joined - (portRef (member spimem_data_out 13)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_25_i_m4)) - )) - (net spictrl_data_out_19 (joined - (portRef (member spictrl_data_out 12)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4)) + (net spictrl_data_out_11 (joined + (portRef (member spictrl_data_out 20)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_11)) )) - (net spimem_data_out_19 (joined - (portRef (member spimem_data_out 12)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m4)) + (net spimem_data_out_11 (joined + (portRef (member spimem_data_out 20)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_11)) )) (net spictrl_data_out_20 (joined (portRef (member spictrl_data_out 11)) - (portRef B (instanceRef un20_dat_data_out_i_m4_20)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_20)) )) (net spimem_data_out_20 (joined (portRef (member spimem_data_out 11)) - (portRef C (instanceRef un20_dat_data_out_i_m4_20)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_20)) )) (net spictrl_data_out_21 (joined (portRef (member spictrl_data_out 10)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_21)) )) (net spimem_data_out_21 (joined (portRef (member spimem_data_out 10)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_28_i_m4)) - )) - (net spictrl_data_out_0 (joined - (portRef (member spictrl_data_out 31)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_0)) - )) - (net spimem_data_out_0 (joined - (portRef (member spimem_data_out 31)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_0)) - )) - (net spictrl_data_out_17 (joined - (portRef (member spictrl_data_out 14)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_17)) - )) - (net spimem_data_out_17 (joined - (portRef (member spimem_data_out 14)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_17)) - )) - (net spictrl_data_out_30 (joined - (portRef (member spictrl_data_out 1)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_30)) - )) - (net spimem_data_out_30 (joined - (portRef (member spimem_data_out 1)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_30)) - )) - (net spictrl_data_out_29 (joined - (portRef (member spictrl_data_out 2)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_29)) - )) - (net spimem_data_out_29 (joined - (portRef (member spimem_data_out 2)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_29)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_21)) )) (net spictrl_data_out_28 (joined (portRef (member spictrl_data_out 3)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_28)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_28)) )) (net spimem_data_out_28 (joined (portRef (member spimem_data_out 3)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_28)) - )) - (net spictrl_data_out_26 (joined - (portRef (member spictrl_data_out 5)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_26)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_28)) )) - (net spimem_data_out_26 (joined - (portRef (member spimem_data_out 5)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_26)) - )) - (net spictrl_data_out_25 (joined - (portRef (member spictrl_data_out 6)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_25)) + (net spictrl_data_out_31 (joined + (portRef (member spictrl_data_out 0)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_31)) )) - (net spimem_data_out_25 (joined - (portRef (member spimem_data_out 6)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_25)) + (net spimem_data_out_31 (joined + (portRef (member spimem_data_out 0)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_31)) )) - (net spictrl_data_out_22 (joined - (portRef (member spictrl_data_out 9)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_22)) + (net spictrl_data_out_8 (joined + (portRef (member spictrl_data_out 23)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4)) )) - (net spimem_data_out_22 (joined - (portRef (member spimem_data_out 9)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_22)) + (net spimem_data_out_8 (joined + (portRef (member spimem_data_out 23)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_15_i_0_m4)) )) (net spictrl_data_out_16 (joined (portRef (member spictrl_data_out 15)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_16)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4)) )) (net spimem_data_out_16 (joined (portRef (member spimem_data_out 15)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_16)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_m16_4_03_1_m3_i_m4)) )) - (net spictrl_data_out_15 (joined - (portRef (member spictrl_data_out 16)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_15)) + (net spictrl_data_out_23 (joined + (portRef (member spictrl_data_out 8)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_23)) )) - (net spimem_data_out_15 (joined - (portRef (member spimem_data_out 16)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_15)) + (net spimem_data_out_23 (joined + (portRef (member spimem_data_out 8)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_23)) )) - (net spictrl_data_out_12 (joined - (portRef (member spictrl_data_out 19)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_12)) + (net spictrl_data_out_29 (joined + (portRef (member spictrl_data_out 2)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_29)) )) - (net spimem_data_out_12 (joined - (portRef (member spimem_data_out 19)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_12)) + (net spimem_data_out_29 (joined + (portRef (member spimem_data_out 2)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_29)) )) - (net spictrl_data_out_11 (joined - (portRef (member spictrl_data_out 20)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_11)) + (net spictrl_data_out_30 (joined + (portRef (member spictrl_data_out 1)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_30)) )) - (net spimem_data_out_11 (joined - (portRef (member spimem_data_out 20)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_11)) + (net spimem_data_out_30 (joined + (portRef (member spimem_data_out 1)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_30)) )) - (net spictrl_data_out_8 (joined - (portRef (member spictrl_data_out 23)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_8)) + (net spictrl_data_out_22 (joined + (portRef (member spictrl_data_out 9)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4)) )) - (net spimem_data_out_8 (joined - (portRef (member spimem_data_out 23)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_8)) + (net spimem_data_out_22 (joined + (portRef (member spimem_data_out 9)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_m22_4_03_0_m2_i_m4)) + )) + (net spictrl_data_out_27 (joined + (portRef (member spictrl_data_out 4)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4)) + )) + (net spimem_data_out_27 (joined + (portRef (member spimem_data_out 4)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_34_i_m4)) + )) + (net spictrl_data_out_10 (joined + (portRef (member spictrl_data_out 21)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_10)) + )) + (net spimem_data_out_10 (joined + (portRef (member spimem_data_out 21)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_10)) )) (net spictrl_data_out_6 (joined (portRef (member spictrl_data_out 25)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_6)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_6)) )) (net spimem_data_out_6 (joined (portRef (member spimem_data_out 25)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_6)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_6)) )) (net spictrl_data_out_5 (joined (portRef (member spictrl_data_out 26)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_5)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_5)) )) (net spimem_data_out_5 (joined (portRef (member spimem_data_out 26)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_5)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_5)) )) (net spictrl_data_out_3 (joined (portRef (member spictrl_data_out 28)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_3)) + (portRef B (instanceRef un20_dat_data_out_0_i_m4_3)) )) (net spimem_data_out_3 (joined (portRef (member spimem_data_out 28)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_3)) + (portRef C (instanceRef un20_dat_data_out_0_i_m4_3)) + )) + (net spictrl_data_out_2 (joined + (portRef (member spictrl_data_out 29)) + (portRef B (instanceRef un20_dat_data_out_0_3_i_m4_2)) + )) + (net spimem_data_out_2 (joined + (portRef (member spimem_data_out 29)) + (portRef C (instanceRef un20_dat_data_out_0_3_i_m4_2)) + )) + (net spictrl_data_out_24 (joined + (portRef (member spictrl_data_out 7)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4)) + )) + (net spimem_data_out_24 (joined + (portRef (member spimem_data_out 7)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_31_i_m3_i_m4)) + )) + (net spictrl_data_out_25 (joined + (portRef (member spictrl_data_out 6)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4)) + )) + (net spimem_data_out_25 (joined + (portRef (member spimem_data_out 6)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_32_i_m3_i_m4)) + )) + (net spictrl_data_out_26 (joined + (portRef (member spictrl_data_out 5)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4)) + )) + (net spimem_data_out_26 (joined + (portRef (member spimem_data_out 5)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_33_i_m3_i_m4)) + )) + (net spictrl_data_out_18 (joined + (portRef (member spictrl_data_out 13)) + (portRef B (instanceRef un20_dat_data_out_i_m2_i_m4_18)) + )) + (net spimem_data_out_18 (joined + (portRef (member spimem_data_out 13)) + (portRef C (instanceRef un20_dat_data_out_i_m2_i_m4_18)) + )) + (net spictrl_data_out_1 (joined + (portRef (member spictrl_data_out 30)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4)) + )) + (net spimem_data_out_1 (joined + (portRef (member spimem_data_out 30)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_8_i_m2_i_m4)) )) (net spictrl_data_out_4 (joined (portRef (member spictrl_data_out 27)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4)) )) (net spimem_data_out_4 (joined (portRef (member spimem_data_out 27)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m4)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_11_i_m2_i_m4)) )) - (net spictrl_data_out_2 (joined - (portRef (member spictrl_data_out 29)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4)) + (net spictrl_data_out_9 (joined + (portRef (member spictrl_data_out 22)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4)) )) - (net spimem_data_out_2 (joined - (portRef (member spimem_data_out 29)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_9_i_m4)) + (net spimem_data_out_9 (joined + (portRef (member spimem_data_out 22)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_16_i_m2_i_m4)) + )) + (net spictrl_data_out_17 (joined + (portRef (member spictrl_data_out 14)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4)) + )) + (net spimem_data_out_17 (joined + (portRef (member spimem_data_out 14)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_24_i_m2_i_m4)) + )) + (net spictrl_data_out_19 (joined + (portRef (member spictrl_data_out 12)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4)) + )) + (net spimem_data_out_19 (joined + (portRef (member spimem_data_out 12)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_proc_reg_output_signals_un18_dat_data_out_26_i_m2_i_m4)) + )) + (net spictrl_ack (joined + (portRef spictrl_ack) + (portRef B (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4)) + )) + (net spimem_ack (joined + (portRef spimem_ack) + (portRef C (instanceRef proc_reg_output_signals_DAT_DATAREADY_OUT_1_0_i_m2_i_m4)) )) (net regio_addr_out_9 (joined (portRef (member regio_addr_out 6)) @@ -942741,65 +946114,73 @@ (portRef Z (instanceRef next_port_select_int_1_i_a2_5_1)) (portRef B (instanceRef next_port_select_int_1_i_a2_1)) )) - (net spictrl_data_out_10 (joined - (portRef (member spictrl_data_out 21)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i)) + (net spictrl_data_out_7 (joined + (portRef (member spictrl_data_out 24)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i)) )) - (net spimem_data_out_10 (joined - (portRef (member spimem_data_out 21)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_955_i)) + (net spimem_data_out_7 (joined + (portRef (member spimem_data_out 24)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_944_i)) )) - (net spictrl_data_out_27 (joined - (portRef (member spictrl_data_out 4)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i)) + (net spictrl_data_out_12 (joined + (portRef (member spictrl_data_out 19)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_12)) )) - (net spimem_data_out_27 (joined - (portRef (member spimem_data_out 4)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_89_i)) + (net spimem_data_out_12 (joined + (portRef (member spimem_data_out 19)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_12)) )) - (net spictrl_data_out_24 (joined - (portRef (member spictrl_data_out 7)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i)) + (net spictrl_data_out_13 (joined + (portRef (member spictrl_data_out 18)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i)) )) - (net spimem_data_out_24 (joined - (portRef (member spimem_data_out 7)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_86_i)) + (net spimem_data_out_13 (joined + (portRef (member spimem_data_out 18)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_947_i)) )) - (net spictrl_data_out_23 (joined - (portRef (member spictrl_data_out 8)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i)) + (net spictrl_data_out_15 (joined + (portRef (member spictrl_data_out 16)) + (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_N_923_i)) )) - (net spimem_data_out_23 (joined - (portRef (member spimem_data_out 8)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_85_i)) + (net spimem_data_out_15 (joined + (portRef (member spimem_data_out 16)) + (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_N_923_i)) )) - (net spictrl_data_out_31 (joined - (portRef (member spictrl_data_out 0)) - (portRef B (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i)) + (net spictrl_data_out_0 (joined + (portRef (member spictrl_data_out 31)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_0)) )) - (net spimem_data_out_31 (joined - (portRef (member spimem_data_out 0)) - (portRef C (instanceRef proc_reg_output_signals_un18_dat_data_out_THE_BUS_HANDLER_N_93_i)) + (net spimem_data_out_0 (joined + (portRef (member spimem_data_out 31)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_0)) + )) + (net spictrl_data_out_14 (joined + (portRef (member spictrl_data_out 17)) + (portRef B (instanceRef DAT_DATA_OUT_RNO_14)) + )) + (net spimem_data_out_14 (joined + (portRef (member spimem_data_out 17)) + (portRef C (instanceRef DAT_DATA_OUT_RNO_14)) )) (net regio_read_enable_out (joined (portRef regio_read_enable_out) (portRef A (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a3_1)) (portRef D (instanceRef buf_BUS_READ_OUT_RNO_2)) (portRef D (instanceRef proc_rw_signals_buf_BUS_READ_OUT_4_0_a3_0)) - (portRef A (instanceRef N_273_i)) - (portRef A (instanceRef N_277_i)) + (portRef A (instanceRef N_263_i)) + (portRef A (instanceRef N_267_i)) )) (net regio_write_enable_out (joined (portRef regio_write_enable_out) (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0_a3_1)) (portRef D (instanceRef buf_BUS_WRITE_OUT_RNO_2)) (portRef D (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0_a3_0)) - (portRef B (instanceRef N_273_i)) - (portRef B (instanceRef N_277_i)) + (portRef B (instanceRef N_263_i)) + (portRef B (instanceRef N_267_i)) )) - (net reset_i_fast_r10 (joined - (portRef reset_i_fast_r10) - (portRef C (instanceRef N_273_i)) + (net reset_i_fast_r9 (joined + (portRef reset_i_fast_r9) + (portRef C (instanceRef N_263_i)) )) (net regio_addr_out_3 (joined (portRef (member regio_addr_out 12)) @@ -942824,46 +946205,6 @@ (portRef A (instanceRef proc_rw_signals_buf_BUS_WRITE_OUT_4_0_a3_0)) (portRef A (instanceRef port_select_int_RNO_1)) )) - (net spictrl_data_out_14 (joined - (portRef (member spictrl_data_out 17)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_14)) - )) - (net spimem_data_out_14 (joined - (portRef (member spimem_data_out 17)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_14)) - )) - (net spictrl_data_out_13 (joined - (portRef (member spictrl_data_out 18)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_13)) - )) - (net spimem_data_out_13 (joined - (portRef (member spimem_data_out 18)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_13)) - )) - (net spictrl_data_out_9 (joined - (portRef (member spictrl_data_out 22)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_9)) - )) - (net spimem_data_out_9 (joined - (portRef (member spimem_data_out 22)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_9)) - )) - (net spictrl_data_out_7 (joined - (portRef (member spictrl_data_out 24)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_7)) - )) - (net spimem_data_out_7 (joined - (portRef (member spimem_data_out 24)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_7)) - )) - (net spictrl_data_out_1 (joined - (portRef (member spictrl_data_out 30)) - (portRef B (instanceRef DAT_DATA_OUT_RNO_1)) - )) - (net spimem_data_out_1 (joined - (portRef (member spimem_data_out 30)) - (portRef C (instanceRef DAT_DATA_OUT_RNO_1)) - )) (net regio_addr_out_13 (joined (portRef (member regio_addr_out 2)) (portRef C (instanceRef next_port_select_int_1_i_a2_1)) @@ -942912,14 +946253,14 @@ (port (array (rename fpga5_comm_c "FPGA5_COMM_c(2:2)") 1) (direction OUTPUT)) (port (array (rename med_data_in "med_data_in(15:0)") 16) (direction OUTPUT)) (port (array (rename med_packet_num_in "med_packet_num_in(2:0)") 3) (direction OUTPUT)) - (port N_538_i (direction OUTPUT)) + (port N_465_i (direction OUTPUT)) (port med_dataready_out (direction INPUT)) (port clk_200_i (direction INPUT)) + (port N_484 (direction OUTPUT)) (port pll_lock_i (direction INPUT)) - (port N_560 (direction OUTPUT)) (port N_299 (direction OUTPUT)) (port N_300 (direction OUTPUT)) - (port N_527_i (direction OUTPUT)) + (port N_454_i (direction OUTPUT)) (port med_dataready_in (direction OUTPUT)) (port reset_i_rep2_1 (direction INPUT)) (port VCC (direction INPUT)) @@ -945024,6 +948365,22 @@ (portRef (member med_stat_debug_i_i 0) (instanceRef THE_RX_ALLOW_SYNC)) (portRef (member med_stat_debug_i_i 0) (instanceRef THE_FIFO_SFP_TO_FPGA)) )) + (net med_stat_op_14 (joined + (portRef med_stat_op_13 (instanceRef THE_SFP_LSM)) + (portRef (member med_stat_op 1)) + )) + (net CURRENT_STATE_1 (joined + (portRef CURRENT_STATE_1 (instanceRef THE_SFP_LSM)) + (portRef (member current_state 1)) + )) + (net CURRENT_STATE_0 (joined + (portRef CURRENT_STATE_0 (instanceRef THE_SFP_LSM)) + (portRef (member current_state 2)) + )) + (net CURRENT_STATE_2 (joined + (portRef CURRENT_STATE_2 (instanceRef THE_SFP_LSM)) + (portRef (member current_state 0)) + )) (net link_error_4 (joined (portRef link_error_0 (instanceRef gen_serdes_1_200_THE_SERDES)) (portRef (member link_error 3) (instanceRef THE_SFP_LSM)) @@ -945040,25 +948397,9 @@ (portRef link_error_3 (instanceRef gen_serdes_1_200_THE_SERDES)) (portRef (member link_error 0) (instanceRef THE_SFP_LSM)) )) - (net CURRENT_STATE_2 (joined - (portRef CURRENT_STATE_2 (instanceRef THE_SFP_LSM)) - (portRef (member current_state 0)) - )) - (net CURRENT_STATE_1 (joined - (portRef CURRENT_STATE_1 (instanceRef THE_SFP_LSM)) - (portRef (member current_state 1)) - )) - (net CURRENT_STATE_0 (joined - (portRef CURRENT_STATE_0 (instanceRef THE_SFP_LSM)) - (portRef (member current_state 2)) - )) - (net med_stat_op_14 (joined - (portRef med_stat_op_13 (instanceRef THE_SFP_LSM)) - (portRef (member med_stat_op 1)) - )) - (net N_527_i (joined - (portRef N_527_i (instanceRef THE_SFP_LSM)) - (portRef N_527_i) + (net N_454_i (joined + (portRef N_454_i (instanceRef THE_SFP_LSM)) + (portRef N_454_i) )) (net N_300 (joined (portRef N_300 (instanceRef THE_SFP_LSM)) @@ -945068,9 +948409,9 @@ (portRef N_299 (instanceRef THE_SFP_LSM)) (portRef N_299) )) - (net N_560 (joined - (portRef N_560 (instanceRef THE_SFP_LSM)) - (portRef N_560) + (net N_484 (joined + (portRef N_484 (instanceRef THE_SFP_LSM)) + (portRef N_484) )) (net SERDES_INT_TX_2 (joined (portRef (member serdes_int_tx 1) (instanceRef gen_serdes_1_200_THE_SERDES)) @@ -945173,9 +948514,9 @@ (portRef med_dataready_out) (portRef med_dataready_out (instanceRef THE_FIFO_FPGA_TO_SFP)) )) - (net N_538_i (joined - (portRef N_538_i (instanceRef THE_FIFO_FPGA_TO_SFP)) - (portRef N_538_i) + (net N_465_i (joined + (portRef N_465_i (instanceRef THE_FIFO_FPGA_TO_SFP)) + (portRef N_465_i) )) ) (property HGROUP (string "media_interface_group")) @@ -945276,30 +948617,30 @@ (port GND (direction INPUT)) (port pll_lock (direction INPUT)) (port reset_i_10 (direction OUTPUT)) + (port reset_i_1 (direction OUTPUT)) (port reset_i_11 (direction OUTPUT)) (port reset_i_12 (direction OUTPUT)) (port reset_i_13 (direction OUTPUT)) (port reset_i_14 (direction OUTPUT)) - (port reset_i_16 (direction OUTPUT)) - (port reset_i_18 (direction OUTPUT)) - (port reset_i_1_1 (direction OUTPUT)) - (port reset_i_1 (direction OUTPUT)) (port reset_i_15 (direction OUTPUT)) + (port reset_i_16 (direction OUTPUT)) (port reset_i_17 (direction OUTPUT)) + (port reset_i_18 (direction OUTPUT)) (port reset_i_19 (direction OUTPUT)) (port reset_i_rep1 (direction OUTPUT)) + (port reset_i_rep1_1 (direction OUTPUT)) (port reset_i_rep2 (direction OUTPUT)) + (port reset_i_rep2_1 (direction OUTPUT)) (port reset_i_2 (direction OUTPUT)) - (port reset_i_5 (direction OUTPUT)) - (port reset_i (direction OUTPUT)) (port reset_i_3 (direction OUTPUT)) - (port reset_i_6 (direction OUTPUT)) - (port reset_i_rep1_1 (direction OUTPUT)) - (port reset_i_rep2_1 (direction OUTPUT)) (port reset_i_4 (direction OUTPUT)) + (port reset_i_6 (direction OUTPUT)) (port reset_i_7 (direction OUTPUT)) (port reset_i_8 (direction OUTPUT)) (port reset_i_9 (direction OUTPUT)) + (port reset_i (direction OUTPUT)) + (port reset_i_20 (direction OUTPUT)) + (port reset_i_5 (direction OUTPUT)) (port clk_200_i (direction INPUT)) (port trb_reset_buffer (direction INPUT)) (port reset_i_fast_r0 (direction OUTPUT)) @@ -945383,52 +948724,50 @@ ) (instance reset (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance final_reset_0 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_iso_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_fast_1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_9_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_5_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_8_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_20_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_7_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_4_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_1_rep2_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_9_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_1_rep1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_8_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_0 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_7_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_6_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_3_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_4_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_5_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + (instance final_reset_3_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_2_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_1_rep2_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_1_rep2 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_1_rep1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_1_rep1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_19_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) - (instance final_reset_17_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_15_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) - (instance final_reset_1_1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) - ) (instance final_reset_18_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_17_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_16_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_15_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_14_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance final_reset_13_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) @@ -945437,6 +948776,8 @@ ) (instance final_reset_11_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) + (instance final_reset_1_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) + ) (instance final_reset_10_1 (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT))) ) (instance async_sampler_0 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -945548,30 +948889,30 @@ (net final_reset_Q_0 (joined (portRef Q (instanceRef final_reset_0)) (portRef D (instanceRef final_reset_10_1)) + (portRef D (instanceRef final_reset_1_1)) (portRef D (instanceRef final_reset_11_1)) (portRef D (instanceRef final_reset_12_1)) (portRef D (instanceRef final_reset_13_1)) (portRef D (instanceRef final_reset_14_1)) - (portRef D (instanceRef final_reset_16_1)) - (portRef D (instanceRef final_reset_18_1)) - (portRef D (instanceRef final_reset_1_1_1)) - (portRef D (instanceRef final_reset_1_1)) (portRef D (instanceRef final_reset_15_1)) + (portRef D (instanceRef final_reset_16_1)) (portRef D (instanceRef final_reset_17_1)) + (portRef D (instanceRef final_reset_18_1)) (portRef D (instanceRef final_reset_19_1)) (portRef D (instanceRef final_reset_1_rep1)) + (portRef D (instanceRef final_reset_1_rep1_1)) (portRef D (instanceRef final_reset_1_rep2)) + (portRef D (instanceRef final_reset_1_rep2_1)) (portRef D (instanceRef final_reset_2_1)) - (portRef D (instanceRef final_reset_5_1)) - (portRef D (instanceRef final_reset_1)) (portRef D (instanceRef final_reset_3_1)) - (portRef D (instanceRef final_reset_6_1)) - (portRef D (instanceRef final_reset_1_rep1_1)) - (portRef D (instanceRef final_reset_1_rep2_1)) (portRef D (instanceRef final_reset_4_1)) + (portRef D (instanceRef final_reset_6_1)) (portRef D (instanceRef final_reset_7_1)) (portRef D (instanceRef final_reset_8_1)) (portRef D (instanceRef final_reset_9_1)) + (portRef D (instanceRef final_reset_1)) + (portRef D (instanceRef final_reset_20_1)) + (portRef D (instanceRef final_reset_5_1)) (portRef D (instanceRef final_reset_fast_1_1)) (portRef D (instanceRef final_reset_iso_1)) (portRef D (instanceRef final_reset_fast_1)) @@ -945594,33 +948935,33 @@ (net clk_100_i_c (joined (portRef clk_100_i_c) (portRef CK (instanceRef final_reset_10_1)) + (portRef CK (instanceRef final_reset_1_1)) (portRef CK (instanceRef final_reset_11_1)) (portRef CK (instanceRef final_reset_12_1)) (portRef CK (instanceRef final_reset_13_1)) (portRef CK (instanceRef final_reset_14_1)) - (portRef CK (instanceRef final_reset_16_1)) - (portRef CK (instanceRef final_reset_18_1)) - (portRef CK (instanceRef final_reset_1_1_1)) - (portRef CK (instanceRef final_reset_1_1)) (portRef CK (instanceRef final_reset_15_1)) + (portRef CK (instanceRef final_reset_16_1)) (portRef CK (instanceRef final_reset_17_1)) + (portRef CK (instanceRef final_reset_18_1)) (portRef CK (instanceRef final_reset_19_1)) (portRef CK (instanceRef final_reset_1_rep1)) + (portRef CK (instanceRef final_reset_1_rep1_1)) (portRef CK (instanceRef final_reset_1_rep2)) + (portRef CK (instanceRef final_reset_1_rep2_1)) (portRef CK (instanceRef final_reset_2_1)) - (portRef CK (instanceRef final_reset_5_1)) - (portRef CK (instanceRef final_reset_1)) (portRef CK (instanceRef final_reset_3_1)) - (portRef CK (instanceRef final_reset_6_1)) - (portRef CK (instanceRef final_reset_0)) - (portRef CK (instanceRef final_reset_1_rep1_1)) - (portRef CK (instanceRef final_reset_1_rep2_1)) (portRef CK (instanceRef final_reset_4_1)) + (portRef CK (instanceRef final_reset_6_1)) (portRef CK (instanceRef final_reset_7_1)) (portRef CK (instanceRef final_reset_8_1)) (portRef CK (instanceRef final_reset_9_1)) + (portRef CK (instanceRef final_reset_1)) + (portRef CK (instanceRef final_reset_20_1)) + (portRef CK (instanceRef final_reset_5_1)) (portRef CK (instanceRef final_reset_fast_1_1)) (portRef CK (instanceRef final_reset_iso_1)) + (portRef CK (instanceRef final_reset_0)) (portRef CK (instanceRef final_reset_fast_1)) (portRef CK (instanceRef final_reset_fast_r1_1)) (portRef CK (instanceRef final_reset_fast_r2_1)) @@ -945905,6 +949246,18 @@ (portRef A (instanceRef final_reset_fast_1_RNIOFC9_1)) (portRef reset_i_fast_1) )) + (net reset_i_5 (joined + (portRef Q (instanceRef final_reset_5_1)) + (portRef reset_i_5) + )) + (net reset_i_20 (joined + (portRef Q (instanceRef final_reset_20_1)) + (portRef reset_i_20) + )) + (net reset_i (joined + (portRef Q (instanceRef final_reset_1)) + (portRef reset_i) + )) (net reset_i_9 (joined (portRef Q (instanceRef final_reset_9_1)) (portRef reset_i_9) @@ -945917,42 +949270,34 @@ (portRef Q (instanceRef final_reset_7_1)) (portRef reset_i_7) )) - (net reset_i_4 (joined - (portRef Q (instanceRef final_reset_4_1)) - (portRef reset_i_4) - )) - (net reset_i_rep2_1 (joined - (portRef Q (instanceRef final_reset_1_rep2_1)) - (portRef reset_i_rep2_1) - )) - (net reset_i_rep1_1 (joined - (portRef Q (instanceRef final_reset_1_rep1_1)) - (portRef reset_i_rep1_1) - )) (net reset_i_6 (joined (portRef Q (instanceRef final_reset_6_1)) (portRef reset_i_6) )) + (net reset_i_4 (joined + (portRef Q (instanceRef final_reset_4_1)) + (portRef reset_i_4) + )) (net reset_i_3 (joined (portRef Q (instanceRef final_reset_3_1)) (portRef reset_i_3) )) - (net reset_i (joined - (portRef Q (instanceRef final_reset_1)) - (portRef reset_i) - )) - (net reset_i_5 (joined - (portRef Q (instanceRef final_reset_5_1)) - (portRef reset_i_5) - )) (net reset_i_2 (joined (portRef Q (instanceRef final_reset_2_1)) (portRef reset_i_2) )) + (net reset_i_rep2_1 (joined + (portRef Q (instanceRef final_reset_1_rep2_1)) + (portRef reset_i_rep2_1) + )) (net reset_i_rep2 (joined (portRef Q (instanceRef final_reset_1_rep2)) (portRef reset_i_rep2) )) + (net reset_i_rep1_1 (joined + (portRef Q (instanceRef final_reset_1_rep1_1)) + (portRef reset_i_rep1_1) + )) (net reset_i_rep1 (joined (portRef Q (instanceRef final_reset_1_rep1)) (portRef reset_i_rep1) @@ -945961,30 +949306,22 @@ (portRef Q (instanceRef final_reset_19_1)) (portRef reset_i_19) )) - (net reset_i_17 (joined - (portRef Q (instanceRef final_reset_17_1)) - (portRef reset_i_17) - )) - (net reset_i_15 (joined - (portRef Q (instanceRef final_reset_15_1)) - (portRef reset_i_15) - )) - (net reset_i_1 (joined - (portRef Q (instanceRef final_reset_1_1)) - (portRef reset_i_1) - )) - (net reset_i_1_1 (joined - (portRef Q (instanceRef final_reset_1_1_1)) - (portRef reset_i_1_1) - )) (net reset_i_18 (joined (portRef Q (instanceRef final_reset_18_1)) (portRef reset_i_18) )) + (net reset_i_17 (joined + (portRef Q (instanceRef final_reset_17_1)) + (portRef reset_i_17) + )) (net reset_i_16 (joined (portRef Q (instanceRef final_reset_16_1)) (portRef reset_i_16) )) + (net reset_i_15 (joined + (portRef Q (instanceRef final_reset_15_1)) + (portRef reset_i_15) + )) (net reset_i_14 (joined (portRef Q (instanceRef final_reset_14_1)) (portRef reset_i_14) @@ -946001,6 +949338,10 @@ (portRef Q (instanceRef final_reset_11_1)) (portRef reset_i_11) )) + (net reset_i_1 (joined + (portRef Q (instanceRef final_reset_1_1)) + (portRef reset_i_1) + )) (net reset_i_10 (joined (portRef Q (instanceRef final_reset_10_1)) (portRef reset_i_10) @@ -946072,7 +949413,7 @@ (portRef Z (instanceRef async_pulsec_1)) (portRef A (instanceRef async_pulsec)) )) - (net N_975_i (joined + (net N_908_i (joined (portRef Z (instanceRef async_pulse_RNI50JG)) (portRef B0 (instanceRef reset_cnt_s_0_15)) (portRef B1 (instanceRef reset_cnt_cry_0_13)) @@ -949088,6 +952429,10 @@ (portRef stat_reg_663 (instanceRef THE_ENDPOINT)) ) ) + (net ctrl_reg_12 (joined + (portRef ctrl_reg_0 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_0 (instanceRef THE_TDC)) + )) (net stat_reg_96 (joined (portRef stat_reg_96 (instanceRef THE_ENDPOINT)) (portRef stat_reg_96 (instanceRef THE_TDC)) @@ -949105,196 +952450,196 @@ (portRef stat_reg_99 (instanceRef THE_TDC)) )) (net ctrl_reg_36 (joined - (portRef ctrl_reg_0 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_0 (instanceRef THE_TDC)) + (portRef ctrl_reg_24 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_24 (instanceRef THE_TDC)) )) (net ctrl_reg_37 (joined - (portRef ctrl_reg_1 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_1 (instanceRef THE_TDC)) + (portRef ctrl_reg_25 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_25 (instanceRef THE_TDC)) )) (net ctrl_reg_38 (joined - (portRef ctrl_reg_2 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_2 (instanceRef THE_TDC)) + (portRef ctrl_reg_26 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_26 (instanceRef THE_TDC)) )) (net ctrl_reg_39 (joined - (portRef ctrl_reg_3 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_3 (instanceRef THE_TDC)) + (portRef ctrl_reg_27 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_27 (instanceRef THE_TDC)) )) (net ctrl_reg_40 (joined - (portRef ctrl_reg_4 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_4 (instanceRef THE_TDC)) + (portRef ctrl_reg_28 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_28 (instanceRef THE_TDC)) )) (net ctrl_reg_41 (joined - (portRef ctrl_reg_5 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_5 (instanceRef THE_TDC)) + (portRef ctrl_reg_29 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_29 (instanceRef THE_TDC)) )) (net ctrl_reg_42 (joined - (portRef ctrl_reg_6 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_6 (instanceRef THE_TDC)) + (portRef ctrl_reg_30 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_30 (instanceRef THE_TDC)) )) (net ctrl_reg_48 (joined - (portRef ctrl_reg_12 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_12 (instanceRef THE_TDC)) + (portRef ctrl_reg_36 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_36 (instanceRef THE_TDC)) )) (net ctrl_reg_49 (joined - (portRef ctrl_reg_13 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_13 (instanceRef THE_TDC)) + (portRef ctrl_reg_37 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_37 (instanceRef THE_TDC)) )) (net ctrl_reg_50 (joined - (portRef ctrl_reg_14 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_14 (instanceRef THE_TDC)) + (portRef ctrl_reg_38 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_38 (instanceRef THE_TDC)) )) (net ctrl_reg_51 (joined - (portRef ctrl_reg_15 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_15 (instanceRef THE_TDC)) + (portRef ctrl_reg_39 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_39 (instanceRef THE_TDC)) )) (net ctrl_reg_52 (joined - (portRef ctrl_reg_16 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_16 (instanceRef THE_TDC)) + (portRef ctrl_reg_40 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_40 (instanceRef THE_TDC)) )) (net ctrl_reg_53 (joined - (portRef ctrl_reg_17 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_17 (instanceRef THE_TDC)) + (portRef ctrl_reg_41 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_41 (instanceRef THE_TDC)) )) (net ctrl_reg_54 (joined - (portRef ctrl_reg_18 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_18 (instanceRef THE_TDC)) + (portRef ctrl_reg_42 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_42 (instanceRef THE_TDC)) )) (net ctrl_reg_55 (joined - (portRef ctrl_reg_19 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_19 (instanceRef THE_TDC)) + (portRef ctrl_reg_43 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_43 (instanceRef THE_TDC)) )) (net ctrl_reg_56 (joined - (portRef ctrl_reg_20 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_20 (instanceRef THE_TDC)) + (portRef ctrl_reg_44 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_44 (instanceRef THE_TDC)) )) (net ctrl_reg_57 (joined - (portRef ctrl_reg_21 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_21 (instanceRef THE_TDC)) + (portRef ctrl_reg_45 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_45 (instanceRef THE_TDC)) )) (net ctrl_reg_58 (joined - (portRef ctrl_reg_22 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_22 (instanceRef THE_TDC)) + (portRef ctrl_reg_46 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_46 (instanceRef THE_TDC)) )) (net ctrl_reg_63 (joined - (portRef ctrl_reg_27 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_27 (instanceRef THE_TDC)) + (portRef ctrl_reg_51 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_51 (instanceRef THE_TDC)) )) (net ctrl_reg_65 (joined - (portRef ctrl_reg_29 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_29 (instanceRef THE_TDC)) + (portRef ctrl_reg_53 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_53 (instanceRef THE_TDC)) )) (net ctrl_reg_66 (joined - (portRef ctrl_reg_30 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_30 (instanceRef THE_TDC)) + (portRef ctrl_reg_54 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_54 (instanceRef THE_TDC)) )) (net ctrl_reg_67 (joined - (portRef ctrl_reg_31 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_31 (instanceRef THE_TDC)) + (portRef ctrl_reg_55 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_55 (instanceRef THE_TDC)) )) (net ctrl_reg_68 (joined - (portRef ctrl_reg_32 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_32 (instanceRef THE_TDC)) + (portRef ctrl_reg_56 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_56 (instanceRef THE_TDC)) )) (net ctrl_reg_69 (joined - (portRef ctrl_reg_33 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_33 (instanceRef THE_TDC)) + (portRef ctrl_reg_57 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_57 (instanceRef THE_TDC)) )) (net ctrl_reg_70 (joined - (portRef ctrl_reg_34 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_34 (instanceRef THE_TDC)) + (portRef ctrl_reg_58 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_58 (instanceRef THE_TDC)) )) (net ctrl_reg_71 (joined - (portRef ctrl_reg_35 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_35 (instanceRef THE_TDC)) + (portRef ctrl_reg_59 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_59 (instanceRef THE_TDC)) )) (net ctrl_reg_72 (joined - (portRef ctrl_reg_36 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_36 (instanceRef THE_TDC)) + (portRef ctrl_reg_60 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_60 (instanceRef THE_TDC)) )) (net ctrl_reg_73 (joined - (portRef ctrl_reg_37 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_37 (instanceRef THE_TDC)) + (portRef ctrl_reg_61 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_61 (instanceRef THE_TDC)) )) (net ctrl_reg_74 (joined - (portRef ctrl_reg_38 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_38 (instanceRef THE_TDC)) + (portRef ctrl_reg_62 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_62 (instanceRef THE_TDC)) )) (net ctrl_reg_75 (joined - (portRef ctrl_reg_39 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_39 (instanceRef THE_TDC)) + (portRef ctrl_reg_63 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_63 (instanceRef THE_TDC)) )) (net ctrl_reg_76 (joined - (portRef ctrl_reg_40 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_40 (instanceRef THE_TDC)) + (portRef ctrl_reg_64 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_64 (instanceRef THE_TDC)) )) (net ctrl_reg_77 (joined - (portRef ctrl_reg_41 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_41 (instanceRef THE_TDC)) + (portRef ctrl_reg_65 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_65 (instanceRef THE_TDC)) )) (net ctrl_reg_78 (joined - (portRef ctrl_reg_42 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_42 (instanceRef THE_TDC)) + (portRef ctrl_reg_66 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_66 (instanceRef THE_TDC)) )) (net ctrl_reg_79 (joined - (portRef ctrl_reg_43 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_43 (instanceRef THE_TDC)) + (portRef ctrl_reg_67 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_67 (instanceRef THE_TDC)) )) (net ctrl_reg_80 (joined - (portRef ctrl_reg_44 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_44 (instanceRef THE_TDC)) + (portRef ctrl_reg_68 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_68 (instanceRef THE_TDC)) )) (net ctrl_reg_81 (joined - (portRef ctrl_reg_45 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_45 (instanceRef THE_TDC)) + (portRef ctrl_reg_69 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_69 (instanceRef THE_TDC)) )) (net ctrl_reg_82 (joined - (portRef ctrl_reg_46 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_46 (instanceRef THE_TDC)) + (portRef ctrl_reg_70 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_70 (instanceRef THE_TDC)) )) (net ctrl_reg_83 (joined - (portRef ctrl_reg_47 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_47 (instanceRef THE_TDC)) + (portRef ctrl_reg_71 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_71 (instanceRef THE_TDC)) )) (net ctrl_reg_84 (joined - (portRef ctrl_reg_48 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_48 (instanceRef THE_TDC)) + (portRef ctrl_reg_72 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_72 (instanceRef THE_TDC)) )) (net ctrl_reg_85 (joined - (portRef ctrl_reg_49 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_49 (instanceRef THE_TDC)) + (portRef ctrl_reg_73 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_73 (instanceRef THE_TDC)) )) (net ctrl_reg_86 (joined - (portRef ctrl_reg_50 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_50 (instanceRef THE_TDC)) + (portRef ctrl_reg_74 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_74 (instanceRef THE_TDC)) )) (net ctrl_reg_87 (joined - (portRef ctrl_reg_51 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_51 (instanceRef THE_TDC)) + (portRef ctrl_reg_75 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_75 (instanceRef THE_TDC)) )) (net ctrl_reg_88 (joined - (portRef ctrl_reg_52 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_52 (instanceRef THE_TDC)) + (portRef ctrl_reg_76 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_76 (instanceRef THE_TDC)) )) (net ctrl_reg_89 (joined - (portRef ctrl_reg_53 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_53 (instanceRef THE_TDC)) + (portRef ctrl_reg_77 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_77 (instanceRef THE_TDC)) )) (net ctrl_reg_90 (joined - (portRef ctrl_reg_54 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_54 (instanceRef THE_TDC)) + (portRef ctrl_reg_78 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_78 (instanceRef THE_TDC)) )) (net ctrl_reg_92 (joined - (portRef ctrl_reg_56 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_56 (instanceRef THE_TDC)) + (portRef ctrl_reg_80 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_80 (instanceRef THE_TDC)) )) (net ctrl_reg_93 (joined - (portRef ctrl_reg_57 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_57 (instanceRef THE_TDC)) + (portRef ctrl_reg_81 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_81 (instanceRef THE_TDC)) )) (net ctrl_reg_94 (joined - (portRef ctrl_reg_58 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_58 (instanceRef THE_TDC)) + (portRef ctrl_reg_82 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_82 (instanceRef THE_TDC)) )) (net regio_addr_out_0 (joined (portRef (member regio_addr_out 15) (instanceRef THE_ENDPOINT)) @@ -949837,6 +953182,10 @@ (portRef spimem_write_en (instanceRef THE_BUS_HANDLER)) (portRef spimem_write_en (instanceRef THE_SPI_MEMORY)) )) + (net spictrl_data_out_0 (joined + (portRef (member spictrl_data_out 31) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 31) (instanceRef THE_BUS_HANDLER)) + )) (net spictrl_data_out_1 (joined (portRef (member spictrl_data_out 30) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 30) (instanceRef THE_BUS_HANDLER)) @@ -949845,13 +953194,9 @@ (portRef (member spictrl_data_out 29) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 29) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_4 (joined - (portRef (member spictrl_data_out 27) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 27) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_5 (joined - (portRef (member spictrl_data_out 26) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 26) (instanceRef THE_BUS_HANDLER)) + (net spictrl_data_out_3 (joined + (portRef (member spictrl_data_out 28) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 28) (instanceRef THE_BUS_HANDLER)) )) (net spictrl_data_out_6 (joined (portRef (member spictrl_data_out 25) (instanceRef THE_SPI_MASTER)) @@ -949865,14 +953210,14 @@ (portRef (member spictrl_data_out 23) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 23) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_9 (joined - (portRef (member spictrl_data_out 22) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 22) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_10 (joined (portRef (member spictrl_data_out 21) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 21) (instanceRef THE_BUS_HANDLER)) )) + (net spictrl_data_out_11 (joined + (portRef (member spictrl_data_out 20) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 20) (instanceRef THE_BUS_HANDLER)) + )) (net spictrl_data_out_12 (joined (portRef (member spictrl_data_out 19) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 19) (instanceRef THE_BUS_HANDLER)) @@ -949889,10 +953234,6 @@ (portRef (member spictrl_data_out 16) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 16) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_16 (joined - (portRef (member spictrl_data_out 15) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 15) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_17 (joined (portRef (member spictrl_data_out 14) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 14) (instanceRef THE_BUS_HANDLER)) @@ -949901,10 +953242,6 @@ (portRef (member spictrl_data_out 13) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 13) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_19 (joined - (portRef (member spictrl_data_out 12) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 12) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_20 (joined (portRef (member spictrl_data_out 11) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 11) (instanceRef THE_BUS_HANDLER)) @@ -949913,37 +953250,17 @@ (portRef (member spictrl_data_out 10) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 10) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_23 (joined - (portRef (member spictrl_data_out 8) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 8) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_24 (joined (portRef (member spictrl_data_out 7) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 7) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_25 (joined - (portRef (member spictrl_data_out 6) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 6) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_26 (joined - (portRef (member spictrl_data_out 5) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 5) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_27 (joined - (portRef (member spictrl_data_out 4) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 4) (instanceRef THE_BUS_HANDLER)) - )) (net spictrl_data_out_28 (joined (portRef (member spictrl_data_out 3) (instanceRef THE_SPI_MASTER)) (portRef (member spictrl_data_out 3) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_30 (joined - (portRef (member spictrl_data_out 1) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 1) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_31 (joined - (portRef (member spictrl_data_out 0) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 0) (instanceRef THE_BUS_HANDLER)) + (net spictrl_data_out_29 (joined + (portRef (member spictrl_data_out 2) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 2) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_0 (joined (portRef (member spimem_data_out 31) (instanceRef THE_SPI_MEMORY)) @@ -949953,14 +953270,14 @@ (portRef (member spimem_data_out 30) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 30) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_2 (joined - (portRef (member spimem_data_out 29) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 29) (instanceRef THE_BUS_HANDLER)) - )) (net spimem_data_out_4 (joined (portRef (member spimem_data_out 27) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 27) (instanceRef THE_BUS_HANDLER)) )) + (net spimem_data_out_5 (joined + (portRef (member spimem_data_out 26) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 26) (instanceRef THE_BUS_HANDLER)) + )) (net spimem_data_out_7 (joined (portRef (member spimem_data_out 24) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 24) (instanceRef THE_BUS_HANDLER)) @@ -949973,10 +953290,6 @@ (portRef (member spimem_data_out 22) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 22) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_10 (joined - (portRef (member spimem_data_out 21) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 21) (instanceRef THE_BUS_HANDLER)) - )) (net spimem_data_out_11 (joined (portRef (member spimem_data_out 20) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 20) (instanceRef THE_BUS_HANDLER)) @@ -949985,21 +953298,21 @@ (portRef (member spimem_data_out 19) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 19) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_13 (joined - (portRef (member spimem_data_out 18) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 18) (instanceRef THE_BUS_HANDLER)) - )) - (net spimem_data_out_14 (joined - (portRef (member spimem_data_out 17) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 17) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_15 (joined + (portRef (member spimem_data_out 16) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 16) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_16 (joined (portRef (member spimem_data_out 15) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 15) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_17 (joined - (portRef (member spimem_data_out 14) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 14) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_19 (joined + (portRef (member spimem_data_out 12) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 12) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_20 (joined + (portRef (member spimem_data_out 11) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 11) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_21 (joined (portRef (member spimem_data_out 10) (instanceRef THE_SPI_MEMORY)) @@ -950013,10 +953326,6 @@ (portRef (member spimem_data_out 8) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 8) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_24 (joined - (portRef (member spimem_data_out 7) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 7) (instanceRef THE_BUS_HANDLER)) - )) (net spimem_data_out_25 (joined (portRef (member spimem_data_out 6) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 6) (instanceRef THE_BUS_HANDLER)) @@ -950025,13 +953334,13 @@ (portRef (member spimem_data_out 5) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 5) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_27 (joined - (portRef (member spimem_data_out 4) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 4) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_29 (joined + (portRef (member spimem_data_out 2) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 2) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_28 (joined - (portRef (member spimem_data_out 3) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 3) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_30 (joined + (portRef (member spimem_data_out 1) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 1) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_31 (joined (portRef (member spimem_data_out 0) (instanceRef THE_SPI_MEMORY)) @@ -950154,26 +953463,6 @@ (portRef T (instanceRef TEMPSENS_pad)) (portRef I (instanceRef TEMPSENS_pad)) )) - (net spimem_data_out_29 (joined - (portRef (member spimem_data_out 2) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 2) (instanceRef THE_BUS_HANDLER)) - )) - (net spimem_data_out_30 (joined - (portRef (member spimem_data_out 1) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 1) (instanceRef THE_BUS_HANDLER)) - )) - (net spimem_data_out_6 (joined - (portRef (member spimem_data_out 25) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 25) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_0 (joined - (portRef (member spictrl_data_out 31) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 31) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_3 (joined - (portRef (member spictrl_data_out 28) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 28) (instanceRef THE_BUS_HANDLER)) - )) (net THE_SPI_MASTER_reset_i_1 (joined (portRef reset_iZ0Z_1 (instanceRef THE_SPI_MASTER)) (portRef CD (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_rx_sregio_0)) @@ -950195,50 +953484,102 @@ (portRef (member current_state 2) (instanceRef THE_MEDIA_UPLINK)) (portRef (member current_state 2) (instanceRef THE_ENDPOINT)) )) - (net spimem_data_out_15 (joined - (portRef (member spimem_data_out 16) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 16) (instanceRef THE_BUS_HANDLER)) - )) - (net spictrl_data_out_29 (joined - (portRef (member spictrl_data_out 2) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 2) (instanceRef THE_BUS_HANDLER)) - )) - (net spimem_data_out_5 (joined - (portRef (member spimem_data_out 26) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 26) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_24 (joined + (portRef (member spimem_data_out 7) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 7) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_3 (joined (portRef (member spimem_data_out 28) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 28) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_22 (joined - (portRef (member spictrl_data_out 9) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 9) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_2 (joined + (portRef (member spimem_data_out 29) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 29) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_16 (joined + (portRef (member spictrl_data_out 15) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 15) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_25 (joined + (portRef (member spictrl_data_out 6) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 6) (instanceRef THE_BUS_HANDLER)) )) (net spimem_data_out_18 (joined (portRef (member spimem_data_out 13) (instanceRef THE_SPI_MEMORY)) (portRef (member spimem_data_out 13) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_20 (joined - (portRef (member spimem_data_out 11) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 11) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_6 (joined + (portRef (member spimem_data_out 25) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 25) (instanceRef THE_BUS_HANDLER)) )) - (net spimem_data_out_19 (joined - (portRef (member spimem_data_out 12) (instanceRef THE_SPI_MEMORY)) - (portRef (member spimem_data_out 12) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_28 (joined + (portRef (member spimem_data_out 3) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 3) (instanceRef THE_BUS_HANDLER)) )) - (net spictrl_data_out_11 (joined - (portRef (member spictrl_data_out 20) (instanceRef THE_SPI_MASTER)) - (portRef (member spictrl_data_out 20) (instanceRef THE_BUS_HANDLER)) + (net spimem_data_out_27 (joined + (portRef (member spimem_data_out 4) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 4) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_10 (joined + (portRef (member spimem_data_out 21) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 21) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_14 (joined + (portRef (member spimem_data_out 17) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 17) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_13 (joined + (portRef (member spimem_data_out 18) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 18) (instanceRef THE_BUS_HANDLER)) + )) + (net spimem_data_out_17 (joined + (portRef (member spimem_data_out 14) (instanceRef THE_SPI_MEMORY)) + (portRef (member spimem_data_out 14) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_23 (joined + (portRef (member spictrl_data_out 8) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 8) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_27 (joined + (portRef (member spictrl_data_out 4) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 4) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_26 (joined + (portRef (member spictrl_data_out 5) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 5) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_30 (joined + (portRef (member spictrl_data_out 1) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 1) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_31 (joined + (portRef (member spictrl_data_out 0) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 0) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_9 (joined + (portRef (member spictrl_data_out 22) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 22) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_19 (joined + (portRef (member spictrl_data_out 12) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 12) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_22 (joined + (portRef (member spictrl_data_out 9) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 9) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_5 (joined + (portRef (member spictrl_data_out 26) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 26) (instanceRef THE_BUS_HANDLER)) + )) + (net spictrl_data_out_4 (joined + (portRef (member spictrl_data_out 27) (instanceRef THE_SPI_MASTER)) + (portRef (member spictrl_data_out 27) (instanceRef THE_BUS_HANDLER)) )) (net tx_ena_RNIH02J (joined (portRef tx_ena_RNIH02J (instanceRef THE_SPI_MASTER)) (portRef SP (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_tx_sreg_oregio_7)) )) - (net tx_sreg_RNI6CRO3_6 (joined - (portRef (member tx_sreg_rni6cro3 0) (instanceRef THE_SPI_MASTER)) - (portRef D (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_tx_sreg_oregio_7)) - )) (net THE_TDC_edge_to_pulse_3_signal_sync (joined (portRef signal_sync_0 (instanceRef THE_ENDPOINT)) (portRef signal_sync (instanceRef THE_TDC)) @@ -950256,12 +953597,12 @@ (portRef trb_reset_buffer (instanceRef THE_RESET_HANDLER)) )) (net ctrl_reg_91 (joined - (portRef ctrl_reg_55 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_55 (instanceRef THE_TDC)) + (portRef ctrl_reg_79 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_79 (instanceRef THE_TDC)) )) (net ctrl_reg_95 (joined - (portRef ctrl_reg_59 (instanceRef THE_ENDPOINT)) - (portRef ctrl_reg_59 (instanceRef THE_TDC)) + (portRef ctrl_reg_83 (instanceRef THE_ENDPOINT)) + (portRef ctrl_reg_83 (instanceRef THE_TDC)) )) (net clk_100_i_c (joined (portRef clk_100_i_c (instanceRef THE_MAIN_PLL)) @@ -950279,9 +953620,9 @@ (portRef SCLK (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_rx_sregio_0)) ) ) - (net N_560 (joined - (portRef N_560 (instanceRef THE_MEDIA_UPLINK)) - (portRef N_560 (instanceRef THE_ENDPOINT)) + (net N_484 (joined + (portRef N_484 (instanceRef THE_MEDIA_UPLINK)) + (portRef N_484 (instanceRef THE_ENDPOINT)) )) (net THE_MEDIA_UPLINK_THE_SFP_LSM_N_299 (joined (portRef N_299 (instanceRef THE_MEDIA_UPLINK)) @@ -950291,20 +953632,24 @@ (portRef N_300 (instanceRef THE_MEDIA_UPLINK)) (portRef N_300 (instanceRef THE_ENDPOINT)) )) - (net N_527_i (joined - (portRef N_527_i (instanceRef THE_MEDIA_UPLINK)) + (net N_393 (joined + (portRef N_393 (instanceRef THE_SPI_MASTER)) + (portRef D (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_tx_sreg_oregio_7)) + )) + (net N_454_i (joined + (portRef N_454_i (instanceRef THE_MEDIA_UPLINK)) (portRef I (instanceRef LED_GREEN_pad)) )) - (net N_727_i (joined - (portRef N_727_i (instanceRef THE_SPI_MASTER)) + (net N_633_i (joined + (portRef N_633_i (instanceRef THE_SPI_MASTER)) (portRef SP (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_rx_sregio_0)) )) - (net N_538_i (joined - (portRef N_538_i (instanceRef THE_MEDIA_UPLINK)) - (portRef N_538_i (instanceRef THE_ENDPOINT)) + (net N_465_i (joined + (portRef N_465_i (instanceRef THE_MEDIA_UPLINK)) + (portRef N_465_i (instanceRef THE_ENDPOINT)) )) - (net N_446_i (joined - (portRef N_446_i (instanceRef THE_SPI_MASTER)) + (net N_389_i (joined + (portRef N_389_i (instanceRef THE_SPI_MASTER)) (portRef D (instanceRef THE_SPI_MASTER_THE_SPI_SLIM_spi_sckio)) )) (net THE_SPI_MASTER_THE_SPI_SLIM_THE_STATEMACHINE_un1_clk_en_0_i (joined @@ -950329,9 +953674,12 @@ (portRef reset_i_rep2 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_rep2 (instanceRef THE_ENDPOINT)) (portRef reset_i_rep2 (instanceRef THE_TDC)) - (portRef reset_i_rep2 (instanceRef THE_FPGA_REBOOT)) (portRef reset_i_rep2 (instanceRef THE_SPI_MEMORY)) )) + (net reset_i_rep1_1 (joined + (portRef reset_i_rep1_1 (instanceRef THE_RESET_HANDLER)) + (portRef reset_i_rep1_1 (instanceRef THE_ENDPOINT)) + )) (net reset_i_rep2_1 (joined (portRef reset_i_rep2_1 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_rep2_1 (instanceRef THE_ENDPOINT)) @@ -950339,17 +953687,12 @@ (portRef reset_i_rep2_1 (instanceRef THE_SPI_MASTER)) (portRef reset_i_rep2_1 (instanceRef THE_MEDIA_UPLINK)) )) - (net reset_i_rep1_1 (joined - (portRef reset_i_rep1_1 (instanceRef THE_RESET_HANDLER)) - (portRef reset_i_rep1_1 (instanceRef THE_ENDPOINT)) - )) (net reset_i_fast_1 (joined (portRef reset_i_fast_1 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_fast_1 (instanceRef THE_ENDPOINT)) )) (net reset_i_1 (joined (portRef reset_i_1 (instanceRef THE_RESET_HANDLER)) - (portRef reset_i_1 (instanceRef THE_ENDPOINT)) (portRef reset_i_1 (instanceRef THE_TDC)) )) (net reset_i_2 (joined @@ -950418,18 +953761,17 @@ )) (net reset_i_18 (joined (portRef reset_i_18 (instanceRef THE_RESET_HANDLER)) - (portRef reset_i_18 (instanceRef THE_ENDPOINT)) (portRef reset_i_18 (instanceRef THE_TDC)) - (portRef reset_i_18 (instanceRef THE_SPI_MEMORY)) )) (net reset_i_19 (joined (portRef reset_i_19 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_19 (instanceRef THE_ENDPOINT)) + (portRef reset_i_19 (instanceRef THE_TDC)) + (portRef reset_i_19 (instanceRef THE_SPI_MEMORY)) )) - (net reset_i_1_1 (joined - (portRef reset_i_1_1 (instanceRef THE_RESET_HANDLER)) - (portRef reset_i_1_1 (instanceRef THE_ENDPOINT)) - (portRef reset_i_1_1 (instanceRef THE_TDC)) + (net reset_i_20 (joined + (portRef reset_i_20 (instanceRef THE_RESET_HANDLER)) + (portRef reset_i_20 (instanceRef THE_ENDPOINT)) )) (net SERDES_INT_TX_2 (joined (portRef (member serdes_int_tx 1) (instanceRef THE_MEDIA_UPLINK)) @@ -952369,128 +955711,128 @@ (portRef O (instanceRef TEST_LINE_pad_15)) (portRef (member test_line 0)) )) - (net N_295849_0 (joined - (portRef N_295849_0 (instanceRef THE_TDC)) + (net N_296147_0 (joined + (portRef N_296147_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1803)) )) - (net N_295836_0 (joined - (portRef N_295836_0 (instanceRef THE_TDC)) + (net N_296134_0 (joined + (portRef N_296134_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1794)) )) - (net N_295823_0 (joined - (portRef N_295823_0 (instanceRef THE_TDC)) + (net N_296121_0 (joined + (portRef N_296121_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1785)) )) - (net N_295810_0 (joined - (portRef N_295810_0 (instanceRef THE_TDC)) + (net N_296108_0 (joined + (portRef N_296108_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1776)) )) - (net N_295797_0 (joined - (portRef N_295797_0 (instanceRef THE_TDC)) + (net N_296095_0 (joined + (portRef N_296095_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1767)) )) - (net N_295784_0 (joined - (portRef N_295784_0 (instanceRef THE_TDC)) + (net N_296082_0 (joined + (portRef N_296082_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1758)) )) - (net N_295771_0 (joined - (portRef N_295771_0 (instanceRef THE_TDC)) + (net N_296069_0 (joined + (portRef N_296069_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1749)) )) - (net N_295758_0 (joined - (portRef N_295758_0 (instanceRef THE_TDC)) + (net N_296056_0 (joined + (portRef N_296056_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1740)) )) - (net N_295745_0 (joined - (portRef N_295745_0 (instanceRef THE_TDC)) + (net N_296043_0 (joined + (portRef N_296043_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1731)) )) - (net N_295732_0 (joined - (portRef N_295732_0 (instanceRef THE_TDC)) + (net N_296030_0 (joined + (portRef N_296030_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1722)) )) - (net N_295719_0 (joined - (portRef N_295719_0 (instanceRef THE_TDC)) + (net N_296017_0 (joined + (portRef N_296017_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1713)) )) - (net N_295706_0 (joined - (portRef N_295706_0 (instanceRef THE_TDC)) + (net N_296004_0 (joined + (portRef N_296004_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1704)) )) - (net N_295693_0 (joined - (portRef N_295693_0 (instanceRef THE_TDC)) + (net N_295991_0 (joined + (portRef N_295991_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1695)) )) - (net N_295680_0 (joined - (portRef N_295680_0 (instanceRef THE_TDC)) + (net N_295978_0 (joined + (portRef N_295978_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1686)) )) - (net N_295667_0 (joined - (portRef N_295667_0 (instanceRef THE_TDC)) + (net N_295965_0 (joined + (portRef N_295965_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1677)) )) - (net N_295654_0 (joined - (portRef N_295654_0 (instanceRef THE_TDC)) + (net N_295952_0 (joined + (portRef N_295952_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1668)) )) - (net N_295641_0 (joined - (portRef N_295641_0 (instanceRef THE_TDC)) + (net N_295939_0 (joined + (portRef N_295939_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1659)) )) - (net N_295628_0 (joined - (portRef N_295628_0 (instanceRef THE_TDC)) + (net N_295926_0 (joined + (portRef N_295926_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1650)) )) - (net N_295615_0 (joined - (portRef N_295615_0 (instanceRef THE_TDC)) + (net N_295913_0 (joined + (portRef N_295913_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1641)) )) - (net N_295602_0 (joined - (portRef N_295602_0 (instanceRef THE_TDC)) + (net N_295900_0 (joined + (portRef N_295900_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1632)) )) - (net N_295589_0 (joined - (portRef N_295589_0 (instanceRef THE_TDC)) + (net N_295887_0 (joined + (portRef N_295887_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1623)) )) - (net N_295576_0 (joined - (portRef N_295576_0 (instanceRef THE_TDC)) + (net N_295874_0 (joined + (portRef N_295874_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1614)) )) - (net N_295563_0 (joined - (portRef N_295563_0 (instanceRef THE_TDC)) + (net N_295861_0 (joined + (portRef N_295861_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1605)) )) - (net N_295550_0 (joined - (portRef N_295550_0 (instanceRef THE_TDC)) + (net N_295848_0 (joined + (portRef N_295848_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1596)) )) - (net N_295537_0 (joined - (portRef N_295537_0 (instanceRef THE_TDC)) + (net N_295835_0 (joined + (portRef N_295835_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1587)) )) - (net N_295524_0 (joined - (portRef N_295524_0 (instanceRef THE_TDC)) + (net N_295822_0 (joined + (portRef N_295822_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1578)) )) - (net N_295511_0 (joined - (portRef N_295511_0 (instanceRef THE_TDC)) + (net N_295809_0 (joined + (portRef N_295809_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1569)) )) - (net N_295498_0 (joined - (portRef N_295498_0 (instanceRef THE_TDC)) + (net N_295796_0 (joined + (portRef N_295796_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1560)) )) - (net N_295485_0 (joined - (portRef N_295485_0 (instanceRef THE_TDC)) + (net N_295783_0 (joined + (portRef N_295783_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1551)) )) - (net N_295472_0 (joined - (portRef N_295472_0 (instanceRef THE_TDC)) + (net N_295770_0 (joined + (portRef N_295770_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1542)) )) - (net N_295459_0 (joined - (portRef N_295459_0 (instanceRef THE_TDC)) + (net N_295757_0 (joined + (portRef N_295757_0 (instanceRef THE_TDC)) (portRef SP (instanceRef G_1533)) )) (net INP_c_i_0 (joined @@ -952593,6 +955935,7 @@ (net reset_i_fast_r4 (joined (portRef reset_i_fast_r4 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_fast_r4 (instanceRef THE_ENDPOINT)) + (portRef reset_i_fast_r4 (instanceRef THE_TDC)) )) (net reset_i_fast_r5 (joined (portRef reset_i_fast_r5 (instanceRef THE_RESET_HANDLER)) @@ -952613,13 +955956,12 @@ (net reset_i_fast_r9 (joined (portRef reset_i_fast_r9 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_fast_r9 (instanceRef THE_ENDPOINT)) + (portRef reset_i_fast_r9 (instanceRef THE_BUS_HANDLER)) )) (net reset_i_fast_r10 (joined (portRef reset_i_fast_r10 (instanceRef THE_RESET_HANDLER)) (portRef reset_i_fast_r10 (instanceRef THE_ENDPOINT)) (portRef reset_i_fast_r10 (instanceRef THE_TDC)) - (portRef reset_i_fast_r10 (instanceRef THE_FPGA_REBOOT)) - (portRef reset_i_fast_r10 (instanceRef THE_BUS_HANDLER)) )) (net reset_i_fast_r11 (joined (portRef reset_i_fast_r11 (instanceRef THE_RESET_HANDLER)) @@ -952627,22 +955969,13 @@ )) (net THE_TDC_reset_tdc_rep2_r0 (joined (portRef reset_tdc_rep2_r0 (instanceRef THE_TDC)) - (portRef CD (instanceRef G_1680)) - (portRef CD (instanceRef G_1683)) - (portRef CD (instanceRef G_1788)) - (portRef CD (instanceRef G_1789)) - (portRef CD (instanceRef G_1791)) - (portRef CD (instanceRef G_1792)) - )) - (net THE_TDC_reset_tdc_rep2_r1 (joined - (portRef reset_tdc_rep2_r1 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1527)) (portRef CD (instanceRef G_1528)) (portRef CD (instanceRef G_1530)) (portRef CD (instanceRef G_1531)) )) - (net THE_TDC_reset_tdc_rep2_r2 (joined - (portRef reset_tdc_rep2_r2 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r1 (joined + (portRef reset_tdc_rep2_r1 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1536)) (portRef CD (instanceRef G_1537)) (portRef CD (instanceRef G_1539)) @@ -952652,8 +955985,8 @@ (portRef CD (instanceRef G_1548)) (portRef CD (instanceRef G_1549)) )) - (net THE_TDC_reset_tdc_rep2_r3 (joined - (portRef reset_tdc_rep2_r3 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r2 (joined + (portRef reset_tdc_rep2_r2 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1554)) (portRef CD (instanceRef G_1555)) (portRef CD (instanceRef G_1557)) @@ -952663,8 +955996,8 @@ (portRef CD (instanceRef G_1566)) (portRef CD (instanceRef G_1567)) )) - (net THE_TDC_reset_tdc_rep2_r4 (joined - (portRef reset_tdc_rep2_r4 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r3 (joined + (portRef reset_tdc_rep2_r3 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1572)) (portRef CD (instanceRef G_1573)) (portRef CD (instanceRef G_1575)) @@ -952674,8 +956007,8 @@ (portRef CD (instanceRef G_1584)) (portRef CD (instanceRef G_1585)) )) - (net THE_TDC_reset_tdc_rep2_r5 (joined - (portRef reset_tdc_rep2_r5 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r4 (joined + (portRef reset_tdc_rep2_r4 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1590)) (portRef CD (instanceRef G_1591)) (portRef CD (instanceRef G_1593)) @@ -952685,8 +956018,8 @@ (portRef CD (instanceRef G_1602)) (portRef CD (instanceRef G_1603)) )) - (net THE_TDC_reset_tdc_rep2_r6 (joined - (portRef reset_tdc_rep2_r6 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r5 (joined + (portRef reset_tdc_rep2_r5 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1608)) (portRef CD (instanceRef G_1609)) (portRef CD (instanceRef G_1611)) @@ -952696,105 +956029,111 @@ (portRef CD (instanceRef G_1620)) (portRef CD (instanceRef G_1621)) )) - (net THE_TDC_reset_tdc_rep2_r7 (joined - (portRef reset_tdc_rep2_r7 (instanceRef THE_TDC)) + (net THE_TDC_reset_tdc_rep2_r6 (joined + (portRef reset_tdc_rep2_r6 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1626)) + (portRef CD (instanceRef G_1627)) (portRef CD (instanceRef G_1629)) - )) - (net THE_TDC_reset_tdc_rep2_r8 (joined - (portRef reset_tdc_rep2_r8 (instanceRef THE_TDC)) + (portRef CD (instanceRef G_1630)) (portRef CD (instanceRef G_1635)) (portRef CD (instanceRef G_1636)) (portRef CD (instanceRef G_1638)) (portRef CD (instanceRef G_1639)) + )) + (net THE_TDC_reset_tdc_rep2_r7 (joined + (portRef reset_tdc_rep2_r7 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1644)) (portRef CD (instanceRef G_1645)) (portRef CD (instanceRef G_1647)) (portRef CD (instanceRef G_1648)) - )) - (net THE_TDC_reset_tdc_rep2_r9 (joined - (portRef reset_tdc_rep2_r9 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1653)) (portRef CD (instanceRef G_1654)) (portRef CD (instanceRef G_1656)) (portRef CD (instanceRef G_1657)) + )) + (net THE_TDC_reset_tdc_rep2_r8 (joined + (portRef reset_tdc_rep2_r8 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1662)) (portRef CD (instanceRef G_1663)) (portRef CD (instanceRef G_1665)) (portRef CD (instanceRef G_1666)) - )) - (net THE_TDC_reset_tdc_rep2_r10 (joined - (portRef reset_tdc_rep2_r10 (instanceRef THE_TDC)) - (portRef CD (instanceRef G_1627)) - (portRef CD (instanceRef G_1630)) (portRef CD (instanceRef G_1671)) (portRef CD (instanceRef G_1672)) (portRef CD (instanceRef G_1674)) (portRef CD (instanceRef G_1675)) + )) + (net THE_TDC_reset_tdc_rep2_r9 (joined + (portRef reset_tdc_rep2_r9 (instanceRef THE_TDC)) + (portRef CD (instanceRef G_1680)) (portRef CD (instanceRef G_1681)) + (portRef CD (instanceRef G_1683)) (portRef CD (instanceRef G_1684)) - )) - (net THE_TDC_reset_tdc_rep2_r11 (joined - (portRef reset_tdc_rep2_r11 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1689)) (portRef CD (instanceRef G_1690)) (portRef CD (instanceRef G_1692)) (portRef CD (instanceRef G_1693)) + )) + (net THE_TDC_reset_tdc_rep2_r10 (joined + (portRef reset_tdc_rep2_r10 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1698)) (portRef CD (instanceRef G_1699)) (portRef CD (instanceRef G_1701)) (portRef CD (instanceRef G_1702)) - )) - (net THE_TDC_reset_tdc_rep2_r12 (joined - (portRef reset_tdc_rep2_r12 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1707)) (portRef CD (instanceRef G_1708)) (portRef CD (instanceRef G_1710)) (portRef CD (instanceRef G_1711)) + )) + (net THE_TDC_reset_tdc_rep2_r11 (joined + (portRef reset_tdc_rep2_r11 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1716)) (portRef CD (instanceRef G_1717)) (portRef CD (instanceRef G_1719)) (portRef CD (instanceRef G_1720)) - )) - (net THE_TDC_reset_tdc_rep2_r13 (joined - (portRef reset_tdc_rep2_r13 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1725)) (portRef CD (instanceRef G_1726)) (portRef CD (instanceRef G_1728)) (portRef CD (instanceRef G_1729)) + )) + (net THE_TDC_reset_tdc_rep2_r12 (joined + (portRef reset_tdc_rep2_r12 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1734)) (portRef CD (instanceRef G_1735)) (portRef CD (instanceRef G_1737)) (portRef CD (instanceRef G_1738)) - )) - (net THE_TDC_reset_tdc_rep2_r14 (joined - (portRef reset_tdc_rep2_r14 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1743)) (portRef CD (instanceRef G_1744)) (portRef CD (instanceRef G_1746)) (portRef CD (instanceRef G_1747)) + )) + (net THE_TDC_reset_tdc_rep2_r13 (joined + (portRef reset_tdc_rep2_r13 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1752)) (portRef CD (instanceRef G_1753)) (portRef CD (instanceRef G_1755)) (portRef CD (instanceRef G_1756)) - )) - (net THE_TDC_reset_tdc_rep2_r15 (joined - (portRef reset_tdc_rep2_r15 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1761)) (portRef CD (instanceRef G_1762)) (portRef CD (instanceRef G_1764)) (portRef CD (instanceRef G_1765)) + )) + (net THE_TDC_reset_tdc_rep2_r14 (joined + (portRef reset_tdc_rep2_r14 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1770)) (portRef CD (instanceRef G_1771)) (portRef CD (instanceRef G_1773)) (portRef CD (instanceRef G_1774)) - )) - (net THE_TDC_reset_tdc_rep2_r16 (joined - (portRef reset_tdc_rep2_r16 (instanceRef THE_TDC)) (portRef CD (instanceRef G_1779)) (portRef CD (instanceRef G_1780)) (portRef CD (instanceRef G_1782)) (portRef CD (instanceRef G_1783)) + )) + (net THE_TDC_reset_tdc_rep2_r15 (joined + (portRef reset_tdc_rep2_r15 (instanceRef THE_TDC)) + (portRef CD (instanceRef G_1788)) + (portRef CD (instanceRef G_1789)) + (portRef CD (instanceRef G_1791)) + (portRef CD (instanceRef G_1792)) (portRef CD (instanceRef G_1797)) (portRef CD (instanceRef G_1798)) (portRef CD (instanceRef G_1800)) diff --git a/tdc_releases/tdc_v0.2/source/TDC.vhd b/tdc_releases/tdc_v0.2/source/TDC.vhd index 26786a1..3743543 100644 --- a/tdc_releases/tdc_v0.2/source/TDC.vhd +++ b/tdc_releases/tdc_v0.2/source/TDC.vhd @@ -119,14 +119,6 @@ architecture TDC of TDC is COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0); UP_IN : in std_logic); end component; --- - --component Reset_Generator - -- generic ( - -- RESET_SIGNAL_WIDTH : std_logic_vector(3 downto 0)); - -- port ( - -- CLK_IN : in std_logic; - -- RESET_OUT : out std_logic); - --end component; -- component edge_to_pulse port ( @@ -136,16 +128,15 @@ architecture TDC of TDC is pulse : out std_logic); end component; -- - component signal_sync + component bit_sync generic ( - WIDTH : integer; DEPTH : integer); port ( RESET : in std_logic; CLK0 : in std_logic; CLK1 : in std_logic; - D_IN : in std_logic_vector(WIDTH-1 downto 0); - D_OUT : out std_logic_vector(WIDTH-1 downto 0)); + D_IN : in std_logic; + D_OUT : out std_logic); end component; ------------------------------------------------------------------------------- @@ -165,22 +156,22 @@ architecture TDC of TDC is signal reset_tdc : std_logic := '1'; -- ReadOut Signals - signal trigger_time_i : std_logic_vector(10 downto 0); - signal ref_time_coarse : std_logic_vector(10 downto 0); - signal trg_win_cnt : std_logic_vector(15 downto 0); - signal trg_win_cnt_up_i : std_logic; - signal trg_win_end_i : std_logic; - signal header_error_bits : std_logic_vector(15 downto 0); - signal trailer_error_bits : std_logic_vector(15 downto 0); - - -- FSM Signals + signal trigger_time_i : std_logic_vector(10 downto 0); + signal ref_time_coarse : std_logic_vector(10 downto 0); + signal trg_win_cnt : std_logic_vector(15 downto 0); + signal trg_win_cnt_up_i : std_logic; + signal trg_win_end_i : std_logic; + signal header_error_bits : std_logic_vector(15 downto 0); + signal trailer_error_bits : std_logic_vector(15 downto 0); + signal valid_timing_trg_200 : std_logic; + signal valid_timing_trg_pulse_200 : std_logic; +-- FSM Signals type FSM is (IDLE, WAIT_FOR_TRG_WIND_END, WAIT_FOR_LVL1_TRG_A, WAIT_FOR_LVL1_TRG_B, WAIT_FOR_LVL1_TRG_C, SEND_STATUS, SEND_TRG_RELEASE_A, SEND_TRG_RELEASE_B, WAIT_FOR_FIFO_NR_A, WAIT_FOR_FIFO_NR_B, WAIT_FOR_FIFO_NR_C, WR_HEADER, APPLY_MASK, RD_CHANNEL_A, RD_CHANNEL_B, RD_CHANNEL_C); - signal FSM_CURRENT, FSM_NEXT : FSM; signal fsm_debug_fsm : std_logic_vector(7 downto 0); signal start_trg_win_cnt_i : std_logic; @@ -207,45 +198,37 @@ architecture TDC of TDC is signal wr_trailer_i : std_logic; -- Other Signals - signal fifo_full_i : std_logic; - signal mask_i : std_logic_vector(CHANNEL_NUMBER downto 0); - signal fifo_nr : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; - signal fifo_nr_next : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; - - signal TW_pre : std_logic_vector(10 downto 0); - signal TW_post : std_logic_vector(10 downto 0); - signal channel_hit_time : std_logic_vector(10 downto 0); - signal trg_win_l : std_logic; - signal trg_win_r : std_logic; --- + signal fifo_full_i : std_logic; + signal mask_i : std_logic_vector(CHANNEL_NUMBER downto 0); + signal fifo_nr : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; + signal fifo_nr_next : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; + signal TW_pre : std_logic_vector(10 downto 0); + signal TW_post : std_logic_vector(10 downto 0); + signal channel_hit_time : std_logic_vector(10 downto 0); + signal trg_win_l : std_logic; + signal trg_win_r : std_logic; type Std_Logic_8_array is array (0 to (CHANNEL_NUMBER/8-1)) of std_logic_vector(3 downto 0); - signal fifo_nr_hex : Std_Logic_8_array; --- - signal coarse_cnt : std_logic_vector(10 downto 0); - signal channel_full_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_2reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_3reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); - signal channel_empty_4reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); --- + signal fifo_nr_hex : Std_Logic_8_array; + signal coarse_cnt : std_logic_vector(10 downto 0); + signal reset_coarse_cnt : std_logic; + signal channel_full_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_empty_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_empty_reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); type channel_data_array is array (0 to CHANNEL_NUMBER) of std_logic_vector(31 downto 0); - signal channel_data_i : channel_data_array; - signal channel_data_reg : channel_data_array; - signal channel_data_2reg : channel_data_array; - signal channel_data_3reg : channel_data_array; - signal channel_data_4reg : channel_data_array; --- - signal hit_in_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_data_i : channel_data_array; + signal channel_data_reg : channel_data_array; + signal hit_in_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); -------------------------------------------------------------------------------- -- Slow Control Signals -------------------------------------------------------------------------------- - signal ch_en_i : std_logic_vector(63 downto 0); + signal ch_en_i : std_logic_vector(63 downto 0); + signal trigger_win_en : std_logic; + signal readout_trigger_mode : std_logic := '1'; -- readout trigger + -- 1: with trigger + -- 0: triggerless + signal readout_trigger_mode_200 : std_logic := '1'; -- trigger mode signal synchronised to the coarse counter clk + signal logic_anal_control : std_logic_vector(3 downto 0); -------------------------------------------------------------------------------- -- Statistics Signals -------------------------------------------------------------------------------- type statistics_array_12 is array (1 to CHANNEL_NUMBER-1) of std_logic_vector(11 downto 0); type statistics_array_24 is array (1 to CHANNEL_NUMBER-1) of std_logic_vector(23 downto 0); signal trig_number : std_logic_vector(23 downto 0); @@ -278,27 +261,23 @@ architecture TDC of TDC is signal channel_encoder_start_number : statistics_array_24; signal stop_status_i : std_logic; -------------------------------------------------------------------------------- --- test signals -------------------------------------------------------------------------------- +-- Test signals signal ref_debug_i : std_logic_vector(31 downto 0); type channel_debug_array is array (1 to CHANNEL_NUMBER-1) of std_logic_vector(31 downto 0); signal channel_debug_01_i : channel_debug_array; --- signal fsm_state_reg : std_logic_vector(31 downto 0); - signal control_reg_200 : std_logic_vector(3 downto 0); ------------------------------------------------------------------------------- - + begin ------------------------------------------------------------------------------- +-- Slow control signals +------------------------------------------------------------------------------- + ch_en_i <= CONTROL_REG_IN(3*32+31 downto 2*32+0); + trigger_win_en <= CONTROL_REG_IN(1*32+31); + readout_trigger_mode <= CONTROL_REG_IN(12); + logic_anal_control <= CONTROL_REG_IN(3 downto 0); +------------------------------------------------------------------------------- -- The Reset Signal Genaration (Synchronous with the fine time clock) ------------------------------------------------------------------------------- - --The_Reset_Generator : Reset_Generator - -- generic map ( - -- RESET_SIGNAL_WIDTH => x"F") - -- port map ( - -- CLK_IN => CLK_TDC, - -- RESET_OUT => reset_tdc); - Reset_Generator_200 : process (CLK_TDC) begin if rising_edge(CLK_TDC) then @@ -334,7 +313,6 @@ begin GEN_Channel_Enable : for i in 1 to CHANNEL_NUMBER-1 generate hit_in_i(i) <= HIT_IN(i) and ch_en_i(i); end generate GEN_Channel_Enable; - ch_en_i <= CONTROL_REG_IN(3*32+31 downto 2*32+0); -- Channels GEN_Channels : for i in 1 to CHANNEL_NUMBER - 1 generate @@ -365,14 +343,62 @@ begin NUMBER_OF_BITS => 11) port map ( CLK => CLK_TDC, - RESET => reset_tdc, + RESET => reset_coarse_cnt, COUNT_OUT => coarse_cnt, UP_IN => '1'); + -- Trigger mode control register synchronised to the coarse counter clk + Readout_trigger_mode_sync: bit_sync + generic map ( + DEPTH => 3) + port map ( + RESET => reset_tdc, + CLK0 => CLK_READOUT, + CLK1 => CLK_TDC, + D_IN => readout_trigger_mode, + D_OUT => readout_trigger_mode_200); + + Valid_timing_trigger_sync: bit_sync + generic map ( + DEPTH => 3) + port map ( + RESET => reset_tdc, + CLK0 => CLK_READOUT, + CLK1 => CLK_TDC, + D_IN => VALID_TIMING_TRG_IN, + D_OUT => valid_timing_trg_200); + + Valid_timing_trigger_pulse : edge_to_pulse + port map ( + clock => CLK_TDC, + en_clk => '1', + signal_in => valid_timing_trg_200, + pulse => valid_timing_trg_pulse_200); + + + ------------------------------------------------------------------------------- -- READOUT ------------------------------------------------------------------------------- +-- Coarse counter reset + -- purpose: If the timing trigger is valid, the coarse time of the reference + + Coarse_Counter_Reset : process (CLK_TDC, reset_tdc) + begin + if rising_edge(CLK_TDC) then + if reset_tdc = '1' then + reset_coarse_cnt <= '1'; + elsif readout_trigger_mode_200 = '1' then + reset_coarse_cnt <= '0'; + elsif valid_timing_trg_pulse_200 = '1' then + reset_coarse_cnt <= '1'; + else + reset_coarse_cnt <= '0'; + end if; + end if; + end process Coarse_Counter_Reset; + -- Reference Time (Coarse) -- purpose: If the timing trigger is valid, the coarse time of the reference @@ -421,7 +447,6 @@ begin if RESET = '1' then TW_pre <= (others => '0'); TW_post <= (others => '0'); - --channel_hit_time <= (others => '0'); else TW_pre <= ref_time_coarse - TRG_WIN_PRE; TW_post <= ref_time_coarse + TRG_WIN_POST; @@ -503,14 +528,14 @@ begin fifo_nr_next <= conv_integer("00010" & fifo_nr_hex(2)(2 downto 0)); elsif fifo_nr_hex(3)(3) /= '1' then fifo_nr_next <= conv_integer("00011" & fifo_nr_hex(3)(2 downto 0)); - --elsif fifo_nr_hex(4)(3) /= '1' then - -- fifo_nr_next <= conv_integer("00100" & fifo_nr_hex(4)(2 downto 0)); - --elsif fifo_nr_hex(5)(3) /= '1' then - -- fifo_nr_next <= conv_integer("00101" & fifo_nr_hex(5)(2 downto 0)); - --elsif fifo_nr_hex(6)(3) /= '1' then - -- fifo_nr_next <= conv_integer("00110" & fifo_nr_hex(6)(2 downto 0)); - --elsif fifo_nr_hex(7)(3) /= '1' then - -- fifo_nr_next <= conv_integer("00111" & fifo_nr_hex(7)(2 downto 0)); + --elsif fifo_nr_hex(4)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00100" & fifo_nr_hex(4)(2 downto 0)); + --elsif fifo_nr_hex(5)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00101" & fifo_nr_hex(5)(2 downto 0)); + --elsif fifo_nr_hex(6)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00110" & fifo_nr_hex(6)(2 downto 0)); + --elsif fifo_nr_hex(7)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00111" & fifo_nr_hex(7)(2 downto 0)); else fifo_nr_next <= CHANNEL_NUMBER; end if; @@ -537,35 +562,35 @@ begin begin if rising_edge(CLK_READOUT) then if RESET = '1' then - data_out_reg <= (others => '1'); - data_wr_reg <= '0'; + data_out_reg <= (others => '1'); + data_wr_reg <= '0'; + stop_status_i <= '0'; else if wr_header_i = '1' then - data_out_reg <= "001" & "0000000000000" & header_error_bits; - data_wr_reg <= '1'; - elsif wr_ch_data_reg = '1' and CONTROL_REG_IN(1*32+31) = '1' then + data_out_reg <= "001" & "0000000000000" & header_error_bits; + data_wr_reg <= '1'; + stop_status_i <= '0'; + elsif wr_ch_data_reg = '1' and trigger_win_en = '1' then if (TW_pre(10) = '1' and ref_time_coarse(10) = '0') or (TW_post(10) = '0' and ref_time_coarse(10) = '1') then if (trg_win_l = '0' and trg_win_r = '1') or (trg_win_l = '1' and trg_win_r = '0') then --- data_out_reg <= "1000" & channel_data_i(fifo_nr)(27 downto 0); data_out_reg <= channel_data_reg(fifo_nr); data_wr_reg <= '1'; else --- data_out_reg <= (others => '1'); data_wr_reg <= '0'; end if; else if (trg_win_l = '1' and trg_win_r = '1') then --- data_out_reg <= "1000" & channel_data_i(fifo_nr)(27 downto 0); data_out_reg <= channel_data_reg(fifo_nr); data_wr_reg <= '1'; else --- data_out_reg <= (others => '1'); data_wr_reg <= '0'; end if; end if; - elsif wr_ch_data_reg = '1' and CONTROL_REG_IN(1*32+31) = '0' then - data_out_reg <= "1000" & channel_data_reg(fifo_nr)(27 downto 0); - data_wr_reg <= '1'; + stop_status_i <= '0'; + elsif wr_ch_data_reg = '1' and trigger_win_en = '0' then + data_out_reg <= "1000" & channel_data_reg(fifo_nr)(27 downto 0); + data_wr_reg <= '1'; + stop_status_i <= '0'; elsif wr_status_i = '1' then case i is when 0 => data_out_reg <= "010" & "00000" & valid_tmg_trig_number; @@ -585,11 +610,13 @@ begin data_wr_reg <= '1'; i := i+1; elsif wr_trailer_i = '1' then - data_out_reg <= "011" & "0000000000000" & trailer_error_bits; - data_wr_reg <= '1'; + data_out_reg <= "011" & "0000000000000" & trailer_error_bits; + data_wr_reg <= '1'; + stop_status_i <= '0'; else -- data_out_reg <= (others => '1'); - data_wr_reg <= '0'; + data_wr_reg <= '0'; + stop_status_i <= '0'; end if; end if; end if; @@ -608,23 +635,11 @@ begin begin if rising_edge(CLK_READOUT) then if RESET = '1' then - channel_data_reg <= (others => x"00000000"); - channel_data_2reg <= (others => x"00000000"); - channel_data_3reg <= (others => x"00000000"); - channel_data_4reg <= (others => x"00000000"); - channel_empty_reg <= (others => '0'); - channel_empty_2reg <= (others => '0'); - channel_empty_3reg <= (others => '0'); - channel_empty_4reg <= (others => '0'); + channel_data_reg <= (others => x"00000000"); + channel_empty_reg <= (others => '0'); else - channel_data_reg <= channel_data_i; - channel_data_2reg <= channel_data_reg; - channel_data_3reg <= channel_data_2reg; - channel_data_4reg <= channel_data_3reg; - channel_empty_reg <= channel_empty_i; - channel_empty_2reg <= channel_empty_reg; - channel_empty_3reg <= channel_empty_2reg; - channel_empty_4reg <= channel_empty_3reg; + channel_data_reg <= channel_data_i; + channel_empty_reg <= channel_empty_i; end if; end if; end process Delay_Channel_Data; @@ -721,7 +736,7 @@ begin idle_fsm <= '1'; -- when WAIT_FOR_TRG_WIND_END => - if trg_win_end_i = '1' then --or CONTROL_REG_IN(1*32+31) = '0' then + if trg_win_end_i = '1' then FSM_NEXT <= WR_HEADER; fsm_debug_fsm <= x"06"; else @@ -743,12 +758,6 @@ begin fsm_debug_fsm <= x"0A"; wait_fsm <= '1'; - --when WAIT_FOR_FIFO_NR_B => - -- FSM_NEXT <= WAIT_FOR_FIFO_NR_C; - -- updt_mask_fsm <= '1'; - -- fsm_debug_fsm <= x"0B"; - -- wait_fsm <= '1'; - when WAIT_FOR_FIFO_NR_B => FSM_NEXT <= APPLY_MASK; fsm_debug_fsm <= x"0C"; @@ -781,7 +790,7 @@ begin when RD_CHANNEL_C => if channel_empty_reg(fifo_nr) = '1' then - FSM_NEXT <= WAIT_FOR_FIFO_NR_B; -- APPLY_MASK; + FSM_NEXT <= WAIT_FOR_FIFO_NR_B; wr_ch_data_fsm <= '0'; updt_index_fsm <= '1'; fsm_debug_fsm <= x"11"; @@ -862,8 +871,8 @@ begin -- Information bits sent after a status trigger -- <= lost_hits_nr_i; -- total number of lost hits. - fifo_full_i <= --channel_full_i(15) or channel_full_i(14) or channel_full_i(13) or channel_full_i(12) or - --channel_full_i(11) or channel_full_i(10) or channel_full_i(9) or channel_full_i(8) or + fifo_full_i <= channel_full_i(15) or channel_full_i(14) or channel_full_i(13) or channel_full_i(12) or + channel_full_i(11) or channel_full_i(10) or channel_full_i(9) or channel_full_i(8) or channel_full_i(7) or channel_full_i(6) or channel_full_i(5) or channel_full_i(4) or channel_full_i(3) or channel_full_i(2) or channel_full_i(1) or channel_full_i(0); @@ -1061,25 +1070,13 @@ begin ------------------------------------------------------------------------------- -- Logic Analyser Signals ------------------------------------------------------------------------------- - signal_sync_1 : signal_sync - generic map ( - WIDTH => 4, - DEPTH => 4) - port map ( - RESET => reset_tdc, - CLK0 => CLK_READOUT, - CLK1 => CLK_TDC, - D_IN => CONTROL_REG_IN(3 downto 0), - D_OUT => control_reg_200); - - -- Logic Analyser and Test Signals --REG_LOGIC_ANALYSER_OUTPUT : process (CLK_READOUT, RESET) --begin -- if rising_edge(CLK_READOUT) then -- if RESET = '1' then -- logic_analyser_reg <= (others => '0'); - -- elsif CONTROL_REG_IN(3 downto 0) = x"1" then TRBNET connections debugging + -- elsif logic_anal_control = x"1" then TRBNET connections debugging -- logic_analyser_reg(7 downto 0) <= fsm_debug_reg; -- logic_analyser_reg(8) <= REFERENCE_TIME; -- logic_analyser_reg(9) <= VALID_TIMING_TRG_IN; @@ -1089,18 +1086,18 @@ begin -- logic_analyser_reg(13) <= data_wr_reg; -- logic_analyser_reg(14) <= data_finished_reg; -- logic_analyser_reg(15) <= trg_release_reg; - -- elsif CONTROL_REG_IN(3 downto 0) = x"2" then Reference channel debugging + -- elsif logic_anal_control = x"2" then Reference channel debugging -- logic_analyser_reg <= ref_debug_i(15 downto 0); - -- elsif CONTROL_REG_IN(3 downto 0) = x"3" then Hit input debugging + -- elsif logic_anal_control = x"3" then Hit input debugging -- logic_analyser_reg(7 downto 1) <= HIT_IN(7 downto 1); - -- elsif CONTROL_REG_IN(3 downto 0) = x"4" then -- Hit input debugging + -- elsif logic_anal_control = x"4" then -- Hit input debugging -- logic_analyser_reg(15 downto 0) <= HIT_IN(31 downto 16); - -- elsif CONTROL_REG_IN(3 downto 0) = x"5" then -- Hit input debugging + -- elsif logic_anal_control = x"5" then -- Hit input debugging -- logic_analyser_reg(15 downto 0) <= HIT_IN(47 downto 32); - -- elsif CONTROL_REG_IN(3 downto 0) = x"6" then -- Hit input debugging + -- elsif logic_anal_control = x"6" then -- Hit input debugging -- logic_analyser_reg(15 downto 0) <= HIT_IN(63 downto 48); -- logic_analyser_reg(15 downto 7) <= (others => '0'); - -- elsif CONTROL_REG_IN(3 downto 0) = x"7" then Data out + -- elsif logic_anal_control = x"7" then Data out -- logic_analyser_reg(7 downto 0) <= fsm_debug_reg; -- logic_analyser_reg(8) <= REFERENCE_TIME; -- logic_analyser_reg(13) <= data_wr_reg; @@ -1108,7 +1105,7 @@ begin -- logic_analyser_reg(14) <= data_out_reg(26); -- logic_analyser_reg(15) <= RESET; - -- elsif CONTROL_REG_IN(3 downto 0) = x"8" then Data out + -- elsif logic_anal_control = x"8" then Data out -- logic_analyser_reg(0) <= HIT_IN(2); -- logic_analyser_reg(1) <= CLK_TDC; -- logic_analyser_reg(2) <= channel_debug_01_i(2)(1); encoder_start @@ -1120,7 +1117,7 @@ begin -- logic_analyser_reg(13) <= data_wr_reg; -- logic_analyser_reg(15) <= RESET; - -- elsif CONTROL_REG_IN(3 downto 0) = x"9" then Data out + -- elsif logic_anal_control = x"9" then Data out -- logic_analyser_reg(0) <= HIT_IN(3); -- logic_analyser_reg(1) <= CLK_TDC; -- logic_analyser_reg(2) <= channel_debug_01_i(3)(1); encoder_start @@ -1136,14 +1133,14 @@ begin -- end if; --end process REG_LOGIC_ANALYSER_OUTPUT; - + -- REG_LOGIC_ANALYSER_OUTPUT : process (CLK_TDC, reset_tdc) -- begin -- if rising_edge(CLK_TDC) then -- if reset_tdc = '1' then -- logic_analyser_reg <= (others => '0'); -- logic_analyser_2reg <= (others => '0'); --- elsif CONTROL_REG_IN(3 downto 0) = x"1" then --TRBNET connections debugging +-- elsif logic_anal_control = x"1" then --TRBNET connections debugging -- logic_analyser_reg(0) <= HIT_IN(3); -- logic_analyser_reg(1) <= RESET; -- logic_analyser_reg(2) <= channel_debug_01_i(3)(1); --encoder_start @@ -1167,10 +1164,9 @@ begin ------------------------------------------------------------------------------- -- STATUS REGISTERS ------------------------------------------------------------------------------- -------------------------------------------------------------------------------- + -- Register 0x80 -------------------------------------------------------------------------------- - TDC_DEBUG(7 downto 0) <= fsm_debug_reg; + TDC_DEBUG(7 downto 0) <= fsm_debug_reg; -- -- TDC_DEBUG(15 downto 8) <= -- @@ -1179,85 +1175,65 @@ begin -- TDC_DEBUG(27 downto 24) <= -- -- TDC_DEBUG(31 downto 28) <= -------------------------------------------------------------------------------- + -- Register 0x81 -------------------------------------------------------------------------------- TDC_DEBUG(1*32+CHANNEL_NUMBER-1 downto 1*32+0) <= channel_empty_i; -------------------------------------------------------------------------------- + -- Register 0x82 -------------------------------------------------------------------------------- -- TDC_DEBUG(2*32+7 downto 2*32+0) <= channel_empty_i(63 downto 32); -------------------------------------------------------------------------------- + -- Register 0x83 -------------------------------------------------------------------------------- - TDC_DEBUG(3*32+31 downto 3*32+0) <= "00000" & TRG_WIN_POST & "00000" & TRG_WIN_PRE; -------------------------------------------------------------------------------- + TDC_DEBUG(3*32+31 downto 3*32+0) <= "00000" & TRG_WIN_POST & "00000" & TRG_WIN_PRE; + -- Register 0x84 -------------------------------------------------------------------------------- - TDC_DEBUG(4*32+23 downto 4*32+0) <= trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(4*32+23 downto 4*32+0) <= trig_number; + -- Register 0x85 -------------------------------------------------------------------------------- - TDC_DEBUG(5*32+23 downto 5*32+0) <= valid_tmg_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(5*32+23 downto 5*32+0) <= valid_tmg_trig_number; + -- Register 0x86 -------------------------------------------------------------------------------- - TDC_DEBUG(6*32+23 downto 6*32+0) <= valid_NOtmg_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(6*32+23 downto 6*32+0) <= valid_NOtmg_trig_number; + -- Register 0x87 -------------------------------------------------------------------------------- - TDC_DEBUG(7*32+23 downto 7*32+0) <= invalid_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(7*32+23 downto 7*32+0) <= invalid_trig_number; + -- Register 0x88 -------------------------------------------------------------------------------- - TDC_DEBUG(8*32+23 downto 8*32+0) <= multi_tmg_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(8*32+23 downto 8*32+0) <= multi_tmg_trig_number; + -- Register 0x89 -------------------------------------------------------------------------------- - TDC_DEBUG(9*32+23 downto 9*32+0) <= spurious_trig_number; -------------------------------------------------------------------------------- + TDC_DEBUG(9*32+23 downto 9*32+0) <= spurious_trig_number; + -- Register 0x8a -------------------------------------------------------------------------------- - TDC_DEBUG(10*32+23 downto 10*32+0) <= wrong_readout_number; -------------------------------------------------------------------------------- + TDC_DEBUG(10*32+23 downto 10*32+0) <= wrong_readout_number; + -- Register 0x8b -------------------------------------------------------------------------------- - TDC_DEBUG(11*32+23 downto 11*32+0) <= spike_number; -------------------------------------------------------------------------------- + TDC_DEBUG(11*32+23 downto 11*32+0) <= spike_number; + -- Register 0x8c -------------------------------------------------------------------------------- - TDC_DEBUG(12*32+23 downto 12*32+0) <= idle_time; -------------------------------------------------------------------------------- + TDC_DEBUG(12*32+23 downto 12*32+0) <= idle_time; + -- Register 0x8d -------------------------------------------------------------------------------- - TDC_DEBUG(13*32+23 downto 13*32+0) <= wait_time; -------------------------------------------------------------------------------- + TDC_DEBUG(13*32+23 downto 13*32+0) <= wait_time; + -- Register 0x8e -------------------------------------------------------------------------------- - TDC_DEBUG(14*32+23 downto 14*32+0) <= total_empty_channel; -------------------------------------------------------------------------------- + TDC_DEBUG(14*32+23 downto 14*32+0) <= total_empty_channel; + -- Register 0x8f -------------------------------------------------------------------------------- - TDC_DEBUG(15*32+23 downto 15*32+0) <= channel_lost_hits(3); -------------------------------------------------------------------------------- + TDC_DEBUG(15*32+23 downto 15*32+0) <= channel_lost_hits(3); + -- Register 0x90 -------------------------------------------------------------------------------- - TDC_DEBUG(16*32+23 downto 16*32+0) <= channel_measurement(3); -------------------------------------------------------------------------------- + TDC_DEBUG(16*32+23 downto 16*32+0) <= channel_measurement(3); + -- Register 0x91 -------------------------------------------------------------------------------- - TDC_DEBUG(17*32+23 downto 17*32+0) <= channel_encoder_start_number(3); -------------------------------------------------------------------------------- + TDC_DEBUG(17*32+23 downto 17*32+0) <= channel_encoder_start_number(3); + -- Register 0x92 -------------------------------------------------------------------------------- - TDC_DEBUG(18*32+23 downto 18*32+0) <= channel_lost_hits(2); -------------------------------------------------------------------------------- + TDC_DEBUG(18*32+23 downto 18*32+0) <= channel_lost_hits(2); + -- Register 0x93 -------------------------------------------------------------------------------- - TDC_DEBUG(19*32+23 downto 19*32+0) <= channel_measurement(2); -------------------------------------------------------------------------------- + TDC_DEBUG(19*32+23 downto 19*32+0) <= channel_measurement(2); + -- Register 0x94 -------------------------------------------------------------------------------- - TDC_DEBUG(20*32+23 downto 20*32+0) <= channel_encoder_start_number(2); + TDC_DEBUG(20*32+23 downto 20*32+0) <= channel_encoder_start_number(2); end TDC;