From: Ingo Froehlich Date: Thu, 1 Feb 2018 17:21:00 +0000 (+0100) Subject: Longer delay lines, IF X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=2ae63e355e6401526ae42581e7693f2f8c61548f;p=padiwa.git Longer delay lines, IF --- diff --git a/amps2/padiwa_amps2.prj b/amps2/padiwa_amps2.prj index 745b9d9..1ac8a31 100644 --- a/amps2/padiwa_amps2.prj +++ b/amps2/padiwa_amps2.prj @@ -33,7 +33,7 @@ add_file -vhdl -lib work "../source/Amps2_TempSensor_UID.vhd" add_file -vhdl -lib work "../../vhdlbasics/machxo3/flash/flashram.vhd" add_file -vhdl -lib work "../../vhdlbasics/machxo3/flash/flash.vhd" add_file -verilog -lib work "../../vhdlbasics/machxo3/flash/efb_define_def.v" -add_file -verilog -lib work "../../vhdlbasics/machxo3/flash/UFM_WB.v" +add_file -verilog -lib work "../../vhdlbasics/machxo3/flash/UFM_WB_16bit.v" add_file -vhdl -lib work "../../vhdlbasics/machxo3/flash/generic_flash_ctrl.vhd" diff --git a/amps2/padiwa_amps2.vhd b/amps2/padiwa_amps2.vhd index 08ba7ec..9d40c33 100644 --- a/amps2/padiwa_amps2.vhd +++ b/amps2/padiwa_amps2.vhd @@ -41,7 +41,7 @@ end entity; architecture arch of padiwa_amps2 is - constant DELAYDEPTH : integer := 16; + constant DELAYDEPTH : integer := 64; attribute NOM_FREQ : string; attribute NOM_FREQ of clk_source : label is "133.00"; @@ -130,9 +130,9 @@ architecture arch of padiwa_amps2 is signal temperature_i_s : std_logic_vector(11 downto 0); signal comp_setting_s : std_logic_vector(15 downto 0); - signal delayed_inputs : std_logic_vector(127 downto 0); + signal delayed_inputs : std_logic_vector(511 downto 0); signal selected_delay : std_logic_vector(8 downto 1); - signal delayselect : integer range 0 to 15; + signal delayselect : integer range 0 to 63; component OSCH @@ -314,6 +314,7 @@ THE_IO_REG : process begin when x"30" => spi_tx_data <= std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,16)); when x"31" => spi_tx_data <= std_logic_vector(to_unsigned(VERSION_NUMBER_TIME/2**16,16)); when x"32" => spi_tx_data <= x"0000"; + when x"ff" => spi_tx_data <= x"0200"; --version when others => null; end case; end if; diff --git a/pinout/padiwa_amps2.lpf b/pinout/padiwa_amps2.lpf index b3bfbc1..92b1ef4 100644 --- a/pinout/padiwa_amps2.lpf +++ b/pinout/padiwa_amps2.lpf @@ -148,12 +148,12 @@ LOCATE COMP "TMP_ALERT" SITE "A8"; IOBUF PORT "TMP_ALERT" IO_TYPE=LVTTL33 PULLMODE=UP; -UGROUP "StretchA" BBOX 1 16 +UGROUP "StretchA" BBOX 1 32 BLKNAME THE_STRETCHER/Stretcher_A_1 ; LOCATE UGROUP "StretchA" SITE "R25C6"; -UGROUP "StretchB" BBOX 1 16 +UGROUP "StretchB" BBOX 1 32 BLKNAME THE_STRETCHER/Stretcher_B_1 ; LOCATE UGROUP "StretchB" SITE "R2C6"; diff --git a/source/Stretcher.vhd b/source/Stretcher.vhd index da8fe70..861b06e 100644 --- a/source/Stretcher.vhd +++ b/source/Stretcher.vhd @@ -5,7 +5,7 @@ -- File : Stretcher.vhd -- Author : cugur@gsi.de -- Created : 2012-11-07 --- Last update: 2016-01-20 +-- Last update: 2018-02-01 ------------------------------------------------------------------------------- -- Description: Jan's counting ------------------------------------------------------------------------------- @@ -18,7 +18,7 @@ use ieee.numeric_std.all; entity Stretcher is generic ( CHANNEL : integer range 1 to 64 := 1; - DEPTH : integer range 1 to 32 := 3); + DEPTH : integer range 1 to 64 := 3); port ( PULSE_IN : in std_logic_vector(CHANNEL-1 downto 0); PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 0)); diff --git a/source/Stretcher_A.vhd b/source/Stretcher_A.vhd index 335c123..525ec65 100644 --- a/source/Stretcher_A.vhd +++ b/source/Stretcher_A.vhd @@ -5,7 +5,7 @@ -- File : Stretcher_A.vhd -- Author : Cahit Ugur -- Created : 2014-11-24 --- Last update: 2016-01-20 +-- Last update: 2018-02-01 ------------------------------------------------------------------------------- -- Description: Jan's counting ------------------------------------------------------------------------------- @@ -23,7 +23,7 @@ use ieee.numeric_std.all; entity Stretcher_A is generic ( CHANNEL : integer range 1 to 64; - DEPTH : integer range 1 to 32 := 3); + DEPTH : integer range 1 to 64 := 3); port ( PULSE_IN : in std_logic_vector(CHANNEL*DEPTH-1 downto 0); PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 0)); diff --git a/source/Stretcher_B.vhd b/source/Stretcher_B.vhd index a2d01aa..842d333 100644 --- a/source/Stretcher_B.vhd +++ b/source/Stretcher_B.vhd @@ -5,7 +5,7 @@ -- File : Stretcher_B.vhd -- Author : Cahit Ugur -- Created : 2014-11-24 --- Last update: 2016-01-20 +-- Last update: 2018-02-01 ------------------------------------------------------------------------------- -- Description: Jan's counting ------------------------------------------------------------------------------- @@ -23,7 +23,7 @@ use ieee.numeric_std.all; entity Stretcher_B is generic ( CHANNEL : integer range 1 to 64; - DEPTH : integer range 1 to 32 := 3); + DEPTH : integer range 1 to 64 := 3); port ( PULSE_IN : in std_logic_vector(CHANNEL*DEPTH-1 downto 0); PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 0));