From: Cahit Date: Fri, 21 Aug 2015 11:53:33 +0000 (+0200) Subject: updated tdc release number X-Git-Tag: v2.3~71 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=2cb54e200e9530ef1bc9dd08b3a98f5735b8c600;p=tdc.git updated tdc release number --- diff --git a/releases/tdc_v2.1.6/tdc_version.vhd b/releases/tdc_v2.1.6/tdc_version.vhd index 640e6b1..c678392 100644 --- a/releases/tdc_v2.1.6/tdc_version.vhd +++ b/releases/tdc_v2.1.6/tdc_version.vhd @@ -4,6 +4,6 @@ use ieee.numeric_std.all; package tdc_version is - constant TDC_VERSION : std_logic_vector(11 downto 0) := x"215"; + constant TDC_VERSION : std_logic_vector(11 downto 0) := x"216"; end;