From: Jan Michel Date: Fri, 5 Feb 2016 10:02:46 +0000 (+0100) Subject: first working code for Logicbox X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=33bc3396f42e0847f06c3d6a255ab44030349c4b;p=logicbox.git first working code for Logicbox --- diff --git a/code/uart_sctrl.vhd b/code/uart_sctrl.vhd new file mode 100644 index 0000000..9cb8d05 --- /dev/null +++ b/code/uart_sctrl.vhd @@ -0,0 +1,195 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.trb_net_std.all; +use work.version.all; + +library machxo2; +use machxo2.all; + + +entity uart_sctrl is + generic( + CLOCK_SPEED : integer := 33250000 + ); + port( + CLK : in std_logic; + RESET : in std_logic; + UART_RX : in std_logic; + UART_TX : out std_logic; + + DATA_OUT : out std_logic_vector(31 downto 0); + DATA_IN : in std_logic_vector(31 downto 0); + ADDR_OUT : out std_logic_vector(7 downto 0); + WRITE_OUT : out std_logic; + READ_OUT : out std_logic; + READY_IN : in std_logic; + + DEBUG : out std_logic_vector(15 downto 0) + ); +end entity; + + +architecture uart_sctrl_arch of uart_sctrl is + +constant CLK_DIV : integer := CLOCK_SPEED/115200; + +signal rx_data : std_logic_vector(7 downto 0); +signal tx_data : std_logic_vector(7 downto 0); +signal rx_ready : std_logic; +signal tx_send : std_logic; +signal tx_ready : std_logic; +signal bytecount : integer range 0 to 15; +type rx_state_t is (IDLE,START,START2,DO_COMMAND,DO_READ,SEND_BYTE1,SEND_BYTE2,SEND_BYTE3,SEND_TERM,SEND_FINISH); +signal state : rx_state_t; +signal addr_data : std_logic_vector(39 downto 0); + +signal timer : unsigned(25 downto 0) := (others => '0'); +signal timeout : std_logic := '0'; +signal cmd_wr : std_logic := '0'; +signal cmd_rd : std_logic := '0'; + +begin + + +THE_RX : entity work.uart_rec + port map( + CLK_DIV => CLK_DIV, + CLK => CLK, + RST => RESET, + RX => UART_RX, + DATA_OUT => rx_data, + DATA_WAITING => rx_ready + ); + +THE_TX : entity work.uart_trans + port map( + CLK_DIV => CLK_DIV, + CLK => CLK, + RST => RESET, + DATA_IN => tx_data, + SEND => tx_send, + READY => tx_ready, + TX => UART_TX + ); + +PROC_RX : process + variable tmp,tmp2 : unsigned(7 downto 0); +begin + wait until rising_edge(CLK); + READ_OUT <= '0'; + WRITE_OUT <= '0'; + tx_send <= '0'; + timer <= timer + 1; + case state is + when IDLE => + cmd_rd <= '0'; + cmd_wr <= '0'; + bytecount <= 9; + timer <= (others => '0'); + if rx_ready = '1' then + state <= START; + if rx_data = x"52" then + cmd_rd <= '1'; + elsif rx_data = x"57" then + cmd_wr <= '1'; + end if; + end if; + + when START => + if rx_ready = '1' then + if rx_data >= x"40" then + tmp2 := unsigned(rx_data) + x"09"; + else + tmp2 := unsigned(rx_data); + end if; + state <= START2; + end if; + + when START2 => + addr_data(bytecount*4+3 downto bytecount*4) <= std_logic_vector(tmp2(3 downto 0)); + if (bytecount = 0 and cmd_wr = '1') or (bytecount = 8 and cmd_rd = '1') then + state <= DO_COMMAND; + else + bytecount <= bytecount - 1; + state <= START; + end if; + + when DO_COMMAND => + WRITE_OUT <= cmd_wr; + READ_OUT <= cmd_rd; + DATA_OUT <= addr_data(31 downto 0); + ADDR_OUT <= addr_data(39 downto 32); + if cmd_rd = '1' then + state <= DO_READ; + else + state <= IDLE; + end if; + +--Read cycle + when DO_READ => + if READY_IN = '1' then + addr_data(31 downto 0) <= DATA_IN; + tx_send <= '1'; + tx_data <= x"52"; + state <= SEND_BYTE1; + bytecount <= 7; + end if; + + when SEND_BYTE1 => + tmp := x"0" & unsigned(addr_data(bytecount*4+3 downto bytecount*4)); + state <= SEND_BYTE2; + + when SEND_BYTE2 => + if tmp > x"09" then + tmp := tmp + x"41" - x"0a"; + else + tmp := tmp + x"30"; + end if; + state <= SEND_BYTE3; + + + when SEND_BYTE3 => + + if tx_ready = '1' then + tx_data <= std_logic_vector(tmp); + tx_send <= '1'; + if bytecount = 0 then + state <= SEND_TERM; + else + bytecount <= bytecount - 1; + state <= SEND_BYTE1; + end if; + end if; + + + + when SEND_TERM=> + if tx_ready = '1' then + tx_send <= '1'; + tx_data <= x"0a"; + state <= SEND_FINISH; + end if; + when SEND_FINISH=> + if tx_ready = '1' then + tx_send <= '1'; + tx_data <= x"0d"; + state <= IDLE; + end if; + + end case; + + if RESET = '1' or timeout = '1' then + state <= IDLE; + timer <= (others => '0'); + end if; +end process; + + +timeout <= timer(25); + + + +end architecture; \ No newline at end of file diff --git a/default/config_compile_frankfurt.pl b/default/config_compile_frankfurt.pl index 5ad340b..d5236d7 100644 --- a/default/config_compile_frankfurt.pl +++ b/default/config_compile_frankfurt.pl @@ -23,3 +23,4 @@ include_GBE => 0, firefox_open => 0, twr_number_of_errors => 20, no_ltxt2ptxt => 1, #if there is no serdes being used +make_jed => 1, diff --git a/default/diamond/LogicBox.ldf b/default/diamond/LogicBox.ldf index 57ecf0b..20a8463 100644 --- a/default/diamond/LogicBox.ldf +++ b/default/diamond/LogicBox.ldf @@ -3,10 +3,10 @@ - + - + diff --git a/default/logicbox.prj b/default/logicbox.prj index 39502e3..d0b8b95 100644 --- a/default/logicbox.prj +++ b/default/logicbox.prj @@ -7,7 +7,7 @@ add_file -vhdl -lib work "/d/jspc29/lattice/diamond/3.6_x64/cae_library/synthesis/vhdl/machxo3lf.vhd" #add_file -vhdl -lib work "../../trbnet/lattice/machxo3/fifo_9x2k_oreg.vhd" -add_file -vhdl -lib work "../../padiwa/source/uart_sctrl.vhd" +add_file -vhdl -lib work "../code/uart_sctrl.vhd" add_file -vhdl -lib work "../../trbnet/special/uart_rec.vhd" add_file -vhdl -lib work "../../trbnet/special/uart_trans.vhd" add_file -vhdl -lib work "logicbox.vhd" diff --git a/default/logicbox.vhd b/default/logicbox.vhd index 413ea1d..1e5296b 100644 --- a/default/logicbox.vhd +++ b/default/logicbox.vhd @@ -29,8 +29,13 @@ end entity; architecture arch of logicbox is signal clk_i, clk_osc : std_logic; signal led_i : std_logic_vector(3 downto 0); - signal timer_i : unsigned(23 downto 0) := (others => '0'); + signal timer_i : unsigned(31 downto 0) := (others => '0'); signal config : std_logic_vector(3 downto 0); + signal led_highz : std_logic; + + type led_timer_t is array(0 to 3) of unsigned(24 downto 0); + signal led_timer : led_timer_t; + signal led_state : std_logic_vector(3 downto 0); signal uart_rx_data : std_logic_vector(31 downto 0); signal uart_tx_data : std_logic_vector(31 downto 0); @@ -38,6 +43,16 @@ architecture arch of logicbox is signal bus_read : std_logic := '0'; signal bus_write : std_logic := '0'; signal bus_ready : std_logic; + + signal input_i : std_logic_vector(3 downto 0); + signal input_selected : std_logic_vector(3 downto 0); + signal input_stretched, input_hold : std_logic_vector(3 downto 0); + signal input_reg_0, input_reg_1, input_reg_2 : std_logic_vector(3 downto 0); + + signal edge_rising, edge_falling : std_logic_vector(3 downto 0); + signal pulser : std_logic; + signal reg : std_logic_vector(31 downto 0); + signal last_config : std_logic_vector(3 downto 0); component OSCH generic (NOM_FREQ: string := "133.00"); @@ -54,21 +69,122 @@ begin --------------------------------------------------------------------------- --- I don't care what you do, as long as you do it! +-- I/O Logic --------------------------------------------------------------------------- +-- 0 1:1, +-- 1 1:4 fan-out, +-- 2 1:1, O1 is or of inputs + +-- 3 1:1, invert +-- 4 1:4 fan-out, invert +-- 5 1:1, O1 is or of inputs, invert + +-- 6 1:1, rising_edge to 14-21 ns +-- 7 1:1, falling_edge to 14-21 ns + +-- 8 1:1, stretching by +14-21ns +-- 9 1:4 fan-out, stretching +-- a 1:1, O1 is or of inputs, stretching + +-- e pulser, default 8.1 kHz, 60ns +-- f pulser, default 8.1 kHz, 60ns, negative --- RX_OUT <= TX_IN when rising_edge(clk_i); -OUTPUT <= INPUT xor (STATUSI & STATUSO & CBUS & CBUS); -led_i <= INPUT when rising_edge(clk_i); +input_i <= INPUT when STATUSI = '0' else INPUT(2) & INPUT(3) & INPUT(0) & INPUT(1); +input_selected <= not input_i when config = x"3" or config = x"4" or config = x"5" else input_i; -bus_ready <= bus_read or bus_write; +input_stretched <= input_hold or input_reg_0 or input_reg_1; + +--Stretcher needs to work with negative signals as well +input_hold <= input_selected or (input_hold and not input_reg_0); + +input_reg_0 <= input_selected or input_hold when rising_edge(clk_i); +input_reg_1 <= input_reg_0 when rising_edge(clk_i); +input_reg_2 <= input_reg_1 when rising_edge(clk_i); + +edge_rising <= input_stretched and not input_reg_2; +edge_falling <= not input_stretched and input_reg_2; + +process(INPUT,config, STATUSI) + begin + case config is + when x"0" => + OUTPUT <= input_selected; + when x"1" => + OUTPUT <= (others => input_selected(0)); + when x"2" => + OUTPUT <= (input_selected(0) and input_selected(2)) & input_selected(2) & (input_selected(0) or input_selected(2)) & input_selected(0); + when x"3" => + OUTPUT <= input_selected; + when x"4" => + OUTPUT <= (others => input_selected(0)); + when x"5" => + OUTPUT <= (input_selected(0) and input_selected(2)) & input_selected(2) & + (input_selected(0) or input_selected(2)) & input_selected(0); + when x"6" => + OUTPUT <= edge_rising; + when x"7" => + OUTPUT <= edge_falling; + when x"8" => + OUTPUT <= input_stretched; + when x"9" => + OUTPUT <= (others => input_stretched(0)); + when x"a" => + OUTPUT <= (input_stretched(0) and input_stretched(2)) & input_stretched(2) & (input_stretched(0) or input_stretched(2)) & input_stretched(0); + when x"e" => + OUTPUT <= (others => pulser); + when x"f" => + OUTPUT <= (others => not pulser); + when others => + OUTPUT <= input_selected; + end case; + end process; + +--------------------------------------------------------------------------- +-- Pulser +--------------------------------------------------------------------------- + PROC_PULSER : process begin + wait until rising_edge(clk_i); + if timer_i(13 downto 0) = "00"&x"000" then + pulser <= '1'; + elsif timer_i(13 downto 0) = "00"&x"008" then + pulser <= '0'; + end if; + end process; +--------------------------------------------------------------------------- +-- LED +--------------------------------------------------------------------------- + PROC_LED : process begin + wait until rising_edge(clk_i); + if not (config = last_config) and timer_i(27) = '0' then + led_i <= config; + elsif STATUSI = '0' then + led_i <= led_state; + else + led_i <= led_state(2) & led_state(3) & led_state(0) & led_state(1); + end if; + end process; + PROC_LED_STATE : process begin + wait until rising_edge(clk_i); + for i in 0 to 3 loop + if (input_reg_2(i) xor input_reg_1(i)) = '1' and (led_timer(i)(23 downto 21) > 0) then + led_state(i) <= not led_state(i); + led_timer(i) <= 0; + elsif led_timer(i)(23) = '1' then + led_state(i) <= input_reg_1(i); + else + led_timer(i) <= led_timer(i) + 1; + end if; + end loop; + end process; + + --------------------------------------------------------------------------- -- Clock --------------------------------------------------------------------------- clk_source: OSCH - generic map ( NOM_FREQ => "33.25" ) + generic map ( NOM_FREQ => "133" ) port map ( STDBY => '0', OSC => clk_osc, @@ -84,23 +200,27 @@ timer_i <= timer_i + 1 when rising_edge(clk_i); --------------------------------------------------------------------------- process begin wait until rising_edge(clk_i); - if timer_i(23 downto 4) = 0 then - if timer_i(3 downto 0) = x"0" then - LED <= (others => 'Z'); - elsif timer_i(3 downto 0) = x"8" then - config <= LED; + + + if timer_i(27 downto 10) = 0 then + led_highz <= '1'; + last_config <= config; + if timer_i(9 downto 0) = "11"&x"ff" then + config <= not LED; end if; else - LED <= led_i; + led_highz <= '0'; end if; end process; +LED <= led_i when led_highz = '0' else "ZZZZ"; + --------------------------------------------------------------------------- -- UART --------------------------------------------------------------------------- THE_UART : entity work.uart_sctrl generic map( - CLOCK_SPEED => 33250000 + CLOCK_SPEED => 133000000 ) port map( CLK => clk_i, @@ -118,9 +238,23 @@ THE_UART : entity work.uart_sctrl DEBUG => open ); ---------------------------------------------------------------------------- --- UART ---------------------------------------------------------------------------- + +PROC_REGS : process begin + wait until rising_edge(clk_i); + bus_ready <= '0'; + if bus_read = '1' then + bus_ready <= '1'; + case uart_addr is + when x"00" => uart_tx_data <= x"0000000" & config; + when x"10" => uart_tx_data <= reg; + end case; + elsif bus_write = '1' then + case uart_addr is + when x"10" => reg <= uart_rx_data; + end case; + end if; +end process; + end architecture; diff --git a/default/par.p2t b/default/par.p2t index f72683d..39a0684 100644 --- a/default/par.p2t +++ b/default/par.p2t @@ -4,7 +4,7 @@ -n 1 -y -s 12 --t 24 +-t 1 -c 1 -e 2 #-g guidefile.ncd diff --git a/pinout/logicbox.lpf b/pinout/logicbox.lpf index 9fb4700..d762cc2 100644 --- a/pinout/logicbox.lpf +++ b/pinout/logicbox.lpf @@ -1,6 +1,6 @@ BLOCK RESETPATHS ; BLOCK ASYNCPATHS ; -SYSCONFIG MCCLK_FREQ=33.25 BACKGROUND_RECONFIG=ON ENABLE_TRANSFR=ENABLE JTAG_PORT=DISABLE MUX_CONFIGURATION_PORTS=ENABLE ; +SYSCONFIG MCCLK_FREQ=133 BACKGROUND_RECONFIG=ON ENABLE_TRANSFR=ENABLE JTAG_PORT=DISABLE MUX_CONFIGURATION_PORTS=ENABLE ; LOCATE COMP "OUTPUT[2]" SITE "A6" ; LOCATE COMP "OUTPUT[3]" SITE "C5" ; LOCATE COMP "OUTPUT[0]" SITE "B4" ; @@ -10,7 +10,7 @@ LOCATE COMP "CONTROLO" SITE "A1" ; LOCATE COMP "LED[0]" SITE "A7" ; LOCATE COMP "LED[1]" SITE "E5" ; LOCATE COMP "LED[2]" SITE "C1" ; -LOCATE COMP "LED[3]" SITE "D2" ; +LOCATE COMP "LED[3]" SITE "B2" ; LOCATE COMP "INPUT[0]" SITE "F7" ; LOCATE COMP "INPUT[2]" SITE "G7" ; LOCATE COMP "INPUT[3]" SITE "F4" ; @@ -40,11 +40,11 @@ IOBUF PORT "TX_IN" IO_TYPE=LVTTL33 ; IOBUF PORT "CBUS" IO_TYPE=LVTTL33 ; IOBUF PORT "CLK" IO_TYPE=LVDS25 ; IOBUF PORT "STATUSO" IO_TYPE=LVTTL33 ; -IOBUF PORT "STATUSI" IO_TYPE=LVTTL33 ; +IOBUF PORT "STATUSI" IO_TYPE=LVTTL33 PULLMODE=DOWN; BANK 0 VCCIO 3.3 V; BANK 5 VCCIO 3.3 V; BANK 2 VCCIO 3.3 V; FREQUENCY PORT CLK 100 MHz; -FREQUENCY NET clk_osc 33.25 MHz; \ No newline at end of file +FREQUENCY NET clk_osc 133 MHz; \ No newline at end of file