From: hadeshyp Date: Tue, 1 Jun 2010 13:10:20 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~278 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=4079b9cbcc35904e644be244343b93ad99a12487;p=trbnet.git *** empty log message *** --- diff --git a/lattice/ecp2m/lattice_ecp2m_fifo.vhd b/lattice/ecp2m/lattice_ecp2m_fifo.vhd index 0d2a715..f394524 100644 --- a/lattice/ecp2m/lattice_ecp2m_fifo.vhd +++ b/lattice/ecp2m/lattice_ecp2m_fifo.vhd @@ -241,7 +241,20 @@ package lattice_ecp2m_fifo is - + component fifo_18x16_media_interface is + port ( + Data: in std_logic_vector(17 downto 0); + Clock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + Q: out std_logic_vector(17 downto 0); + WCNT: out std_logic_vector(4 downto 0); + Empty: out std_logic; + Full: out std_logic; + AlmostEmpty: out std_logic + ); + end component;