From: hadaq Date: Fri, 18 Jan 2013 13:13:36 +0000 (+0000) Subject: unnecessary TRIGGER_WINDOWN_EN_IN port is removed from Channel and Channel_200 entiti... X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=4122d28de88c336e031a2da8358d92b619be0b1f;p=trb3.git unnecessary TRIGGER_WINDOWN_EN_IN port is removed from Channel and Channel_200 entities - cu --- diff --git a/tdc_releases/tdc_v1.1.1/Channel.vhd b/tdc_releases/tdc_v1.1.1/Channel.vhd index 4bb1654..19ceea5 100644 --- a/tdc_releases/tdc_v1.1.1/Channel.vhd +++ b/tdc_releases/tdc_v1.1.1/Channel.vhd @@ -28,7 +28,6 @@ entity Channel is FIFO_ALMOST_FULL_OUT : out std_logic; COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); - TRIGGER_WINDOW_END_IN : in std_logic; DATA_FINISHED_IN : in std_logic; RUN_MODE : in std_logic; -- @@ -72,7 +71,6 @@ architecture Channel of Channel is signal fifo_wr_cntr : unsigned(23 downto 0); -- other - signal trg_win_end_i : std_logic; signal data_finished_i : std_logic; signal run_mode_i : std_logic; @@ -100,7 +98,6 @@ begin RESET_100 => RESET_100, HIT_IN => hit_buf, EPOCH_COUNTER_IN => EPOCH_COUNTER_IN, - TRIGGER_WINDOW_END_IN => trg_win_end_i, DATA_FINISHED_IN => data_finished_i, RUN_MODE => run_mode_i, COARSE_COUNTER_IN => coarse_cntr_reg, @@ -112,7 +109,6 @@ begin FIFO_WR_OUT => fifo_wr_en_i, ENCODER_START_OUT => encoder_start_i); - trg_win_end_i <= TRIGGER_WINDOW_END_IN when rising_edge(CLK_200); data_finished_i <= DATA_FINISHED_IN when rising_edge(CLK_100); run_mode_i <= RUN_MODE when rising_edge(CLK_100); encoder_start_reg <= encoder_start_i when rising_edge(CLK_200); diff --git a/tdc_releases/tdc_v1.1.1/Channel_200.vhd b/tdc_releases/tdc_v1.1.1/Channel_200.vhd index e843cb8..cf7189d 100644 --- a/tdc_releases/tdc_v1.1.1/Channel_200.vhd +++ b/tdc_releases/tdc_v1.1.1/Channel_200.vhd @@ -5,7 +5,7 @@ -- File : Channel_200.vhd -- Author : c.ugur@gsi.de -- Created : 2012-08-28 --- Last update: 2012-12-13 +-- Last update: 2013-01-18 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- @@ -32,7 +32,6 @@ entity Channel_200 is -- HIT_IN : in std_logic; -- hit in EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); -- system coarse counter - TRIGGER_WINDOW_END_IN : in std_logic; DATA_FINISHED_IN : in std_logic; RUN_MODE : in std_logic; COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); diff --git a/tdc_releases/tdc_v1.1.1/Readout.vhd b/tdc_releases/tdc_v1.1.1/Readout.vhd index dd7e1f3..623369e 100644 --- a/tdc_releases/tdc_v1.1.1/Readout.vhd +++ b/tdc_releases/tdc_v1.1.1/Readout.vhd @@ -673,7 +673,7 @@ begin -- behavioral if TRIGGER_WIN_EN_IN = '1' then -- if the trigger window is enabled if ch_data_2reg(fifo_nr)(31 downto 29) = "011" then data_out_reg <= ch_data_2reg(fifo_nr); - data_wr_reg <= '0'; + data_wr_reg <= '1'; --elsif (TW_pre(10) = '1' and ref_time_coarse(10) = '0') or (TW_post(10) = '0' and ref_time_coarse(10) = '1') then -- if one of the trigger window edges has an overflow -- if (trg_win_l = '0' and trg_win_r = '1') or (trg_win_l = '1' and trg_win_r = '0') then -- data_out_reg <= ch_data_2reg(fifo_nr); diff --git a/tdc_releases/tdc_v1.1.1/TDC.vhd b/tdc_releases/tdc_v1.1.1/TDC.vhd index 63952c4..25a47cc 100644 --- a/tdc_releases/tdc_v1.1.1/TDC.vhd +++ b/tdc_releases/tdc_v1.1.1/TDC.vhd @@ -210,7 +210,6 @@ begin FIFO_ALMOST_FULL_OUT => ch_almost_full_i(i), COARSE_COUNTER_IN => coarse_cntr(integer(ceil(real(i)/real(16)))), EPOCH_COUNTER_IN => epoch_cntr, - TRIGGER_WINDOW_END_IN => trg_win_end_i, DATA_FINISHED_IN => data_finished_i, RUN_MODE => run_mode_i, LOST_HIT_NUMBER => ch_lost_hit_number_i(i),