From: hadeshyp Date: Thu, 1 Jul 2010 08:07:18 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~243 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=4454b5803a04bad8008d668bf5fb2e44eb2a7ca6;p=trbnet.git *** empty log message *** --- diff --git a/media_interfaces/trb_net16_med_ecp_fot.vhd b/media_interfaces/trb_net16_med_ecp_fot.vhd index fe93f80..2049747 100644 --- a/media_interfaces/trb_net16_med_ecp_fot.vhd +++ b/media_interfaces/trb_net16_med_ecp_fot.vhd @@ -13,6 +13,7 @@ entity trb_net16_med_ecp_fot is CLK_25 : in std_logic; CLK_EN : in std_logic; RESET : in std_logic; + CLEAR : in std_logic; --Internal Connection MED_DATA_IN : in std_logic_vector(c_DATA_WIDTH-1 downto 0); @@ -609,7 +610,7 @@ begin port map( SYSCLK => CLK, RESET => reset, - CLEAR => reset, + CLEAR => clear, SFP_MISSING_IN => '0', SFP_LOS_IN => sfp_los, SD_LINK_OK_IN => link_ok_q, diff --git a/special/handler_ipu.vhd b/special/handler_ipu.vhd index 1c3864b..7955b74 100644 --- a/special/handler_ipu.vhd +++ b/special/handler_ipu.vhd @@ -355,4 +355,5 @@ begin STATUS_OUT(15) <= error_not_configured; STATUS_OUT(31 downto 16) <= (others => '0'); + end architecture; \ No newline at end of file diff --git a/trb_net16_endpoint_hades_full_handler.vhd b/trb_net16_endpoint_hades_full_handler.vhd index 11aef82..e3818d6 100644 --- a/trb_net16_endpoint_hades_full_handler.vhd +++ b/trb_net16_endpoint_hades_full_handler.vhd @@ -561,7 +561,7 @@ begin process(REGIO_COMMON_STAT_REG_IN, debug_ipu_handler_i) begin common_stat_reg_i(47 downto 0) <= REGIO_COMMON_STAT_REG_IN(47 downto 0); - common_stat_reg_i(6) <= debug_ipu_handler_i(15) or REGIO_COMMON_STAT_REG_IN(6); --not configured + common_stat_reg_i(6) <= debug_ipu_handler_i(15) or REGIO_COMMON_STAT_REG_IN(6); common_stat_reg_i(9) <= debug_ipu_handler_i(12) or REGIO_COMMON_STAT_REG_IN(9); common_stat_reg_i(10) <= debug_ipu_handler_i(13) or REGIO_COMMON_STAT_REG_IN(10); common_stat_reg_i(11) <= debug_ipu_handler_i(14) or REGIO_COMMON_STAT_REG_IN(11); diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 5892ab4..dcc37e5 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -518,26 +518,34 @@ MED_DATA_OUT <= buf_MED_DATA_OUT; iobuf_stat_reply_obuf_debug_i((i+1)*32-1 downto i*32) <= (others => '0'); - IOBUF : trb_net16_term_buf - port map ( - -- Misc - CLK => CLK , - RESET => reset_i_mux_io(j), - CLK_EN => CLK_EN, - -- Media direction port - MED_INIT_DATAREADY_OUT => m_DATAREADY_OUT(i*2), - MED_INIT_DATA_OUT => m_DATA_OUT((i*2+1)*c_DATA_WIDTH-1 downto i*2*c_DATA_WIDTH), - MED_INIT_PACKET_NUM_OUT => m_PACKET_NUM_OUT((i*2+1)*c_NUM_WIDTH-1 downto i*2*c_NUM_WIDTH), - MED_INIT_READ_IN => m_READ_IN(i*2), - MED_REPLY_DATAREADY_OUT => m_DATAREADY_OUT(i*2+1), - MED_REPLY_DATA_OUT => m_DATA_OUT((i*2+2)*c_DATA_WIDTH-1 downto (i*2+1)*c_DATA_WIDTH), - MED_REPLY_PACKET_NUM_OUT=> m_PACKET_NUM_OUT((i*2+2)*c_NUM_WIDTH-1 downto (i*2+1)*c_NUM_WIDTH), - MED_REPLY_READ_IN => m_READ_IN(i*2+1), - MED_DATAREADY_IN => m_DATAREADY_IN(i), - MED_DATA_IN => m_DATA_IN((i+1)*c_DATA_WIDTH-1 downto i*c_DATA_WIDTH), - MED_PACKET_NUM_IN => m_PACKET_NUM_IN((i+1)*c_NUM_WIDTH-1 downto i*c_NUM_WIDTH), - MED_READ_OUT => m_READ_OUT(i) - ); + m_DATAREADY_OUT(i*2) <= '0'; + m_DATA_OUT((i*2+1)*c_DATA_WIDTH-1 downto i*2*c_DATA_WIDTH) <= (others => '0'); + m_PACKET_NUM_OUT((i*2+1)*c_NUM_WIDTH-1 downto i*2*c_NUM_WIDTH) <= (others => '0'); + m_DATAREADY_OUT(i*2+1) <= '0'; + m_DATA_OUT((i*2+2)*c_DATA_WIDTH-1 downto (i*2+1)*c_DATA_WIDTH) <= (others => '0'); + m_PACKET_NUM_OUT((i*2+2)*c_NUM_WIDTH-1 downto (i*2+1)*c_NUM_WIDTH) <= (others => '0'); + m_READ_OUT(i) <= '1'; + +-- IOBUF : trb_net16_term_buf +-- port map ( +-- -- Misc +-- CLK => CLK , +-- RESET => reset_i_mux_io(j), +-- CLK_EN => CLK_EN, +-- -- Media direction port +-- MED_INIT_DATAREADY_OUT => m_DATAREADY_OUT(i*2), +-- MED_INIT_DATA_OUT => m_DATA_OUT((i*2+1)*c_DATA_WIDTH-1 downto i*2*c_DATA_WIDTH), +-- MED_INIT_PACKET_NUM_OUT => m_PACKET_NUM_OUT((i*2+1)*c_NUM_WIDTH-1 downto i*2*c_NUM_WIDTH), +-- MED_INIT_READ_IN => m_READ_IN(i*2), +-- MED_REPLY_DATAREADY_OUT => m_DATAREADY_OUT(i*2+1), +-- MED_REPLY_DATA_OUT => m_DATA_OUT((i*2+2)*c_DATA_WIDTH-1 downto (i*2+1)*c_DATA_WIDTH), +-- MED_REPLY_PACKET_NUM_OUT=> m_PACKET_NUM_OUT((i*2+2)*c_NUM_WIDTH-1 downto (i*2+1)*c_NUM_WIDTH), +-- MED_REPLY_READ_IN => m_READ_IN(i*2+1), +-- MED_DATAREADY_IN => m_DATAREADY_IN(i), +-- MED_DATA_IN => m_DATA_IN((i+1)*c_DATA_WIDTH-1 downto i*c_DATA_WIDTH), +-- MED_PACKET_NUM_IN => m_PACKET_NUM_IN((i+1)*c_NUM_WIDTH-1 downto i*c_NUM_WIDTH), +-- MED_READ_OUT => m_READ_OUT(i) +-- ); end generate; end generate; end generate; diff --git a/trb_net_components.vhd b/trb_net_components.vhd index 6c0ac50..0ef3894 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -1412,6 +1412,7 @@ package trb_net_components is CLK_25 : in std_logic; CLK_EN : in std_logic; RESET : in std_logic; + CLEAR : in std_logic; --Internal Connection MED_DATA_IN : in std_logic_vector(c_DATA_WIDTH-1 downto 0);