From: Cahit Date: Mon, 31 Mar 2014 10:06:46 +0000 (+0200) Subject: Hit multiplexer is put in an entity for easier placement constraints. Epoch counter... X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=49b512028d996bfea9c37537a37cb482e136dcb8;p=trb3.git Hit multiplexer is put in an entity for easier placement constraints. Epoch counter update logic in channel is changed for easier timing constraints. --- diff --git a/base/trb3_components.vhd b/base/trb3_components.vhd index 3b250ce..c7f2137 100644 --- a/base/trb3_components.vhd +++ b/base/trb3_components.vhd @@ -398,6 +398,15 @@ package trb3_components is -- ENCODER_DEBUG : out std_logic_vector(31 downto 0)); --end component; + component hit_mux is + port ( + CH_EN_IN : in std_logic; + CALIBRATION_EN_IN : in std_logic; + HIT_CALIBRATION_IN : in std_logic; + HIT_PHYSICAL_IN : in std_logic; + HIT_OUT : out std_logic); + end component hit_mux; + component FIFO_32x32_OutReg port ( Data : in std_logic_vector(31 downto 0);