From: Jan Michel Date: Thu, 15 Dec 2022 11:07:16 +0000 (+0100) Subject: enable monitoring counters by default X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=49d45d3eb91882bd40eff95e38cf60247d7b11ce;p=trb3.git enable monitoring counters by default --- diff --git a/base/code/input_statistics.vhd b/base/code/input_statistics.vhd index 9686191..ed2e4f2 100644 --- a/base/code/input_statistics.vhd +++ b/base/code/input_statistics.vhd @@ -45,7 +45,7 @@ signal trigger_fifo_channel_enable : std_logic; signal reset_cnt : std_logic; signal timer_rst : std_logic; -signal enable : std_logic_vector(31+32*(input_above32+input_above64) downto 0) := (others => '0'); --(95 downto 0); +signal enable : std_logic_vector(31+32*(input_above32+input_above64) downto 0) := (others => '1'); --(95 downto 0); signal invert : std_logic_vector(31+32*(input_above32+input_above64) downto 0); --(95 downto 0); signal rate : unsigned(31 downto 0);