From: hadeshyp Date: Tue, 8 May 2012 11:56:57 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~81 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=4acd32c1a12f8f5633610b60048058f34f9baed6;p=trbnet.git *** empty log message *** --- diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/generate_core.tcl b/gbe2_ecp3/ipcores_ecp3/tsmac3/generate_core.tcl new file mode 100755 index 0000000..d6f3ade --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/tsmac3/generate_core.tcl @@ -0,0 +1,39 @@ + +#!/usr/local/bin/wish + +set Para(cmd) "" +if ![catch {set temp $argc} result] { + if {$argc > 0} { + for {set i 0} {$i < $argc} {incr i 2} { + set temp [lindex $argv $i] + set temp [string range $temp 1 end] + lappend argv_list $temp + lappend value_list [lindex $argv [expr $i+1]] + } + foreach argument $argv_list value $value_list { + switch $argument { + "cmd" {set Para(cmd) $value;} + } + } + } +} + +set Para(ProjectPath) "/home/greg/projects/trbnet/gbe2_ecp2m/ipcores/tsmac3" +set Para(ModuleName) "tsmac3" +set Para(lib) "/home/greg/trispeed_mac_v3.4/lib" +set Para(CoreName) "Tri-Speed Ethernet MAC" +set Para(family) "latticeecp2m" +set Para(Family) "ep5m00" +set Para(design) "VHDL" + +lappend auto_path "/home/greg/trispeed_mac_v3.4/gui" + +lappend auto_path "/home/greg/trispeed_mac_v3.4/script" +package require Core_Generate + +lappend auto_path "/opt/lattice/ispLEVER8.1/isptools/ispcpld/tcltk/lib/ipwidgets/ispipbuilder/../runproc" +package require runcmd + +set Para(install_dir) "/opt/lattice/ispLEVER8.1/isptools/ispcpld/tcltk/lib/ipwidgets/ispipbuilder/../../../../.." + +set Para(result) [GenerateCore] diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/orcapp_head b/gbe2_ecp3/ipcores_ecp3/tsmac3/orcapp_head new file mode 100644 index 0000000..3b0bf92 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/tsmac3/orcapp_head @@ -0,0 +1,4 @@ +#define USER_NAME tsmac3 +#define SGMII_TSMAC +#define DEVICE_ECP2M +#define DEVICE_ECP2_ECP2M diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/pmi_ram_dpEbnonessdn208256208256.ngo b/gbe2_ecp3/ipcores_ecp3/tsmac3/pmi_ram_dpEbnonessdn208256208256.ngo new file mode 100755 index 0000000..47d679a Binary files /dev/null and b/gbe2_ecp3/ipcores_ecp3/tsmac3/pmi_ram_dpEbnonessdn208256208256.ngo differ diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/pmi_ram_dpEbnonessdn96649664.ngo b/gbe2_ecp3/ipcores_ecp3/tsmac3/pmi_ram_dpEbnonessdn96649664.ngo new file mode 100755 index 0000000..a9bbf44 Binary files /dev/null and b/gbe2_ecp3/ipcores_ecp3/tsmac3/pmi_ram_dpEbnonessdn96649664.ngo differ diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/ts_mac_eval.tar b/gbe2_ecp3/ipcores_ecp3/tsmac3/ts_mac_eval.tar new file mode 100644 index 0000000..bd092f2 Binary files /dev/null and b/gbe2_ecp3/ipcores_ecp3/tsmac3/ts_mac_eval.tar differ diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3.lpc b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3.lpc new file mode 100755 index 0000000..d5545f0 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3.lpc @@ -0,0 +1,38 @@ +[Device] +Family=ep5m00 +PartType=LFE2M100E +PartName=LFE2M100E-6F900C +SpeedGrade=-6 +Package=FPBGA900 +OperatingCondition=COM +Status=P + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=IPCFG +CoreStatus=Demo +CoreName=Tri-Speed Ethernet MAC +CoreRevision=3.4 +ModuleName=tsmac3 +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=06/17/2011 +Time=11:30:22 + +[Parameters] +MIIM=No +MODE=SGMII easy connect +SYNP_TOOL=1 +PREC_TOOL=0 +MODS_TOOL=1 +ALDC_TOOL=0 +MULT_WB=NO +LOOPBACK=NO +STAT_REGS=NO + +[Files] +Synthesis= +Simulation= +Logical= +Physical= +Misc= diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3.ngo b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3.ngo new file mode 100755 index 0000000..9aa3f5e Binary files /dev/null and b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3.ngo differ diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3.v b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3.v new file mode 100755 index 0000000..9623254 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3.v @@ -0,0 +1,211 @@ +//============================================================================= +// Verilog module generated by IPExpress +// Filename: USERNAME.v +// Copyright(c) 2006 Lattice Semiconductor Corporation. All rights reserved. +//============================================================================= + +/* WARNING - Changes to this file should be performed by re-running IPexpress +or modifying the .LPC file and regenerating the core. Other changes may lead +to inconsistent simulation and/or implemenation results */ +module tsmac3 ( + // clock and reset + hclk, + txmac_clk, + rxmac_clk, + reset_n, + txmac_clk_en, + rxmac_clk_en, + + // Input signals to the GMII + rxd, + rx_dv, + rx_er, + col, + crs, + // Input signals to the CPU Interface + haddr, + hdatain, + hcs_n, + hwrite_n, + hread_n, + + // Input signals to the MII Management Interface + + // Input signals to the Tx MAC FIFO Interface + tx_fifodata, + tx_fifoavail, + tx_fifoeof, + tx_fifoempty, + tx_sndpaustim, + tx_sndpausreq, + tx_fifoctrl, + + // Input signals to the Rx MAC FIFO Interface + rx_fifo_full, + ignore_pkt, + + // Output signals from the GMII + txd, + tx_en, + tx_er, + + // Output signals from the CPU Interface + hdataout, + hdataout_en_n, + hready_n, + cpu_if_gbit_en, + + // Output signals from the MII Management Interface + + // Output signals from the Tx MAC FIFO Interface + tx_macread, + tx_discfrm, + tx_staten, + tx_statvec, + tx_done, + + // Output signals from the Rx MAC FIFO Interface + rx_fifo_error, + rx_stat_vector, + rx_dbout, + rx_write, + rx_stat_en, + rx_eof, + rx_error + ); + + // ------------------------- clock and reset inputs --------------------- + input hclk; // clock to the CPU I/F + input txmac_clk; // clock to the Tx MAC + input rxmac_clk; // clock to the RX MAC + input reset_n; // Global reset + input txmac_clk_en; // clock enable to the Tx MAC + input rxmac_clk_en; // clock enable to the RX MAC + + // ----------------------- Input signals to the GMII ------------------- + input [7:0] rxd; // Receive data + input rx_dv; // Receive data valid + input rx_er; // Receive data error + input col; // Collision detect + input crs; // Carrier Sense + // -------------------- Input signals to the CPU I/F ------------------- + input [7:0] haddr; // Address Bus + input [7:0] hdatain; // Input data Bus + input hcs_n; // Chip select + input hwrite_n; // Register write + input hread_n; // Register read + + // -------------------- Input signals to the MII I/F ------------------- + + + // ---------------- Input signals to the Tx MAC FIFO I/F --------------- + input [7:0] tx_fifodata; // Data Input from FIFO + input tx_fifoavail; // Data Available in FIFO + input tx_fifoeof; // End of Frame + input tx_fifoempty; // FIFO Empty + input [15:0] tx_sndpaustim; // Pause frame parameter + input tx_sndpausreq; // Transmit PAUSE frame + input tx_fifoctrl; // Control frame or Not + + // ---------------- Input signals to the Rx MAC FIFO I/F --------------- + input rx_fifo_full; // Receive FIFO Full + input ignore_pkt; // Ignore the frame + + // -------------------- Output signals from the GMII ----------------------- + output [7:0] txd; // Transmit data + output tx_en; // Transmit Enable + output tx_er; // Transmit Error + + // -------------------- Output signals from the CPU I/F ------------------- + output [7:0] hdataout; // Output data Bus + output hdataout_en_n; // Data Out Enable + output hready_n; // Ready signal + output cpu_if_gbit_en; // Gig or 10/100 mode + + // -------------------- Output signals from the MII I/F ------------------- + + + // ---------------- Output signals from the Tx MAC FIFO I/F --------------- + output tx_macread; // Read FIFO + output tx_discfrm; // Discard Frame + output tx_staten; // Status Vector Valid + output tx_done; // Transmit of Frame done + output [30:0] tx_statvec; // Tx Status Vector + + // ---------------- Output signals from the Rx MAC FIFO I/F --------------- + output rx_fifo_error; // FIFO full detected + output [31:0] rx_stat_vector; // Rx Status Vector + output [7:0] rx_dbout; // Data Output to FIFO + output rx_write; // Write FIFO + output rx_stat_en; // Status Vector Valid + output rx_eof; // Entire frame written + output rx_error; // Erroneous frame + + tsmac_core U1_LSC_ts_mac_core ( + + // clock and reset + .hclk(hclk), + .txmac_clk(txmac_clk), + .rxmac_clk(rxmac_clk), + .reset_n(reset_n), + .txmac_clk_en(txmac_clk_en), + .rxmac_clk_en(rxmac_clk_en), + + // Input signals to the GMII + .rxd(rxd), + .rx_dv(rx_dv), + .rx_er(rx_er), + .col(col), + .crs(crs), + // Input signals to the CPU Interface + .haddr(haddr), + .hdatain(hdatain), + .hcs_n(hcs_n), + .hwrite_n(hwrite_n), + .hread_n(hread_n), + + // Input signals to the MII Management Interface + + // Input signals to the Tx MAC FIFO Interface + .tx_fifodata(tx_fifodata), + .tx_fifoavail(tx_fifoavail), + .tx_fifoeof(tx_fifoeof), + .tx_fifoempty(tx_fifoempty), + .tx_sndpaustim(tx_sndpaustim), + .tx_sndpausreq(tx_sndpausreq), + .tx_fifoctrl(tx_fifoctrl), + + // Input signals to the Rx MAC FIFO Interface + .rx_fifo_full(rx_fifo_full), + .ignore_pkt(ignore_pkt), + + // Output signals from the GMII + .txd(txd), + .tx_en(tx_en), + .tx_er(tx_er), + + // Output signals from the CPU Interface + .hdataout(hdataout), + .hdataout_en_n(hdataout_en_n), + .hready_n(hready_n), + .cpu_if_gbit_en(cpu_if_gbit_en), + + // Output signals from the MII Management Interface + + // Output signals from the Tx MAC FIFO Interface + .tx_macread(tx_macread), + .tx_discfrm(tx_discfrm), + .tx_staten(tx_staten), + .tx_statvec(tx_statvec), + .tx_done(tx_done), + + // Output signals from the Rx MAC FIFO Interface + .rx_fifo_error(rx_fifo_error), + .rx_stat_vector(rx_stat_vector), + .rx_dbout(rx_dbout), + .rx_write(rx_write), + .rx_stat_en(rx_stat_en), + .rx_eof(rx_eof), + .rx_error(rx_error) + ); +endmodule diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3_bb.v b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3_bb.v new file mode 100755 index 0000000..66c6be1 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3_bb.v @@ -0,0 +1,145 @@ +//============================================================================= +// Verilog module generated by IPExpress +// Filename: USERNAME_bb.v +// Copyright(c) 2006 Lattice Semiconductor Corporation. All rights reserved. +//============================================================================= + +/* WARNING - Changes to this file should be performed by re-running IPexpress +or modifying the .LPC file and regenerating the core. Other changes may lead +to inconsistent simulation and/or implemenation results */ +module tsmac3 ( + // clock and reset + hclk, + txmac_clk, + rxmac_clk, + reset_n, + txmac_clk_en, + rxmac_clk_en, + + // Input signals to the GMII + rxd, + rx_dv, + rx_er, + col, + crs, + + // Input signals to the CPU Interface + haddr, + hdatain, + hcs_n, + hwrite_n, + hread_n, + + // Input signals to the MII Management Interface + + // Input signals to the Tx MAC FIFO Interface + tx_fifodata, + tx_fifoavail, + tx_fifoeof, + tx_fifoempty, + tx_sndpaustim, + tx_sndpausreq, + tx_fifoctrl, + + // Input signals to the Rx MAC FIFO Interface + rx_fifo_full, + ignore_pkt, + + // Output signals from the GMII + txd, + tx_en, + tx_er, + + // Output signals from the CPU Interface + hdataout, + hdataout_en_n, + hready_n, + cpu_if_gbit_en, + + // Output signals from the MII Management Interface + + // Output signals from the Tx MAC FIFO Interface + tx_macread, + tx_discfrm, + tx_staten, + tx_statvec, + tx_done, + + // Output signals from the Rx MAC FIFO Interface + rx_fifo_error, + rx_stat_vector, + rx_dbout, + rx_write, + rx_stat_en, + rx_eof, + rx_error + ); + + // ------------------------- clock and reset inputs --------------------- + input hclk; // clock to the CPU I/F + input txmac_clk; // clock to the Tx MAC + input rxmac_clk; // clock to the RX MAC + input reset_n; // Global reset + input txmac_clk_en; // clock enable to the Tx MAC + input rxmac_clk_en; // clock enable to the RX MAC + + // ----------------------- Input signals to the GMII ------------------- + input [7:0] rxd; // Receive data + input rx_dv; // Receive data valid + input rx_er; // Receive data error + input col; // Collision detect + input crs; // Carrier Sense + // -------------------- Input signals to the CPU I/F ------------------- + input [7:0] haddr; // Address Bus + input [7:0] hdatain; // Input data Bus + input hcs_n; // Chip select + input hwrite_n; // Register write + input hread_n; // Register read + + // -------------------- Input signals to the MII I/F ------------------- + + + // ---------------- Input signals to the Tx MAC FIFO I/F --------------- + input [7:0] tx_fifodata; // Data Input from FIFO + input tx_fifoavail; // Data Available in FIFO + input tx_fifoeof; // End of Frame + input tx_fifoempty; // FIFO Empty + input [15:0] tx_sndpaustim; // Pause frame parameter + input tx_sndpausreq; // Transmit PAUSE frame + input tx_fifoctrl; // Control frame or Not + + // ---------------- Input signals to the Rx MAC FIFO I/F --------------- + input rx_fifo_full; // Receive FIFO Full + input ignore_pkt; // Ignore the frame + + // -------------------- Output signals from the GMII ----------------------- + output [7:0] txd; // Transmit data + output tx_en; // Transmit Enable + output tx_er; // Transmit Error + + // -------------------- Output signals from the CPU I/F ------------------- + output [7:0] hdataout; // Output data Bus + output hdataout_en_n; // Data Out Enable + output hready_n; // Ready signal + output cpu_if_gbit_en; // Gig or 10/100 mode + + // -------------------- Output signals from the MII I/F ------------------- + + + // ---------------- Output signals from the Tx MAC FIFO I/F --------------- + output tx_macread; // Read FIFO + output tx_discfrm; // Discard Frame + output tx_staten; // Status Vector Valid + output tx_done; // Transmit of Frame done + output [30:0] tx_statvec; // Tx Status Vector + + // ---------------- Output signals from the Rx MAC FIFO I/F --------------- + output rx_fifo_error; // FIFO full detected + output [31:0] rx_stat_vector; // Rx Status Vector + output [7:0] rx_dbout; // Data Output to FIFO + output rx_write; // Write FIFO + output rx_stat_en; // Status Vector Valid + output rx_eof; // Entire frame written + output rx_error; // Erroneous frame + +endmodule diff --git a/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3_beh.v b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3_beh.v new file mode 100755 index 0000000..fc38e32 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/tsmac3/tsmac3_beh.v @@ -0,0 +1,5840 @@ +// ts_mac_core_beh.v generated by Lattice IP Model Creator version 1 +// created on Wed Mar 23 11:57:00 CST 2011 +// Copyright(c) 2007~2011 Lattice Semiconductor Corporation. All rights reserved +// obfuscator_exe version 1.mar0807 + +// top + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +`timescale 1 ns / 100 ps +module hd2df10 ( + rxmac_clk, + reset_n, + rxmac_clk_en, + + + ks10d54, + ls86aa0, + + + ls35502, + lfaa812, + + + kd54097, + rva04bd, + cb25ef, + wl12f7d +); +parameter gq97bed = 8; +input rxmac_clk; +input reset_n; +input rxmac_clk_en; +input ks10d54; +input ls35502; +input lfaa812; +input [gq97bed-1:0] ls86aa0; +output rva04bd; +output cb25ef; +output wl12f7d; +output [gq97bed-1:0] kd54097; +reg rva04bd; +reg cb25ef; +reg wl12f7d; +reg [gq97bed-1:0] kd54097; +reg wl95504; +parameter jraa825 = 2; +parameter uv5412f = 2'b01; +parameter tja097d = 2'b10; +parameter ng4bee = 0; +parameter fc25f73 = 1; +parameter fc2fb9a = 8'hd5; +parameter me7dcd3 = 8'h55; +wire zkee699; +wire ne734ca; +reg ep9a653; +reg uvd329c; +reg ng994e4; +reg [jraa825-1:0] os5391b; +reg [2:0] vk9c8d9; +reg [jraa825-1:0] oh23653; +reg zm1b29c; +reg [gq97bed - 1 : 0] neca715; +reg ic538ab; +reg zm9c559; +reg kde2acb; +reg wy1565d; +reg irab2e9; +reg go5974c; +reg mecba61; +reg xw5d308; +reg [jraa825 - 1 : 0] go4c21e; +reg [2 : 0] dz610f0; +reg [jraa825 - 1 : 0] ic43c3c; +reg [2047:0] ng1e1e0; +wire [12:0] mef0f02; + +localparam uk87811 = 13,gd3c088 = 32'hfdfff40b; +localparam [31:0] yke0444 = gd3c088; +localparam ba1110d = gd3c088 & 4'hf; +localparam [11:0] of44359 = 'h7ff; +wire [(1 << ba1110d) -1:0] epd660; +reg [uk87811-1:0] ui59826; +reg [ba1110d-1:0] uv60984 [0:1]; +reg [ba1110d-1:0] sj26123; +reg fc3091c; +integer tj848e3; +integer aa2471f; + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin kd54097 <= 0; end else if (rxmac_clk_en) begin if (zm1b29c) begin kd54097 <= neca715; end end +end + + + + +assign zkee699 = neca715[7:0] == fc2fb9a; +assign ne734ca = neca715[7:0] == me7dcd3; + + + + + + +always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin oh23653 <= uv5412f; vk9c8d9 <= 3'b0; rva04bd <= 1'b0; wl12f7d <= 1'b0; wl95504 <= 1'b0; cb25ef <= 1'b0; end else if (rxmac_clk_en) begin oh23653 <= go4c21e; wl95504 <= go5974c; cb25ef <= kde2acb; rva04bd <= 1'b0; + vk9c8d9 <= xw5d308 ? 3'h1 : (|dz610f0) ? (dz610f0+3'h1) : ic43c3c[ng4bee] ? 3'h0 : dz610f0; + if (mecba61) begin wl12f7d <= 1'b1; end else if (zm9c559) begin wl12f7d <= 1'b0; end else if (ic538ab) begin wl12f7d <= 1'b0; end end +end + + +always @(ic43c3c or ic538ab or irab2e9 or wy1565d or dz610f0) begin case(ic43c3c) uv5412f : begin if (ic538ab && wy1565d) begin os5391b = uv5412f; ep9a653 = 1'b1; uvd329c = 1'b0; ng994e4 = 1'b0; end else if (ic538ab && irab2e9) begin os5391b = tja097d; ep9a653 = 1'b0; uvd329c = 1'b0; ng994e4 = 1'b1; end else if (ic538ab && !wy1565d && !irab2e9) begin os5391b = uv5412f; ep9a653 = 1'b0; uvd329c = 1'b1; ng994e4 = 1'b0; end + else begin os5391b = uv5412f; ep9a653 = 1'b0; uvd329c = 1'b0; ng994e4 = 1'b0; end end + tja097d : begin if (wy1565d) begin os5391b = uv5412f; ep9a653 = 1'b1; uvd329c = 1'b0; ng994e4 = 1'b0; end else if (~|dz610f0 & ~irab2e9) begin os5391b = uv5412f; ep9a653 = 1'b0; uvd329c = 1'b1; ng994e4 = 1'b0; end else begin os5391b = tja097d; ep9a653 = 1'b0; uvd329c = 1'b0; ng994e4 = 1'b0; end + end + default : begin os5391b = uv5412f; ep9a653 = 1'b0; uvd329c = 1'b0; ng994e4 = 1'b0; end endcase +end + +always@* begin zm1b29c<=mef0f02[0];neca715<={ls86aa0>>1,mef0f02[1]};ic538ab<=mef0f02[2];zm9c559<=mef0f02[3];kde2acb<=mef0f02[4];wy1565d<=mef0f02[5];irab2e9<=mef0f02[6];go5974c<=mef0f02[7];mecba61<=mef0f02[8];xw5d308<=mef0f02[9];go4c21e<={os5391b>>1,mef0f02[10]};dz610f0<={vk9c8d9>>1,mef0f02[11]};ic43c3c<={oh23653>>1,mef0f02[12]};end +always@* begin ng1e1e0[2047]<=ls86aa0[0];ng1e1e0[2046]<=ls35502;ng1e1e0[2044]<=lfaa812;ng1e1e0[2040]<=wl95504;ng1e1e0[2032]<=zkee699;ng1e1e0[2016]<=ne734ca;ng1e1e0[1984]<=ep9a653;ng1e1e0[1920]<=uvd329c;ng1e1e0[1793]<=ng994e4;ng1e1e0[1539]<=os5391b[0];ng1e1e0[1030]<=vk9c8d9[0];ng1e1e0[1023]<=ks10d54;ng1e1e0[13]<=oh23653[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[0]};al4b56a<=mef0f02[1];zm9c559<=mef0f02[2];byd5aaf<=mef0f02[3];gb6abd3<={uv70d29>>1,mef0f02[4]};ntaf4ee<={hq8694d>>1,mef0f02[5]};czd3bb5<={ec34a6a>>1,mef0f02[6]};dmeed68<={lfa5351>>1,mef0f02[7]};dbb5a1a<={gd29a8f>>1,mef0f02[8]};go686bb<={rg4d47c>>1,mef0f02[9]};ie1aec9<={mr6a3e2>>1,mef0f02[10]};yzbb24e<={of51f14>>1,mef0f02[11]};gbc93ad<={sj8f8a4>>1,mef0f02[12]};ay49d6c<=mef0f02[13];kd4eb61<=mef0f02[14];ip75b0c<=mef0f02[15];lq6c32a<={wla48aa>>1,mef0f02[16]};ld61953<=mef0f02[17];dbca9e<=mef0f02[18];ip654f7<=mef0f02[19];hq2a7bd<=mef0f02[20];yk53dea<=mef0f02[21];zz9ef51<=mef0f02[22];kdf7a8f<=mef0f02[23];babd47c<=mef0f02[24];yx51f10<={wj5b24d>>1,mef0f02[25]};jc7c424<={enc9365>>1,mef0f02[26]};yxe2122<=mef0f02[27];aa10914<=mef0f02[28];lf848a0<=mef0f02[29];ou24507<=mef0f02[30];fp22839<=mef0f02[31];je141cf<=mef0f02[32];jra0e7b<=mef0f02[33];mt73de<=mef0f02[34];rgcf7ad<={jra5ef8>>1,mef0f02[35]};icdeb5e<={hb7be32>>1,mef0f02[36]};cmf5af1<=mef0f02[37];twad789<=mef0f02[38];cz5e25f<={bn32cad>>1,mef0f02[39]};dmf12fc<=mef0f02[40];xy897e2<=mef0f02[41];ip4bf12<=mef0f02[42];th5f894<=mef0f02[43];pffc4a7<=mef0f02[44];wl129f4<={co928b1>>1,mef0f02[45]};doa7d2e<={baa2c4f>>1,mef0f02[46]};sw3e973<=mef0f02[47];rgf4b98<=mef0f02[48];lfa5cc3<=mef0f02[49];aa2e61e<=mef0f02[50];vi730f0<=mef0f02[51];ou98783<=mef0f02[52];alc3c19<=mef0f02[53];ba1e0cc<=mef0f02[54];uif0662<=mef0f02[55];do83310<=mef0f02[56];fp19883<=mef0f02[57];end +always@* begin ng1e1e0[2047]<=cb25ef;ng1e1e0[2046]<=lfaa812;ng1e1e0[2044]<=ykce1a5;ng1e1e0[2040]<=uv70d29[0];ng1e1e0[2032]<=hq8694d[0];ng1e1e0[2017]<=ec34a6a[0];ng1e1e0[1987]<=lfa5351[0];ng1e1e0[1980]<=je89ef4;ng1e1e0[1963]<=xyb52f;ng1e1e0[1942]<=ksa3f8a;ng1e1e0[1926]<=gd29a8f[0];ng1e1e0[1921]<=ks152d9;ng1e1e0[1913]<=fn4f7a3;ng1e1e0[1903]<=an95b92;ng1e1e0[1879]<=nr5a97b;ng1e1e0[1837]<=wy1fc51;ng1e1e0[1805]<=rg4d47c[0];ng1e1e0[1795]<=oua96c9;ng1e1e0[1783]<=baa2c4f[0];ng1e1e0[1778]<=zx7bd1f;ng1e1e0[1758]<=jeadc94;ng1e1e0[1710]<=jra5ef8[0];ng1e1e0[1679]<=wla48aa[0];ng1e1e0[1627]<=lqfe289;ng1e1e0[1562]<=mr6a3e2[0];ng1e1e0[1543]<=wj5b24d[0];ng1e1e0[1519]<=fp1627b;ng1e1e0[1509]<=uide8fe;ng1e1e0[1499]<=hq9656e;ng1e1e0[1469]<=rt6e4a2;ng1e1e0[1398]<=shf8cb2;ng1e1e0[1373]<=hb7be32[0];ng1e1e0[1310]<=fac526c;ng1e1e0[1207]<=rgf144b;ng1e1e0[1144]<=ww49b15;ng1e1e0[1076]<=of51f14[0];ng1e1e0[1039]<=enc9365[0];ng1e1e0[1023]<=bn1ce70[0];ng1e1e0[990]<=yzb13de;ng1e1e0[981]<=xj616a5;ng1e1e0[971]<=vif47f1;ng1e1e0[960]<=cm62a5b;ng1e1e0[951]<=ngb2b72;ng1e1e0[891]<=co928b1[0];ng1e1e0[839]<=vk14915;ng1e1e0[749]<=bn32cad[0];ng1e1e0[699]<=hodf196;ng1e1e0[572]<=qv29362;ng1e1e0[490]<=gd2c2d4;ng1e1e0[480]<=ho6c54b;ng1e1e0[419]<=ale2922;ng1e1e0[245]<=sh6585a;ng1e1e0[240]<=dm4d8a9;ng1e1e0[209]<=tu7c524;ng1e1e0[122]<=tu6cb0b;ng1e1e0[104]<=sj8f8a4[0];ng1e1e0[61]<=lq4d961;ng1e1e0[30]<=kq49b2c;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f= 7'h42); + + +assign sw21a46 = zx4f6f2 & ~ofed2bb; +assign zz84348 = bna0270 & ~mg875ed & ~bn9c3a & ~sh4e1d7; + + +assign al70eaa = bldd794 & aa3404e[18]; + + + + + + +assign fpaaa53 = (bna0270 | rx_write) & wwde5f9 & ~mg875ed & ~bn9c3a; + +always@* begin zx4f6f2<=mef0f02[0];dz7b797<=mef0f02[1];eadbcbf<={epae410>>1,mef0f02[2]};wwde5f9<=mef0f02[3];ks97e44<={ep90421>>1,mef0f02[4]};pff910f<={of4bc4f>>1,mef0f02[5]};wj443f7<={vif13e4>>1,mef0f02[6]};ukfde0<={hd9bdf7>>1,mef0f02[7]};off7806<={wjf7df9>>1,mef0f02[8]};gqbc034<=mef0f02[9];yke01a0<=mef0f02[10];ecd01<=mef0f02[11];aa3404e<={tw3fb87>>1,mef0f02[12]};bna0270<=mef0f02[13];do1387<=mef0f02[14];bn9c3a<=mef0f02[15];sh4e1d7<=mef0f02[16];yx70ebd<=mef0f02[17];mg875ed<=mef0f02[18];xy3af69<=mef0f02[19];ribda57<={coa94ff>>1,mef0f02[20]};ofed2bb<=mef0f02[21];fn4aeeb<={wl9fe79>>1,mef0f02[22]};gdbbaf2<={ldf9e69>>1,mef0f02[23]};bldd794<=mef0f02[24];zkebca7<=mef0f02[25];sh5e53b<=mef0f02[26];czf29dc<=mef0f02[27];end +always@* begin ng1e1e0[2047]<=wy15c82;ng1e1e0[2046]<=epae410[0];ng1e1e0[2044]<=rx_fifo_full;ng1e1e0[2040]<=ep90421[0];ng1e1e0[2032]<=of4bc4f[0];ng1e1e0[2017]<=vif13e4[0];ng1e1e0[1987]<=hd9bdf7[0];ng1e1e0[1926]<=wjf7df9[0];ng1e1e0[1921]<=ldf9e69[0];ng1e1e0[1805]<=ipf7e64;ng1e1e0[1795]<=rgcf34f;ng1e1e0[1679]<=co87555;ng1e1e0[1562]<=ntbf324;ng1e1e0[1543]<=ps79a7b;ng1e1e0[1310]<=vk3aaa9;ng1e1e0[1144]<=fpaaa53;ng1e1e0[1076]<=jpf9927;ng1e1e0[1039]<=zxcd3db;ng1e1e0[1023]<=tu42b90;ng1e1e0[960]<=wl9fe79[0];ng1e1e0[839]<=al70eaa;ng1e1e0[572]<=psd554a;ng1e1e0[480]<=rt4a7f9;ng1e1e0[419]<=hoee1d5;ng1e1e0[240]<=coa94ff[0];ng1e1e0[209]<=alfdc3a;ng1e1e0[104]<=tw3fb87[0];ng1e1e0[30]<=xw69ede;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[0]};zm9c559<=mef0f02[1];fp81339<=mef0f02[2];byd5aaf<=mef0f02[3];os4ce77<=mef0f02[4];qv39dc8<={ba25a85>>1,mef0f02[5]};eacee45<=mef0f02[6];kq77228<=mef0f02[7];ldc8a08<={gq3eb5e>>1,mef0f02[8]};vv45047<=mef0f02[9];ph2823e<=mef0f02[10];qt411f7<=mef0f02[11];an8fbc<=mef0f02[12];jc47de5<=mef0f02[13];uk3ef2a<=mef0f02[14];ipf7950<=mef0f02[15];ksbca84<=mef0f02[16];ice5421<=mef0f02[17];bl5084a<={do1ba48>>1,mef0f02[18]};tj84256<=mef0f02[19];wy212b6<=mef0f02[20];rv95b1<=mef0f02[21];nr4ad8e<=mef0f02[22];swb63b1<={qi1fe10>>1,mef0f02[23]};fp19883<=mef0f02[24];end +always@* begin ng1e1e0[2047]<=lfaa812;ng1e1e0[2046]<=jc4d12d;ng1e1e0[2044]<=ykce1a5;ng1e1e0[2040]<=gb44b50;ng1e1e0[2032]<=ba25a85[0];ng1e1e0[2017]<=ukf3ec;ng1e1e0[1987]<=zz22aa1;ng1e1e0[1926]<=gq3eb5e[0];ng1e1e0[1804]<=mg8d20b;ng1e1e0[1803]<=qi1fe10[0];ng1e1e0[1560]<=xw69058;ng1e1e0[1558]<=rgf144b;ng1e1e0[1550]<=co1a8dd;ng1e1e0[1072]<=by482c3;ng1e1e0[1052]<=god46e9;ng1e1e0[1023]<=bn1ce70[0];ng1e1e0[901]<=dm487f8;ng1e1e0[775]<=qtc351b;ng1e1e0[450]<=qg490ff;ng1e1e0[387]<=dm586a3;ng1e1e0[225]<=zxe921f;ng1e1e0[193]<=jeb0d4;ng1e1e0[112]<=rtdd243;ng1e1e0[96]<=of4161a;ng1e1e0[56]<=do1ba48[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[0]};wl9fec7<=mef0f02[1];kqff63d<=mef0f02[2];jcfb1e9<=mef0f02[3];dzd8f4b<=mef0f02[4];nrc7a5e<={vif4c35>>1,mef0f02[5]};db3d2f6<={zm3f362>>1,mef0f02[6]};dze97b6<={ps6c4ff>>1,mef0f02[7]};yx4bdb7<={os627fb>>1,mef0f02[8]};end +always@* begin ng1e1e0[2047]<=hbe62f4;ng1e1e0[2046]<=uk317a6;ng1e1e0[2044]<=fp8bd30;ng1e1e0[2041]<=uv5e986;ng1e1e0[2034]<=vif4c35[0];ng1e1e0[2021]<=zm3f362[0];ng1e1e0[1995]<=ps6c4ff[0];ng1e1e0[1943]<=os627fb[0];ng1e1e0[1023]<=mrdcc5e[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f= 14'd64); + + + + +assign wy15c82 = ((~gq88319) ? (lfaa812 & ~gq3e3e7) : 1'b0) | (zzb0517 & ~qi828be) | (dob2655 & ~ba932ab) | (vvc8b97 & ux7990 & lfaa812) | (pu3a20c & lfaa812); + +assign cz65043 = al4b56a | (zxcd67f & an8a74); + + +assign nt2821d = gb44b50; +assign al410ef = gb44b50 & ea437a9; + + +assign ui43be4 = (~xl84bbc) ? hofec14 -14'd4 : fc83768; + +assign kf17a95 = 32'hffffffff; + +assign jc52b50 = ls35502; +assign fp8bd30 = ~vi7ce5c & kfa4f8f; + +assign ks24554 = al4b56a | yk5c720; + + + +assign ri4632 = cz418c8 & ir90977 & wwcb8e4 & gq3e3e7 & ~(hd9b63f | xj45cbf); + +always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin gdab323 <= 1'b0; zkd5664 <= 1'b0; mrcc8e0 <= 14'b0; ldd22e2 <= 14'b0; bn8b8b7 <= 14'b0; me5c5be <= 1'b0; ux8420 <= 1'b0; uvc64a4 <= 1'b0; ps79d12 <= 1'b0; wwce893 <= 1'b0; bl74498 <= 1'b0; hqa24c6 <= 1'b0; ir23192 <= 1'b0; fa58e7c <= 1'b0; je2f3a2 <= 1'b0; psf1bd5 <= 14'd0; ux2382f <= 14'd0; bldd558 <= 9'd0; ykeaac7 <= 1'b0; wl12632 <= 1'b0; lqfe289 <= 1'b0; end else if (rxmac_clk_en) begin ykeaac7 <= mt29d2e & ~czf29dc; if (mt29d2e && !czf29dc) bldd558 <= ayf0d93; ir23192 <= fp8bd30; fa58e7c <= wl3971c; if (czf8071) je2f3a2 <= czf8071; else if (ls35502 && !czf8071) je2f3a2 <= 1'b0; else if (zzb0517) je2f3a2 <= 1'b0; else je2f3a2 <= qifcdb; hqa24c6 <= ~vk1f9b; if (rvba149 & zm1b29c) bl74498 <= rvba149; else if (mt29d2e) bl74498 <= 1'b0; else bl74498 <= hd9b63f; if (zke407e) wwce893 <= zke407e; else if (mt29d2e) wwce893 <= 1'b0; else wwce893 <= xjf36c7; lqfe289 <= al4b56a; if (do83310) ps79d12 <= kf8527a; if (yzab1cf) wl12632 <= ayd4a7c; uvc64a4 <= al4b56a | (yk5c720 & zm1b29c); mrcc8e0 <= tj137d0; zkd5664 <= dze3901; gdab323 <= vidf437; if (zxcd67f && !hqe313) ux8420 <= ls1bd49; me5c5be <= hqe313; if (zxcd67f && !hqe313) ldd22e2 <= pff9acf; if (hqe313) begin bn8b8b7 <= pff9acf + 14'd1; end else bn8b8b7 <= 14'd0; if (tw8c4df && xl84bbc && su49641) ux2382f <= fc83768 + 14'd1; if (pf7189b && !xl84bbc && an8a74) psf1bd5 <= hofec14 + 14'd1; else if (jpf60a2) psf1bd5 <= 14'd0; end +end + + + +assign yzab1cf = jpf60a2; + + +assign lf32525 = gd3cc84 ? (ks97e6b > (ho6322e + 14'd4)) : ks97e6b > ho6322e; +assign mg9292f = (ks97e6b < 14'd64); +assign hd94979 = wwff00e; + +assign gd1df20 = (ks97e6b[6:0] != 7'd64); +assign icef904 = (hd3212e != qvbe0dd); +assign oha4bce = vx1c80f ? 1'b0 : ux7990 | xl84bbc ? hd9be86 : hq25de7 ? 1'b0 : fca2f83; + +assign zm25e74 = ~(hd9b63f | xj45cbf | ng203f3); +assign vx33b0[31] = zm145f0; +assign vx33b0[30] = vx1c80f; +assign vx33b0[29] = fn7e6d8; +assign vx33b0[28] = nrd8ff0; +assign vx33b0[27] = xjf36c7; +assign vx33b0[26] = qifcdb; +assign vx33b0[25] = xj45cbf; +assign vx33b0[24] = ng203f3; +assign vx33b0[23] = vk1f9b; +assign vx33b0[22] = th4f83c; +assign vx33b0[21] = by7c1e6; +assign vx33b0[20] = ned0a4f; +assign vx33b0[19] = uk2ef3a; +assign vx33b0[18] = ux7990; +assign vx33b0[17] = ir90977; +assign vx33b0[16] = gd3cc84; +assign vx33b0[15:14] = 2'b00; +assign vx33b0[13:0] = ks97e6b; + +assign jr32885[0] = ir90977; +assign jr32885[1] = xj45cbf; +assign jr32885[2] = hd9b63f; +assign jr32885[3] = zm145f0; +assign jr32885[4] = vx1c80f; +assign jr32885[5] = fn7e6d8; +assign jr32885[6] = th4f83c; +assign jr32885[7] = by7c1e6; +assign jr32885[8] = gd3cc84; + +always@* begin zm1b29c<=mef0f02[0];ic77429<=mef0f02[1];rvba149<=mef0f02[2];ned0a4f<=mef0f02[3];kf8527a<=mef0f02[4];je896ad<={bn1ce70>>1,mef0f02[5]};al4b56a<=mef0f02[6];qt7a94f<=mef0f02[7];ayd4a7c<=mef0f02[8];lfa53e0<=mef0f02[9];gd29f07<=mef0f02[10];th4f83c<=mef0f02[11];by7c1e6<=mef0f02[12];kqe0f32<=mef0f02[13];ux7990<=mef0f02[14];gd3cc84<=mef0f02[15];hd3212e<={dm579a3>>1,mef0f02[16]};ir90977<=mef0f02[17];xl84bbc<=mef0f02[18];hq25de7<=mef0f02[19];uk2ef3a<=mef0f02[20];cm779d1<=mef0f02[21];qte7441<={lde68d9>>1,mef0f02[22]};pu3a20c<=mef0f02[23];wwd1063<=mef0f02[24];gq88319<=mef0f02[25];cz418c8<=mef0f02[26];ho6322e<={ldcd808>>1,mef0f02[27]};xl19172<=mef0f02[28];vvc8b97<=mef0f02[29];xj45cbf<=mef0f02[30];qg72ff8<={je2fc44>>1,mef0f02[31]};wy97fc0<=mef0f02[32];jrbfe01<=mef0f02[33];wwff00e<=mef0f02[34];czf8071<=mef0f02[35];tuc038c<=mef0f02[36];xy1c62<=mef0f02[37];hqe313<=mef0f02[38];pf7189b<=mef0f02[39];tw8c4df<=mef0f02[40];tj137d0<={ui43be4>>1,mef0f02[41]};hd9be86<=mef0f02[42];vidf437<=mef0f02[43];rgd0dd1<={rge410b>>1,mef0f02[44]};pu86e8a<=mef0f02[45];tj37452<=mef0f02[46];bnba297<={sw216d2>>1,mef0f02[47]};osd14bf<=mef0f02[48];wl8a5f9<=mef0f02[49];ks97e6b<={ldd22e2>>1,mef0f02[50]};pff9acf<={bn8b8b7>>1,mef0f02[51]};zxcd67f<=mef0f02[52];zx6b3fe<=mef0f02[53];me59ff6<=mef0f02[54];facffb0<=mef0f02[55];hofec14<={psf1bd5>>1,mef0f02[56]};jpf60a2<=mef0f02[57];zzb0517<=mef0f02[58];qi828be<=mef0f02[59];zm145f0<=mef0f02[60];fca2f83<=mef0f02[61];qvbe0dd<={mrcc8e0>>1,mef0f02[62]};fc83768<={ux2382f>>1,mef0f02[63]};tw1bb43<=mef0f02[64];pfdda1b<=mef0f02[65];ened0de<=mef0f02[66];ea686f5<=mef0f02[67];ea437a9<=mef0f02[68];ls1bd49<=mef0f02[69];aydea4b<=mef0f02[70];wya92c8<={ngb200>>1,mef0f02[71]};su49641<=mef0f02[72];uv4b208<=mef0f02[73];me59045<=mef0f02[74];qt4114e<={vx33b0>>1,mef0f02[75]};an8a74<=mef0f02[76];ld453a5<=mef0f02[77];mt29d2e<=mef0f02[78];czf29dc<=mef0f02[79];pf74ba4<=mef0f02[80];vxa5d27<=mef0f02[81];rt749f1<={ym1f042>>1,mef0f02[82]};kfa4f8f<=mef0f02[83];vx27c7c<=mef0f02[84];gq3e3e7<=mef0f02[85];dmf1f39<=mef0f02[86];cb8f9cb<={ym10823>>1,mef0f02[87]};vi7ce5c<=mef0f02[88];do83310<=mef0f02[89];wl3971c<=mef0f02[90];wwcb8e4<=mef0f02[91];yk5c720<=mef0f02[92];dze3901<=mef0f02[93];vx1c80f<=mef0f02[94];zke407e<=mef0f02[95];ng203f3<=mef0f02[96];vk1f9b<=mef0f02[97];qifcdb<=mef0f02[98];fn7e6d8<=mef0f02[99];xjf36c7<=mef0f02[100];hd9b63f<=mef0f02[101];qgdb1fe<=mef0f02[102];nrd8ff0<=mef0f02[103];pfc7f86<=mef0f02[104];ri3fc36<=mef0f02[105];ayf0d93<={jr32885>>1,mef0f02[106]};do86c99<={vx9442e>>1,mef0f02[107]};an364ca<=mef0f02[108];dob2655<=mef0f02[109];ba932ab<=mef0f02[110];end +always@* begin ng1e1e0[2047]<=fa6c2d4;ng1e1e0[2046]<=pf616a3;ng1e1e0[2044]<=lsb51f;ng1e1e0[2040]<=vv5a8fb;ng1e1e0[2032]<=bn1ce70[0];ng1e1e0[2028]<=uvc64a4;ng1e1e0[2017]<=cb25ef;ng1e1e0[2008]<=lf32525;ng1e1e0[1988]<=vx33b0[0];ng1e1e0[1987]<=wl12f7d;ng1e1e0[1969]<=mg9292f;ng1e1e0[1928]<=iccec3e;ng1e1e0[1926]<=rva04bd;ng1e1e0[1891]<=hd94979;ng1e1e0[1809]<=rg761f0;ng1e1e0[1805]<=kf23e8c;ng1e1e0[1804]<=mg1550f;ng1e1e0[1803]<=yz172cd;ng1e1e0[1761]<=tuf111f;ng1e1e0[1748]<=gdab323;ng1e1e0[1734]<=oha4bce;ng1e1e0[1727]<=ym10823[0];ng1e1e0[1696]<=bn1c17d;ng1e1e0[1668]<=gd1df20;ng1e1e0[1633]<=do93194;ng1e1e0[1587]<=ps79d12;ng1e1e0[1571]<=lfb0f83;ng1e1e0[1562]<=gofa328;ng1e1e0[1560]<=hdaa879;ng1e1e0[1558]<=ignore_pkt;ng1e1e0[1555]<=zma2177;ng1e1e0[1550]<=dm579a3[0];ng1e1e0[1531]<=ri4632;ng1e1e0[1475]<=uk888fa;ng1e1e0[1464]<=bl7b98b;ng1e1e0[1448]<=mrcc8e0[0];ng1e1e0[1420]<=zm25e74;ng1e1e0[1406]<=vx84118;ng1e1e0[1345]<=yke0bef;ng1e1e0[1288]<=icef904;ng1e1e0[1287]<=fc2fbc1;ng1e1e0[1242]<=yx6f559;ng1e1e0[1218]<=gq98ca2;ng1e1e0[1131]<=yxf8210;ng1e1e0[1126]<=wwce893;ng1e1e0[1094]<=xw69ede;ng1e1e0[1076]<=zm8ca08;ng1e1e0[1072]<=en543cf;ng1e1e0[1069]<=qgcb360;ng1e1e0[1063]<=ie10bba;ng1e1e0[1056]<=ba2085b;ng1e1e0[1055]<=ykef059;ng1e1e0[1052]<=ec346cf;ng1e1e0[1028]<=ldd22e2[0];ng1e1e0[1023]<=ks10d54;ng1e1e0[1014]<=ir23192;ng1e1e0[994]<=vv400ce;ng1e1e0[902]<=of447d1;ng1e1e0[901]<=lde68d9[0];ng1e1e0[880]<=je2fc44[0];ng1e1e0[874]<=zkd5664;ng1e1e0[863]<=jc42104;ng1e1e0[848]<=ux2382f[0];ng1e1e0[834]<=ui43be4[0];ng1e1e0[816]<=wl12632;ng1e1e0[793]<=je2f3a2;ng1e1e0[777]<=vx9442e[0];ng1e1e0[775]<=hq2af34;ng1e1e0[765]<=lqfe289;ng1e1e0[732]<=wj6023b;ng1e1e0[643]<=aa5f78;ng1e1e0[621]<=sw8deab;ng1e1e0[565]<=ym1f042[0];ng1e1e0[528]<=rge410b[0];ng1e1e0[527]<=me7de0b;ng1e1e0[514]<=en5b48b;ng1e1e0[497]<=fnc8019;ng1e1e0[450]<=ksbcd1b;ng1e1e0[437]<=gb7aacc;ng1e1e0[431]<=ux8420;ng1e1e0[417]<=al410ef;ng1e1e0[408]<=hqa24c6;ng1e1e0[388]<=jr32885[0];ng1e1e0[387]<=ep855e6;ng1e1e0[366]<=tu6c047;ng1e1e0[310]<=psf1bd5[0];ng1e1e0[282]<=uif07c1;ng1e1e0[257]<=jeb691;ng1e1e0[248]<=ic59003;ng1e1e0[225]<=rgc0518;ng1e1e0[215]<=mec1084;ng1e1e0[208]<=nt2821d;ng1e1e0[204]<=bl74498;ng1e1e0[193]<=jp50abc;ng1e1e0[183]<=ldcd808[0];ng1e1e0[155]<=fcb7c6f;ng1e1e0[141]<=sw3e0f8;ng1e1e0[128]<=sw216d2[0];ng1e1e0[124]<=ngb200[0];ng1e1e0[112]<=xy1b3c0;ng1e1e0[104]<=cz65043;ng1e1e0[96]<=uxa1e7d;ng1e1e0[91]<=go59b01;ng1e1e0[78]<=wl85dd0;ng1e1e0[77]<=zz16f8d;ng1e1e0[64]<=zm42da;ng1e1e0[62]<=ea782c8;ng1e1e0[56]<=eca3678;ng1e1e0[38]<=kde2df1;ng1e1e0[19]<=me5c5be;ng1e1e0[9]<=bn8b8b7[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[3]};rvba149<=mef0f02[4];ned0a4f<=mef0f02[5];kf8527a<=mef0f02[6];gb6abd3<={uv70d29>>1,mef0f02[7]};ntaf4ee<={hq8694d>>1,mef0f02[8]};czd3bb5<={ec34a6a>>1,mef0f02[9]};dmeed68<={lfa5351>>1,mef0f02[10]};dbb5a1a<={gd29a8f>>1,mef0f02[11]};go686bb<={rg4d47c>>1,mef0f02[12]};ie1aec9<={mr6a3e2>>1,mef0f02[13]};yzbb24e<={of51f14>>1,mef0f02[14]};gbc93ad<={sj8f8a4>>1,mef0f02[15]};ay49d6c<=mef0f02[16];kd4eb61<=mef0f02[17];ip75b0c<=mef0f02[18];qv39dc8<={ba25a85>>1,mef0f02[19]};gq88319<=mef0f02[20];cz418c8<=mef0f02[21];ho6322e<={ldcd808>>1,mef0f02[22]};xl19172<=mef0f02[23];vvc8b97<=mef0f02[24];wwde5f9<=mef0f02[25];wwd1063<=mef0f02[26];ym3e93a<={xw791a7>>1,mef0f02[27]};epa4e83<={me469d7>>1,mef0f02[28]};zz2741c<=mef0f02[29];je3a0e6<=mef0f02[30];byd0733<=mef0f02[31];vk8399b<=mef0f02[32];ph1ccda<=mef0f02[33];gd336b6<={qia590>>1,mef0f02[34]};bn9b5b5<=mef0f02[35];vidadae<=mef0f02[36];end6d73<=mef0f02[37];swb6b9d<=mef0f02[38];phb5ce8<=mef0f02[39];zk73a3c<={nr5a773>>1,mef0f02[40]};qte8f11<={uk9dcf5>>1,mef0f02[41]};yz3c462<={by73d42>>1,mef0f02[42]};ofe2314<=mef0f02[43];zm118a4<=mef0f02[44];ba8c522<=mef0f02[45];me62912<=mef0f02[46];ng14891<=mef0f02[47];kfa448a<=mef0f02[48];zz22455<=mef0f02[49];kf122ae<=mef0f02[50];nt91574<=mef0f02[51];oh8aba7<=mef0f02[52];pf55d3c<=mef0f02[53];anae9e6<=mef0f02[54];bl74f35<=mef0f02[55];lsa79af<=mef0f02[56];fne6bdd<={czfd46f>>1,mef0f02[57]};je35eef<=mef0f02[58];zzaf77b<=mef0f02[59];vvddef6<={mr6f821>>1,mef0f02[60]};ykef7b6<=mef0f02[61];hb7bdb0<=mef0f02[62];pff6c31<={sw82127>>1,mef0f02[63]};ntb0c71<={zz849c8>>1,mef0f02[64]};co31c7b<={nt27238>>1,mef0f02[65]};xj71ecf<={thc8e34>>1,mef0f02[66]};gd8f67f<=mef0f02[67];hb7b3fd<=mef0f02[68];facff7c<={ec34efc>>1,mef0f02[69]};end +always@* begin ng1e1e0[2047]<=ks10d54;ng1e1e0[2046]<=fa6c2d4;ng1e1e0[2044]<=ls86aa0[0];ng1e1e0[2041]<=pf616a3;ng1e1e0[2035]<=lsb51f;ng1e1e0[2029]<=czfd46f[0];ng1e1e0[2022]<=vv5a8fb;ng1e1e0[2011]<=suea37c;ng1e1e0[1996]<=uv70d29[0];ng1e1e0[1974]<=kd51be0;ng1e1e0[1945]<=hq8694d[0];ng1e1e0[1943]<=rib86e8;ng1e1e0[1922]<=rx_fifo_full;ng1e1e0[1901]<=mr6f821[0];ng1e1e0[1842]<=ec34a6a[0];ng1e1e0[1839]<=kdc3742;ng1e1e0[1797]<=ignore_pkt;ng1e1e0[1791]<=fa471a7;ng1e1e0[1778]<=ho425c3;ng1e1e0[1776]<=ldcd808[0];ng1e1e0[1759]<=zz849c8[0];ng1e1e0[1755]<=rg7c10d;ng1e1e0[1637]<=lfa5351[0];ng1e1e0[1631]<=hq1ba15;ng1e1e0[1622]<=of51f14[0];ng1e1e0[1546]<=xw791a7[0];ng1e1e0[1535]<=vx38d3b;ng1e1e0[1531]<=ym157ea;ng1e1e0[1509]<=kf12e1b;ng1e1e0[1504]<=tu6c047;ng1e1e0[1471]<=nt27238[0];ng1e1e0[1468]<=qgcb360;ng1e1e0[1463]<=ice086a;ng1e1e0[1391]<=vk14915;ng1e1e0[1312]<=qia590[0];ng1e1e0[1226]<=gd29a8f[0];ng1e1e0[1215]<=xjdd0ab;ng1e1e0[1197]<=sj8f8a4[0];ng1e1e0[1153]<=ri9640b;ng1e1e0[1044]<=me469d7[0];ng1e1e0[1037]<=tj8169d;ng1e1e0[1023]<=reset_n;ng1e1e0[1022]<=ec34efc[0];ng1e1e0[1014]<=yzabf51;ng1e1e0[971]<=ou970dd;ng1e1e0[961]<=wj6023b;ng1e1e0[895]<=thc8e34[0];ng1e1e0[889]<=baa84b8;ng1e1e0[888]<=go59b01;ng1e1e0[879]<=sw82127[0];ng1e1e0[811]<=mr6a3e2[0];ng1e1e0[765]<=dm42afd;ng1e1e0[734]<=ba25a85[0];ng1e1e0[695]<=ale2922;ng1e1e0[656]<=ngb8296;ng1e1e0[576]<=ho52c81;ng1e1e0[518]<=ux902d3;ng1e1e0[444]<=kqf5097;ng1e1e0[405]<=rg4d47c[0];ng1e1e0[382]<=uve855f;ng1e1e0[347]<=tu7c524;ng1e1e0[328]<=hbd7052;ng1e1e0[259]<=pub205a;ng1e1e0[222]<=jr9ea12;ng1e1e0[164]<=xy3ae0a;ng1e1e0[111]<=by73d42[0];ng1e1e0[82]<=ria75c1;ng1e1e0[55]<=uk9dcf5[0];ng1e1e0[41]<=sw34eb8;ng1e1e0[27]<=nr5a773[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[1]};kd4e479<=mef0f02[2];kq723cd<=mef0f02[3];hd91e69<={gqb35c6>>1,mef0f02[4]};oh8f34e<={an9ae31>>1,mef0f02[5]};rg79a75<=mef0f02[6];pfcd3ab<={tu73a4e>>1,mef0f02[7]};end +always@* begin ng1e1e0[2047]<=ep29d6d[0];ng1e1e0[2046]<=aa82cd7;ng1e1e0[2044]<=db166b8;ng1e1e0[2040]<=gqb35c6[0];ng1e1e0[2033]<=an9ae31[0];ng1e1e0[2019]<=thd718d;ng1e1e0[1991]<=tu73a4e[0];ng1e1e0[1023]<=of653ad;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[0]};wl9fec7<=mef0f02[1];dzd8f4b<=mef0f02[2];nrc7a5e<={vif4c35>>1,mef0f02[3]};db3d2f6<={zm3f362>>1,mef0f02[4]};dze97b6<={ps6c4ff>>1,mef0f02[5]};end +always@* begin ng1e1e0[2047]<=hbe62f4;ng1e1e0[2046]<=uv5e986;ng1e1e0[2044]<=vif4c35[0];ng1e1e0[2040]<=zm3f362[0];ng1e1e0[2032]<=ps6c4ff[0];ng1e1e0[1023]<=mrdcc5e[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[1]};ip6f847<=mef0f02[2];lq7c23d<=mef0f02[3];wl8f53<={cm531bb>>1,mef0f02[4]};bn3d4ea<={yxc6ec0>>1,mef0f02[5]};kqea756<=mef0f02[6];ww53ab0<=mef0f02[7];gq9d581<=mef0f02[8];qg56049<={bl7b7f8>>1,mef0f02[9]};vx81246<={icff1cb>>1,mef0f02[10]};ph9236<=mef0f02[11];end +always@* begin ng1e1e0[2047]<=ay625cf[0];ng1e1e0[2046]<=sj12e78;ng1e1e0[2044]<=aa973c5;ng1e1e0[2040]<=cm531bb[0];ng1e1e0[2033]<=yxc6ec0[0];ng1e1e0[2018]<=nt37604;ng1e1e0[1988]<=pfd813d;ng1e1e0[1929]<=hoc09ef;ng1e1e0[1811]<=bl7b7f8[0];ng1e1e0[1574]<=icff1cb[0];ng1e1e0[1101]<=uvf8e59;ng1e1e0[1023]<=xjec4b9;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[6]};ng1497b<={fa69d8e>>1,mef0f02[7]};tw25ed4<={kd4ec72>>1,mef0f02[8]};ng2f6a2<=mef0f02[9];xj7b512<=mef0f02[10];jcda897<=mef0f02[11];kqd44bb<=mef0f02[12];ir12eca<={jr94778>>1,mef0f02[13]};ym97655<=mef0f02[14];uxbb2a8<=mef0f02[15];jccaa2d<={ayef1cc>>1,mef0f02[16]};bl5516a<=mef0f02[17];vka8b57<=mef0f02[18];bn2d5d7<={gd3980f>>1,mef0f02[19]};ip6aebf<=mef0f02[20];lq575fd<=mef0f02[21];vxbafea<=mef0f02[22];rtd7f56<=mef0f02[23];vxbfab7<=mef0f02[24];qtfd5be<=mef0f02[25];ayeadf4<=mef0f02[26];ww53ab0<={pfd813d>>1,mef0f02[27]};gq9d581<={hoc09ef>>1,mef0f02[28]};cbbe84a<=mef0f02[29];tuf4254<=mef0f02[30];rv9515<={kdd9d10>>1,mef0f02[31]};gb54576<={pf74420>>1,mef0f02[32]};ou15dab<={gq10832>>1,mef0f02[33]};sjaed58<=mef0f02[34];ne76ac5<=mef0f02[35];bnb562a<=mef0f02[36];irab156<=mef0f02[37];ho58ab4<=mef0f02[38];rgc55a2<=mef0f02[39];hq2ad12<={hbe3c76>>1,mef0f02[40]};cm56893<={zm1e3b7>>1,mef0f02[41]};epb4499<=mef0f02[42];fca24cc<=mef0f02[43];gd12662<=mef0f02[44];fc93314<=mef0f02[45];xy998a2<=mef0f02[46];qtcc512<=mef0f02[47];ls144a1<={go782f6>>1,mef0f02[48]};xya250b<=mef0f02[49];ux1285a<=mef0f02[50];tj942d5<=mef0f02[51];kfa16af<={gq15fa4>>1,mef0f02[52]};xyb57d<=mef0f02[53];nr5abeb<=mef0f02[54];rgd5f5f<={rgf483e>>1,mef0f02[55]};rvafafa<=mef0f02[56];ea7d7d7<=mef0f02[57];jcebeb8<=mef0f02[58];vv5f5c3<=mef0f02[59];ykfae1e<=mef0f02[60];pfd70f0<=mef0f02[61];zmb8786<=mef0f02[62];wjc3c32<=mef0f02[63];vk1e194<=mef0f02[64];ykf0ca2<={xj4aae6>>1,mef0f02[65]};ng86511<={jp55736>>1,mef0f02[66]};hd3288b<={irab9b7>>1,mef0f02[67]};fp9445e<=mef0f02[68];baa22f7<=mef0f02[69];zm117ba<=mef0f02[70];end +always@* begin ng1e1e0[2047]<=anaeeb9;ng1e1e0[2046]<=yk775cd;ng1e1e0[2044]<=phbae69;ng1e1e0[2040]<=vvd734e;ng1e1e0[2032]<=ukb9a76;ng1e1e0[2018]<=faf1dba;ng1e1e0[2017]<=nrcd3b1[0];ng1e1e0[1989]<=tj8edd3;ng1e1e0[1987]<=fa69d8e[0];ng1e1e0[1931]<=czd3c9f;ng1e1e0[1927]<=kd4ec72[0];ng1e1e0[1865]<=ayef1cc[0];ng1e1e0[1859]<=gq10832[0];ng1e1e0[1844]<=hdafd20;ng1e1e0[1815]<=fp9e4fd;ng1e1e0[1806]<=ho76394;ng1e1e0[1682]<=ui78e60;ng1e1e0[1674]<=qv90c78;ng1e1e0[1671]<=lf32197;ng1e1e0[1640]<=fn7e907;ng1e1e0[1582]<=czf27ee;ng1e1e0[1565]<=irb1ca3;ng1e1e0[1488]<=kdd9d10[0];ng1e1e0[1485]<=kf8057e;ng1e1e0[1326]<=gb6560e;ng1e1e0[1317]<=tucf141;ng1e1e0[1300]<=sj23348;ng1e1e0[1297]<=xj4aae6[0];ng1e1e0[1295]<=oh90cbf;ng1e1e0[1233]<=rgf483e[0];ng1e1e0[1210]<=hoc09ef[0];ng1e1e0[1189]<=cz4eb6c;ng1e1e0[1172]<=encc07d;ng1e1e0[1116]<=ld55e0b;ng1e1e0[1105]<=rv9bb69;ng1e1e0[1092]<=irab9b7[0];ng1e1e0[1087]<=ym97f1e;ng1e1e0[1082]<=tx_fifoavail;ng1e1e0[1023]<=gof5dd7;ng1e1e0[1009]<=zm1e3b7[0];ng1e1e0[932]<=sj1de39;ng1e1e0[929]<=pf74420[0];ng1e1e0[922]<=gq15fa4[0];ng1e1e0[837]<=xy20f9d;ng1e1e0[744]<=je1b674;ng1e1e0[742]<=lfb00af;ng1e1e0[663]<=ldecac1;ng1e1e0[648]<=mgad95;ng1e1e0[605]<=pfd813d[0];ng1e1e0[594]<=ng1f65;ng1e1e0[586]<=gd3980f[0];ng1e1e0[552]<=by69136;ng1e1e0[547]<=cb36f47;ng1e1e0[546]<=jp55736[0];ng1e1e0[543]<=ri865fc;ng1e1e0[504]<=hbe3c76[0];ng1e1e0[466]<=gqa3bc7;ng1e1e0[418]<=kfa41f3;ng1e1e0[372]<=mt236ce;ng1e1e0[371]<=rtf6015;ng1e1e0[331]<=ieb9e28;ng1e1e0[324]<=zx7e856;ng1e1e0[297]<=mr603ec;ng1e1e0[273]<=rte6de8;ng1e1e0[252]<=xjfc78e;ng1e1e0[233]<=jr94778[0];ng1e1e0[185]<=go782f6[0];ng1e1e0[162]<=qg6d3f4;ng1e1e0[136]<=kq5cdbd;ng1e1e0[126]<=zzbf8f1;ng1e1e0[116]<=os728ef;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[3]};ec31d79<=mef0f02[4];lf8ebc9<=mef0f02[5];dbaf275<={tj19519>>1,mef0f02[6]};ng2f6a2<=mef0f02[7];alc9d72<=mef0f02[8];kd4eb95<=mef0f02[9];ip75cac<=mef0f02[10];qvae565<=mef0f02[11];su72b2c<=mef0f02[12];oh95960<=mef0f02[13];qiacb04<=mef0f02[14];uv65820<=mef0f02[15];wl2c104<=mef0f02[16];uv60825<=mef0f02[17];vk412c<=mef0f02[18];ng20962<=mef0f02[19];ri4b12<=mef0f02[20];ls25893<=mef0f02[21];ay49c8f<={ep29d6d>>1,mef0f02[22]};an126a8<=mef0f02[23];je93544<=mef0f02[24];ep9aa22<=mef0f02[25];xwd5115<=mef0f02[26];pua88ab<=mef0f02[27];vx22ad4<={uv4b7df>>1,mef0f02[28]};gq156a7<=mef0f02[29];zx5a9cc<={fafbf6a>>1,mef0f02[30]};icd4e67<=mef0f02[31];vka7338<=mef0f02[32];ep399c2<=mef0f02[33];ofcce15<=mef0f02[34];qg670ad<=mef0f02[35];rv38568<=mef0f02[36];ldc2b46<=mef0f02[37];ks15a36<=mef0f02[38];lsad1b0<=mef0f02[39];ic68d83<=mef0f02[40];go46c18<=mef0f02[41];yz360c0<=mef0f02[42];phb0605<=mef0f02[43];pu8302e<=mef0f02[44];wl18173<=mef0f02[45];lqc0b9d<=mef0f02[46];ou5ceb<=mef0f02[47];mg2e759<=mef0f02[48];ne73ac8<=mef0f02[49];ym9d642<=mef0f02[50];byeb211<=mef0f02[51];jpc8451<={ww78015>>1,mef0f02[52]};zk4228e<=mef0f02[53];xy11473<=mef0f02[54];qv8a39b<=mef0f02[55];ip51cdd<=mef0f02[56];xl8e6ec<=mef0f02[57];lq73767<=mef0f02[58];ph9bb3b<=mef0f02[59];jpdd9df<=mef0f02[60];jpecefe<=mef0f02[61];os677f6<=mef0f02[62];end +always@* begin ng1e1e0[2047]<=anaeeb9;ng1e1e0[2046]<=tx_sndpausreq;ng1e1e0[2044]<=tx_sndpaustim[0];ng1e1e0[2040]<=wyb3e52;ng1e1e0[2033]<=ux9f290;ng1e1e0[2019]<=tj19519[0];ng1e1e0[1991]<=ho76394;ng1e1e0[1934]<=by52133;ng1e1e0[1929]<=bydf8eb;ng1e1e0[1898]<=jc63c02;ng1e1e0[1821]<=bycf546;ng1e1e0[1810]<=blfc75b;ng1e1e0[1749]<=fc9c68c;ng1e1e0[1707]<=xyea19;ng1e1e0[1666]<=uv4b7df[0];ng1e1e0[1630]<=ng30125;ng1e1e0[1595]<=qg4671a;ng1e1e0[1572]<=wl1d6f7;ng1e1e0[1506]<=fc25558;ng1e1e0[1450]<=nga2e8e;ng1e1e0[1440]<=vid0974;ng1e1e0[1384]<=oh9a12e;ng1e1e0[1370]<=ep29d6d[0];ng1e1e0[1366]<=tx_discfrm;ng1e1e0[1284]<=of5befd;ng1e1e0[1212]<=an8092a;ng1e1e0[1202]<=vvecbf5;ng1e1e0[1174]<=wj44d9d;ng1e1e0[1170]<=aa8748b;ng1e1e0[1142]<=ep338d1;ng1e1e0[1125]<=gq988c6;ng1e1e0[1097]<=dz55311;ng1e1e0[1041]<=shed49d;ng1e1e0[1023]<=gof5dd7;ng1e1e0[964]<=je2aac3;ng1e1e0[949]<=hq8c780;ng1e1e0[853]<=qi2b075;ng1e1e0[833]<=ps5d2df;ng1e1e0[815]<=ofc6024;ng1e1e0[753]<=sw24aab;ng1e1e0[720]<=xjc8b1c;ng1e1e0[714]<=icced42;ng1e1e0[692]<=hd13425;ng1e1e0[685]<=mec1d43;ng1e1e0[601]<=bl4f4d7;ng1e1e0[587]<=ui67dfc;ng1e1e0[585]<=je35187;ng1e1e0[562]<=db13118;ng1e1e0[520]<=fafbf6a[0];ng1e1e0[474]<=hbe3463;ng1e1e0[407]<=an18c04;ng1e1e0[376]<=fc4955;ng1e1e0[357]<=yma3c6e;ng1e1e0[300]<=ww6d020;ng1e1e0[293]<=gb5efc8;ng1e1e0[292]<=ww78015[0];ng1e1e0[281]<=yk62623;ng1e1e0[237]<=uk1a31e;ng1e1e0[203]<=oh23180;ng1e1e0[146]<=ww4c478;ng1e1e0[140]<=mred898;ng1e1e0[70]<=qi9db13;ng1e1e0[35]<=of6a4ed;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[2]};je3a537<={xl285a7>>1,mef0f02[3]};dzd29bb<={by42d3f>>1,mef0f02[4]};ww53ab0<=mef0f02[5];gq9d581<=mef0f02[6];jr377f1<=mef0f02[7];end +always@* begin ng1e1e0[2047]<=ls1fac;ng1e1e0[2046]<=oufd63[0];ng1e1e0[2044]<=xl285a7[0];ng1e1e0[2040]<=by42d3f[0];ng1e1e0[2033]<=pfd813d;ng1e1e0[2019]<=hoc09ef;ng1e1e0[1990]<=vk3f5eb;ng1e1e0[1023]<=yz803f5;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[1]};ipd346d<=mef0f02[2];wl9a36c<=mef0f02[3];god1b64<=mef0f02[4];vk412c<=mef0f02[5];dm6c808<={ym9de6f>>1,mef0f02[6]};hq20217<={ps79bc0>>1,mef0f02[7]};ux85da<={cz6f01f>>1,mef0f02[8]};wl176a2<={fac07e0>>1,mef0f02[9]};neda8a5<={gq1f816>>1,mef0f02[10]};lsa2959<={sue058e>>1,mef0f02[11]};zza565a<={fp1638c>>1,mef0f02[12]};me596b8<={ph8e335>>1,mef0f02[13]};xj5ae09<={ls8cd7f>>1,mef0f02[14]};vkb827e<={ri35fdb>>1,mef0f02[15]};lf9f90<={kd7f6df>>1,mef0f02[16]};th7e43e<={aydb7eb>>1,mef0f02[17]};kf90fbd<={jcdfac1>>1,mef0f02[18]};co3ef60<={vieb05c>>1,mef0f02[19]};dobd804<={uic1713>>1,mef0f02[20]};hbec023<=mef0f02[21];en6011f<=mef0f02[22];end +always@* begin ng1e1e0[2047]<=tx_fifodata[0];ng1e1e0[2046]<=tx_fifoeof;ng1e1e0[2044]<=tx_fifoempty;ng1e1e0[2040]<=tx_fifoctrl;ng1e1e0[2032]<=qi2b075;ng1e1e0[2017]<=ym9de6f[0];ng1e1e0[1987]<=ps79bc0[0];ng1e1e0[1927]<=cz6f01f[0];ng1e1e0[1865]<=kd7f6df[0];ng1e1e0[1806]<=fac07e0[0];ng1e1e0[1682]<=aydb7eb[0];ng1e1e0[1565]<=gq1f816[0];ng1e1e0[1317]<=jcdfac1[0];ng1e1e0[1172]<=uic1713[0];ng1e1e0[1082]<=sue058e[0];ng1e1e0[1023]<=tx_discfrm;ng1e1e0[932]<=ri35fdb[0];ng1e1e0[594]<=su5c4c2;ng1e1e0[586]<=vieb05c[0];ng1e1e0[466]<=ls8cd7f[0];ng1e1e0[297]<=fcb898;ng1e1e0[233]<=ph8e335[0];ng1e1e0[116]<=fp1638c[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[5]};cm5681e<={vvf96fb>>1,mef0f02[6]};ng1497b<={fa69d8e>>1,mef0f02[7]};al7a68d<={tx_fifodata>>1,mef0f02[8]};ipd346d<=mef0f02[9];wl9a36c<=mef0f02[10];jcda897<=mef0f02[11];ay463af<={tx_sndpaustim>>1,mef0f02[12]};end918e<=mef0f02[13];god1b64<=mef0f02[14];ipd9356<=mef0f02[15];ps4d5ad<={cb90622>>1,mef0f02[16]};ipe2514<=mef0f02[17];ux3c4a2<=mef0f02[18];tw25ed4<={kd4ec72>>1,mef0f02[19]};jccaa2d<={ayef1cc>>1,mef0f02[20]};bn2d5d7<={gd3980f>>1,mef0f02[21]};ip6aebf<=mef0f02[22];dbaf275<={tj19519>>1,mef0f02[23]};ir12eca<={jr94778>>1,mef0f02[24]};ay49c8f<={ep29d6d>>1,mef0f02[25]};kd4eb95<=mef0f02[26];lf81c3e<=mef0f02[27];al70fbb<={nr5a6ca>>1,mef0f02[28]};qi3eee8<={tj9b2b4>>1,mef0f02[29]};end +always@* begin ng1e1e0[2047]<=gof5dd7;ng1e1e0[2046]<=anaeeb9;ng1e1e0[2044]<=phbae69;ng1e1e0[2040]<=yk775cd;ng1e1e0[2032]<=vk3f2df[0];ng1e1e0[2017]<=vvf96fb[0];ng1e1e0[1987]<=fa69d8e[0];ng1e1e0[1926]<=tx_fifodata[0];ng1e1e0[1804]<=tx_fifoeof;ng1e1e0[1803]<=tj19519[0];ng1e1e0[1560]<=tx_fifoempty;ng1e1e0[1558]<=jr94778[0];ng1e1e0[1550]<=cb90622[0];ng1e1e0[1072]<=tx_fifoavail;ng1e1e0[1069]<=ep29d6d[0];ng1e1e0[1052]<=ukb9a76;ng1e1e0[1023]<=ir3994e;ng1e1e0[901]<=encc07d;ng1e1e0[775]<=zk520c4;ng1e1e0[732]<=tj9b2b4[0];ng1e1e0[450]<=gd3980f[0];ng1e1e0[387]<=tx_fifoctrl;ng1e1e0[366]<=nr5a6ca[0];ng1e1e0[225]<=ayef1cc[0];ng1e1e0[193]<=tx_sndpausreq;ng1e1e0[183]<=sh7aa33;ng1e1e0[112]<=kd4ec72[0];ng1e1e0[96]<=tx_sndpaustim[0];ng1e1e0[91]<=bycf546;ng1e1e0[56]<=vvd734e;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[0]};go781e5<={hdatain>>1,mef0f02[1]};zkc0f28<=mef0f02[2];yz7943<=mef0f02[3];mt3ca1c<=mef0f02[4];yke50e5<=mef0f02[5];cm4394f<={ene2fd7>>1,mef0f02[6]};tj1ca78<=mef0f02[7];ir29e31<={bldd558>>1,mef0f02[8]};jp4f18c<=mef0f02[9];cm78c67<=mef0f02[10];yxc6339<=mef0f02[11];ou319cd<=mef0f02[12];ls8ce69<=mef0f02[13];su6734b<=mef0f02[14];fncd2c5<={kdd3961>>1,mef0f02[15]};wj4b173<={cme586a>>1,mef0f02[16]};lqc5cea<={nr61a85>>1,mef0f02[17]};dm73a98<={uv6a174>>1,mef0f02[18]};wy9d4c2<=mef0f02[19];ww53088<={fn4f950>>1,mef0f02[20]};jpc2201<={cme5433>>1,mef0f02[21]};ec8807b<={hb50cca>>1,mef0f02[22]};ba1ec6<={gd332b3>>1,mef0f02[23]};dz7b199<={jccaceb>>1,mef0f02[24]};hbd8ccf<={yk5675a>>1,mef0f02[25]};shc667e<=mef0f02[26];hq99fad<={jceb401>>1,mef0f02[27]};nr7eb52<={tud0056>>1,mef0f02[28]};twad4b1<={do159c>>1,mef0f02[29]};ps52c5c<={os56710>>1,mef0f02[30]};irb171a<={xy9c400>>1,mef0f02[31]};ea5c6a6<={uk1001c>>1,mef0f02[32]};ie1a98e<={ou70c>>1,mef0f02[33]};yma6388<={nt1c306>>1,mef0f02[34]};aa31c40<=mef0f02[35];fp8e201<=mef0f02[36];qi8807e<={db6eb4>>1,mef0f02[37]};pu1f86<={ntbad37>>1,mef0f02[38]};ld7e198<={uxb4df5>>1,mef0f02[39]};ba86639<={tj37d69>>1,mef0f02[40]};ks98e5d<={wwf5a74>>1,mef0f02[41]};mt39747<={by69d0b>>1,mef0f02[42]};jc5d1e0<={nr742d4>>1,mef0f02[43]};ne4781c<={vkb535>>1,mef0f02[44]};cb3c0e2<={xj5a9ab>>1,mef0f02[45]};lf38a1<={wya6af8>>1,mef0f02[46]};she2877<={qvabe0a>>1,mef0f02[47]};je143bd<=mef0f02[48];ira1deb<=mef0f02[49];doef5c<=mef0f02[50];pubd710<={jp55d00>>1,mef0f02[51]};tueb882<=mef0f02[52];ui5c413<=mef0f02[53];end +always@* begin ng1e1e0[2047]<=hdatain[0];ng1e1e0[2046]<=hread_n;ng1e1e0[2044]<=hwrite_n;ng1e1e0[2040]<=hcs_n;ng1e1e0[2032]<=aye5ebc;ng1e1e0[2016]<=ene2fd7[0];ng1e1e0[1985]<=cb17ebd;ng1e1e0[1925]<=wwf5a74[0];ng1e1e0[1922]<=bldd558[0];ng1e1e0[1802]<=by69d0b[0];ng1e1e0[1796]<=ykeaac7;ng1e1e0[1556]<=nr742d4[0];ng1e1e0[1544]<=gq3baab;ng1e1e0[1505]<=uxb4df5[0];ng1e1e0[1324]<=gbf82ae;ng1e1e0[1316]<=jceb401[0];ng1e1e0[1200]<=jp55d00[0];ng1e1e0[1169]<=do159c[0];ng1e1e0[1163]<=uk1001c[0];ng1e1e0[1118]<=rge1837;ng1e1e0[1106]<=gd332b3[0];ng1e1e0[1065]<=vkb535[0];ng1e1e0[1041]<=nr61a85[0];ng1e1e0[1040]<=xw734fa;ng1e1e0[1023]<=haddr[0];ng1e1e0[962]<=tj37d69[0];ng1e1e0[752]<=ntbad37[0];ng1e1e0[707]<=hb74035;ng1e1e0[662]<=zx5f055;ng1e1e0[658]<=swb3ad0;ng1e1e0[600]<=ykc1574;ng1e1e0[584]<=tud0056[0];ng1e1e0[581]<=xy9c400[0];ng1e1e0[559]<=nt1c306[0];ng1e1e0[553]<=hb50cca[0];ng1e1e0[520]<=cme586a[0];ng1e1e0[376]<=db6eb4[0];ng1e1e0[353]<=epae806;ng1e1e0[331]<=qvabe0a[0];ng1e1e0[329]<=yk5675a[0];ng1e1e0[290]<=os56710[0];ng1e1e0[279]<=ou70c[0];ng1e1e0[276]<=cme5433[0];ng1e1e0[260]<=kdd3961[0];ng1e1e0[188]<=zzc1ba;ng1e1e0[165]<=wya6af8[0];ng1e1e0[164]<=jccaceb[0];ng1e1e0[138]<=fn4f950[0];ng1e1e0[130]<=qv9f4e5;ng1e1e0[82]<=xj5a9ab[0];ng1e1e0[69]<=pu353e5;ng1e1e0[65]<=kdd3e9c;ng1e1e0[34]<=uv6a174[0];ng1e1e0[32]<=gd9a7d3;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[0]};ho5da61<={kdc64dc>>1,mef0f02[1]};shed30a<=mef0f02[2];ne69855<=mef0f02[3];ui4c2aa<=mef0f02[4];ecaa87<={iccb7a2>>1,mef0f02[5]};phaa1c7<={kqde88d>>1,mef0f02[6]};ie871f6<={vka234a>>1,mef0f02[7]};fnc7d8f<={gq8d292>>1,mef0f02[8]};nrf63f0<={jp4a483>>1,mef0f02[9]};kf8fc3d<={gq920fa>>1,mef0f02[10]};ayf0f67<={je83e85>>1,mef0f02[11]};tj3d9c8<={qgfa150>>1,mef0f02[12]};ic6723e<={yz8540a>>1,mef0f02[13]};ldc8f84<={hb502a2>>1,mef0f02[14]};tu47c27<=mef0f02[15];wy3e13a<=mef0f02[16];ayf09d1<=mef0f02[17];fp84e89<=mef0f02[18];vx2744f<=mef0f02[19];end +always@* begin ng1e1e0[2047]<=kdc64dc[0];ng1e1e0[2046]<=xy9372f;ng1e1e0[2044]<=mdi;ng1e1e0[2040]<=lf2de5b;ng1e1e0[2032]<=iccb7a2[0];ng1e1e0[2017]<=kqde88d[0];ng1e1e0[1987]<=vka234a[0];ng1e1e0[1926]<=gq8d292[0];ng1e1e0[1804]<=jp4a483[0];ng1e1e0[1614]<=wya8be;ng1e1e0[1561]<=gq920fa[0];ng1e1e0[1180]<=al545f0;ng1e1e0[1074]<=je83e85[0];ng1e1e0[1023]<=gd18c9b[0];ng1e1e0[807]<=tj81517;ng1e1e0[625]<=wl17c2b;ng1e1e0[403]<=hb502a2[0];ng1e1e0[312]<=nga2f85;ng1e1e0[201]<=yz8540a[0];ng1e1e0[100]<=qgfa150[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[0]};hbc2a27<=mef0f02[1];ec1513e<=mef0f02[2];twa89f1<=mef0f02[3];sh44f8a<=mef0f02[4];vx27c55<=mef0f02[5];gq3e2af<=mef0f02[6];dmf1579<=mef0f02[7];yz8abca<=mef0f02[8];rt55e54<={rxd_pos>>1,mef0f02[9]};rvaf2a6<={rxd_neg>>1,mef0f02[10]};by79536<=mef0f02[11];lqca9b2<=mef0f02[12];qt54d92<=mef0f02[13];gqa6c94<=mef0f02[14];sj364a1<=mef0f02[15];rib250d<=mef0f02[16];ie9286b<=mef0f02[17];bn94359<=mef0f02[18];wla1acf<=mef0f02[19];mtd67c<=mef0f02[20];me59f26<={pf748cc>>1,mef0f02[21]};tu7c982<={an2333d>>1,mef0f02[22]};qv2609a<={kdccf66>>1,mef0f02[23]};ou826a2<={dm66a7e>>1,mef0f02[24]};an9a88e<={uka9f9a>>1,mef0f02[25]};qgd4475<=mef0f02[26];lsa23a9<=mef0f02[27];ba11d4a<=mef0f02[28];tj8ea52<=mef0f02[29];cz75296<=mef0f02[30];oua94b4<=mef0f02[31];jp4a5a1<=mef0f02[32];dm52d0f<=mef0f02[33];ls9687b<=mef0f02[34];yzb43db<=mef0f02[35];swf6e4<={hoc0bc3>>1,mef0f02[36]};zx7b721<=mef0f02[37];ykdb90f<=mef0f02[38];czdc879<=mef0f02[39];dme43cc<=mef0f02[40];sw21e63<=mef0f02[41];ecf318<=mef0f02[42];ps798c1<=mef0f02[43];yxcc60b<=mef0f02[44];ir182e2<={xy2aaf4>>1,mef0f02[45]};cmc1715<=mef0f02[46];kq5c542<={sh5e8fd>>1,mef0f02[47]};uve2a11<={faf47ed>>1,mef0f02[48]};ym1508d<={mga3f6a>>1,mef0f02[49]};xya8469<=mef0f02[50];by4234b<=mef0f02[51];ng11a5b<=mef0f02[52];ie8d2da<=mef0f02[53];rg696d0<=mef0f02[54];gb4b683<=mef0f02[55];gb5b41d<=mef0f02[56];jcda0ec<=mef0f02[57];hod0762<=mef0f02[58];end +always@* begin ng1e1e0[2047]<=zx6e28f;ng1e1e0[2046]<=pf7147e;ng1e1e0[2044]<=ned0632;ng1e1e0[2040]<=kq53683;ng1e1e0[2032]<=rx_dv_pos;ng1e1e0[2016]<=rx_dv_neg;ng1e1e0[1985]<=rx_er_pos;ng1e1e0[1922]<=rx_er_neg;ng1e1e0[1867]<=tu693a4;ng1e1e0[1804]<=sh5e8fd[0];ng1e1e0[1797]<=rxd_pos[0];ng1e1e0[1761]<=th50aab;ng1e1e0[1686]<=ui49d23;ng1e1e0[1623]<=mr5136c;ng1e1e0[1561]<=faf47ed[0];ng1e1e0[1558]<=wl9a1bf;ng1e1e0[1547]<=rxd_neg[0];ng1e1e0[1542]<=hoc0bc3[0];ng1e1e0[1490]<=nr74349;ng1e1e0[1475]<=xy2aaf4[0];ng1e1e0[1413]<=pf7e686;ng1e1e0[1409]<=xla5605;ng1e1e0[1400]<=xw6cad8;ng1e1e0[1324]<=pf748cc[0];ng1e1e0[1200]<=kdccf66[0];ng1e1e0[1199]<=fc89b65;ng1e1e0[1074]<=mga3f6a[0];ng1e1e0[1068]<=ng86fe2;ng1e1e0[1047]<=qv9f880;ng1e1e0[1037]<=ie5e1b;ng1e1e0[1023]<=fncdc51[0];ng1e1e0[933]<=ana1a4e;ng1e1e0[902]<=dz557a3;ng1e1e0[880]<=byca155;ng1e1e0[811]<=cz6a26d;ng1e1e0[779]<=zxf3437;ng1e1e0[771]<=wy2b02f;ng1e1e0[745]<=ie2e869;ng1e1e0[706]<=uka9f9a[0];ng1e1e0[704]<=ieb6958;ng1e1e0[700]<=kq6d95b;ng1e1e0[600]<=an2333d[0];ng1e1e0[440]<=yxd942a;ng1e1e0[405]<=kded44d;ng1e1e0[372]<=uk5d0d;ng1e1e0[353]<=dm66a7e[0];ng1e1e0[352]<=ymb6d2b;ng1e1e0[350]<=xw4db2b;ng1e1e0[220]<=ls1b285;ng1e1e0[202]<=kdfda89;ng1e1e0[186]<=cb80ba1;ng1e1e0[176]<=vk96da5;ng1e1e0[110]<=enc3650;ng1e1e0[101]<=mg1fb51;ng1e1e0[93]<=kde202e;ng1e1e0[88]<=db37f12;ng1e1e0[55]<=ay786ca;ng1e1e0[46]<=rtfc405;ng1e1e0[27]<=ri2f0d9;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f>1,mef0f02[1]};go781e5<={hdatain>>1,mef0f02[2]};mt3ca1c<=mef0f02[3];yz7943<=mef0f02[4];zkc0f28<=mef0f02[5];al7a68d<={tx_fifodata>>1,mef0f02[6]};jcda897<=mef0f02[7];ipd346d<=mef0f02[8];wl9a36c<=mef0f02[9];ay463af<={tx_sndpaustim>>1,mef0f02[10]};end918e<=mef0f02[11];god1b64<=mef0f02[12];wwde5f9<=mef0f02[13];wwd1063<=mef0f02[14];ks9259a<={ie2197a>>1,mef0f02[15]};ks92cd0<=mef0f02[16];pu96681<=mef0f02[17];ir29e31<={bldd558>>1,mef0f02[18]};jp4f18c<=mef0f02[19];vid028c<={ned0f15>>1,mef0f02[20]};bn81463<=mef0f02[21];iea31e<=mef0f02[22];wj518f1<={mre2a84>>1,mef0f02[23]};do8c78c<=mef0f02[24];dm63c62<=mef0f02[25];tw1e313<=mef0f02[26];jcf189a<=mef0f02[27];xy8c4d3<=mef0f02[28];go6269a<={ep29dbb>>1,mef0f02[29]};ph134d0<=mef0f02[30];an9a686<=mef0f02[31];ofd3434<=mef0f02[32];sj9a1a4<=mef0f02[33];byd0d24<=mef0f02[34];ri86922<=mef0f02[35];gq34912<=mef0f02[36];ana4891<=mef0f02[37];qi2448c<=mef0f02[38];vx22461<={xwe47ba>>1,mef0f02[39]};ep1230e<={yz23dd3>>1,mef0f02[40]};rv91874<={fc1ee9d>>1,mef0f02[41]};pu8c3a6<={gbf74eb>>1,mef0f02[42]};xj61d32<={bnba75d>>1,mef0f02[43]};twe996<={qtd3aef>>1,mef0f02[44]};dz74cb2<={ks9d77d>>1,mef0f02[45]};aaa6597<={tuebbe9>>1,mef0f02[46]};tj32cb8<={vi5df4b>>1,mef0f02[47]};vk965c6<={ykefa5a>>1,mef0f02[48]};vkb2e32<={ic7d2d1>>1,mef0f02[49]};ks97192<={rte9688>>1,mef0f02[50]};lsb8c90<={gb4b447>>1,mef0f02[51]};shc6483<=mef0f02[52];vx3241d<={qgd11ee>>1,mef0f02[53]};ou920ef<=mef0f02[54];sj90778<=mef0f02[55];ba83bc5<=mef0f02[56];kf1de2a<=mef0f02[57];osef154<=mef0f02[58];cm78aa0<=mef0f02[59];xwc5501<=mef0f02[60];do2a80a<=mef0f02[61];yx54053<={hq965a2>>1,mef0f02[62]};zza029d<={ngb2d12>>1,mef0f02[63]};ng14ef<=mef0f02[64];aaa77a<=mef0f02[65];ic53bd1<=mef0f02[66];ym9de89<=mef0f02[67];wwef448<={ou92714>>1,mef0f02[68]};qt7a240<={ri938a0>>1,mef0f02[69]};end +always@* begin ng1e1e0[2047]<=haddr[0];ng1e1e0[2046]<=hdatain[0];ng1e1e0[2044]<=hcs_n;ng1e1e0[2040]<=hwrite_n;ng1e1e0[2033]<=hread_n;ng1e1e0[2019]<=tx_fifodata[0];ng1e1e0[1994]<=gbf74eb[0];ng1e1e0[1990]<=tx_fifoavail;ng1e1e0[1981]<=tj84a76;ng1e1e0[1965]<=lsb7722;ng1e1e0[1940]<=bnba75d[0];ng1e1e0[1939]<=bldd558[0];ng1e1e0[1933]<=tx_fifoeof;ng1e1e0[1914]<=ba253b7;ng1e1e0[1883]<=ohbb913;ng1e1e0[1832]<=qtd3aef[0];ng1e1e0[1831]<=ykeaac7;ng1e1e0[1819]<=tx_fifoempty;ng1e1e0[1785]<=xwe47ba[0];ng1e1e0[1781]<=ep29dbb[0];ng1e1e0[1778]<=ie2197a[0];ng1e1e0[1740]<=hoee257;ng1e1e0[1719]<=mrdc89c;ng1e1e0[1634]<=mrd6596;ng1e1e0[1616]<=ks9d77d[0];ng1e1e0[1615]<=ned0f15[0];ng1e1e0[1591]<=tx_sndpaustim[0];ng1e1e0[1579]<=zm96892;ng1e1e0[1522]<=yz23dd3[0];ng1e1e0[1515]<=cz4eddc;ng1e1e0[1508]<=fpcbd0;ng1e1e0[1470]<=db1391e;ng1e1e0[1432]<=bl712ba;ng1e1e0[1406]<=ri938a0[0];ng1e1e0[1391]<=fae44e4;ng1e1e0[1286]<=ic7d2d1[0];ng1e1e0[1271]<=mg15425;ng1e1e0[1221]<=bab2cb4;ng1e1e0[1184]<=tuebbe9[0];ng1e1e0[1182]<=ie878aa;ng1e1e0[1135]<=tx_sndpausreq;ng1e1e0[1111]<=gdb4493;ng1e1e0[1051]<=gb4b447[0];ng1e1e0[1023]<=reset_n;ng1e1e0[997]<=fc1ee9d[0];ng1e1e0[990]<=bl5094e;ng1e1e0[982]<=vi76ee4;ng1e1e0[969]<=yx65e87;ng1e1e0[892]<=ls9c8f7;ng1e1e0[889]<=ignore_pkt;ng1e1e0[870]<=nt3dc4a;ng1e1e0[817]<=hq895d6;ng1e1e0[789]<=ngb2d12[0];ng1e1e0[735]<=lf22723;ng1e1e0[703]<=ou92714[0];ng1e1e0[643]<=ykefa5a[0];ng1e1e0[635]<=mre2a84[0];ng1e1e0[525]<=rte9688[0];ng1e1e0[495]<=hdaa129;ng1e1e0[444]<=rx_fifo_full;ng1e1e0[435]<=lq47b89;ng1e1e0[394]<=hq965a2[0];ng1e1e0[351]<=ep124e2;ng1e1e0[321]<=vi5df4b[0];ng1e1e0[317]<=oh3c550;ng1e1e0[222]<=tx_fifoctrl;ng1e1e0[217]<=ks88f71;ng1e1e0[175]<=vka249c;ng1e1e0[108]<=qgd11ee[0];ng1e1e0[54]<=hb5a23d;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f