From: hadaq Date: Fri, 18 Jan 2013 13:24:15 +0000 (+0000) Subject: unnecessary RUN_MODE port is removed from Channel and Channel_200 entities - cu X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=4b493ab1a02feaea7c4658070e28c1757453139c;p=trb3.git unnecessary RUN_MODE port is removed from Channel and Channel_200 entities - cu --- diff --git a/tdc_releases/tdc_v1.1.1/Channel.vhd b/tdc_releases/tdc_v1.1.1/Channel.vhd index 19ceea5..fa47a55 100644 --- a/tdc_releases/tdc_v1.1.1/Channel.vhd +++ b/tdc_releases/tdc_v1.1.1/Channel.vhd @@ -29,7 +29,6 @@ entity Channel is COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); DATA_FINISHED_IN : in std_logic; - RUN_MODE : in std_logic; -- LOST_HIT_NUMBER : out std_logic_vector(23 downto 0); HIT_DETECT_NUMBER : out std_logic_vector(23 downto 0); @@ -72,7 +71,6 @@ architecture Channel of Channel is -- other signal data_finished_i : std_logic; - signal run_mode_i : std_logic; ------------------------------------------------------------------------------- @@ -99,7 +97,6 @@ begin HIT_IN => hit_buf, EPOCH_COUNTER_IN => EPOCH_COUNTER_IN, DATA_FINISHED_IN => data_finished_i, - RUN_MODE => run_mode_i, COARSE_COUNTER_IN => coarse_cntr_reg, READ_EN_IN => READ_EN_IN, FIFO_DATA_OUT => FIFO_DATA_OUT, @@ -110,7 +107,6 @@ begin ENCODER_START_OUT => encoder_start_i); data_finished_i <= DATA_FINISHED_IN when rising_edge(CLK_100); - run_mode_i <= RUN_MODE when rising_edge(CLK_100); encoder_start_reg <= encoder_start_i when rising_edge(CLK_200); fifo_wr_en_reg <= fifo_wr_en_i when rising_edge(CLK_200); diff --git a/tdc_releases/tdc_v1.1.1/Channel_200.vhd b/tdc_releases/tdc_v1.1.1/Channel_200.vhd index cf7189d..b7b549e 100644 --- a/tdc_releases/tdc_v1.1.1/Channel_200.vhd +++ b/tdc_releases/tdc_v1.1.1/Channel_200.vhd @@ -33,7 +33,6 @@ entity Channel_200 is HIT_IN : in std_logic; -- hit in EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); -- system coarse counter DATA_FINISHED_IN : in std_logic; - RUN_MODE : in std_logic; COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); READ_EN_IN : in std_logic; -- read en signal FIFO_DATA_OUT : out std_logic_vector(31 downto 0); -- fifo data out diff --git a/tdc_releases/tdc_v1.1.1/TDC.vhd b/tdc_releases/tdc_v1.1.1/TDC.vhd index 25a47cc..b1278e7 100644 --- a/tdc_releases/tdc_v1.1.1/TDC.vhd +++ b/tdc_releases/tdc_v1.1.1/TDC.vhd @@ -211,7 +211,6 @@ begin COARSE_COUNTER_IN => coarse_cntr(integer(ceil(real(i)/real(16)))), EPOCH_COUNTER_IN => epoch_cntr, DATA_FINISHED_IN => data_finished_i, - RUN_MODE => run_mode_i, LOST_HIT_NUMBER => ch_lost_hit_number_i(i), HIT_DETECT_NUMBER => ch_hit_detect_number_i(i), ENCODER_START_NUMBER => ch_encoder_start_number_i(i),