From: Michael Boehmer Date: Tue, 7 Jun 2022 10:34:57 +0000 (+0200) Subject: Debugging for hub X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=4b63b51d0513b00dfd092b3e28c6f5fda67d164a;p=trb3sc.git Debugging for hub --- diff --git a/cts/trb3sc_cts.vhd b/cts/trb3sc_cts.vhd index b562025..5ddf8e7 100644 --- a/cts/trb3sc_cts.vhd +++ b/cts/trb3sc_cts.vhd @@ -290,7 +290,9 @@ architecture trb3sc_arch of trb3sc_cts is signal debug_phaser : std_logic_vector(15 downto 0); signal rj_io_q : std_logic_vector(1 downto 0); - + + signal hub_debug : std_logic_vector(31 downto 0); + begin THE_TIME_COUNTER_PROC: process( clk_full_osc ) @@ -453,16 +455,18 @@ gen_PCSB : if USE_BACKPLANE = c_NO and USE_ADDON = c_NO generate master_clk_i <= link_clock; - HDR_IO(1) <= int2med(0).packet_num(0); --'0'; - HDR_IO(2) <= int2med(0).packet_num(1); --'0'; - HDR_IO(3) <= int2med(0).packet_num(2); --'0'; - HDR_IO(4) <= int2med(0).dataready; --'0'; - HDR_IO(5) <= int2med(0).data(0); --'0'; - HDR_IO(6) <= int2med(0).data(1); --'0'; - HDR_IO(7) <= int2med(0).data(2); --'0'; - HDR_IO(8) <= int2med(0).data(3); --'0'; - HDR_IO(9) <= med2int(0).dataready; --'0'; - HDR_IO(10) <= med2int(0).tx_read; --'0'; + HDR_IO(10 downto 1) <= hub_debug(9 downto 0); + +-- HDR_IO(1) <= int2med(0).packet_num(0); --'0'; +-- HDR_IO(2) <= int2med(0).packet_num(1); --'0'; +-- HDR_IO(3) <= int2med(0).packet_num(2); --'0'; +-- HDR_IO(4) <= int2med(0).dataready; --'0'; +-- HDR_IO(5) <= int2med(0).data(0); --'0'; +-- HDR_IO(6) <= int2med(0).data(1); --'0'; +-- HDR_IO(7) <= int2med(0).data(2); --'0'; +-- HDR_IO(8) <= int2med(0).data(3); --'0'; +-- HDR_IO(9) <= med2int(0).dataready; --'0'; +-- HDR_IO(10) <= med2int(0).tx_read; --'0'; -- type MED2INT is record -- data : std_logic_vector(15 downto 0); @@ -954,7 +958,7 @@ end generate; STAT_REGS => open, STAT_CTRL_REGS => open, --Fixed status and control ports - STAT_DEBUG => open, + STAT_DEBUG => hub_debug, --open, CTRL_DEBUG => (others => '0') );