From: hadeshyp Date: Thu, 27 Aug 2009 13:46:50 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~384 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=4cd7148c640aa9d59211ffdc02f95f97e794ff17;p=trbnet.git *** empty log message *** --- diff --git a/media_interfaces/trb_net16_med_ecp_sfp_4_gbe.vhd b/media_interfaces/trb_net16_med_ecp_sfp_4_gbe.vhd index f8fd36e..e8a9395 100755 --- a/media_interfaces/trb_net16_med_ecp_sfp_4_gbe.vhd +++ b/media_interfaces/trb_net16_med_ecp_sfp_4_gbe.vhd @@ -40,7 +40,7 @@ entity trb_net16_med_ecp_sfp_4_gbe is SD_REFCLK_N_IN : in std_logic; SD_PRSNT_N_IN : in std_logic_vector(3 downto 0); -- SFP Present ('0' = SFP in place, '1' = no SFP mounted) SD_LOS_IN : in std_logic_vector(3 downto 0); -- SFP Loss Of Signal ('0' = OK, '1' = no signal) - SD_TXDIS_OUT : out std_logic; -- SFP disable + SD_TXDIS_OUT : out std_logic_vector(3 downto 0); -- SFP disable -- Status and control port STAT_OP : out std_logic_vector (4*16-1 downto 0); CTRL_OP : in std_logic_vector (4*16-1 downto 0); @@ -417,7 +417,10 @@ begin ); - sd_txdis_out <= quad_rst(0); + sd_txdis_out(0) <= quad_rst(0); + sd_txdis_out(1) <= quad_rst(0); + sd_txdis_out(2) <= quad_rst(0); + sd_txdis_out(3) <= quad_rst(0); end generate; diff --git a/media_interfaces/trb_net16_med_ecp_sfp_gbe.vhd b/media_interfaces/trb_net16_med_ecp_sfp_gbe.vhd index daea29c..5adfe15 100755 --- a/media_interfaces/trb_net16_med_ecp_sfp_gbe.vhd +++ b/media_interfaces/trb_net16_med_ecp_sfp_gbe.vhd @@ -366,9 +366,11 @@ architecture med_ecp_sfp of trb_net16_med_ecp_sfp_gbe is signal pwr_up : std_logic; attribute syn_keep : boolean; + attribute syn_preserve : boolean; attribute syn_keep of led_counter : signal is true; attribute syn_keep of send_reset_in : signal is true; attribute syn_keep of reset_i : signal is true; + attribute syn_preserve of reset_i : signal is true; begin diff --git a/trb_net16_api_base.vhd b/trb_net16_api_base.vhd index 4973ccc..603748e 100644 --- a/trb_net16_api_base.vhd +++ b/trb_net16_api_base.vhd @@ -369,10 +369,9 @@ INT_MASTER_DATAREADY_OUT <= buf_INT_MASTER_DATAREADY_OUT; - process(master_counter, fifo_to_int_data_out, combined_header_F1, registered_trailer_F1, - combined_trailer_F1, combined_header_F2, registered_trailer_F2, combined_trailer_F2, - combined_header_F3, registered_trailer_F3, combined_trailer_F3, combined_header_F0, - registered_trailer_F0,registered_header_F0, registered_header_F1, registered_header_F2, + process(master_counter, fifo_to_int_data_out, registered_trailer_F1, + registered_trailer_F2, registered_trailer_F3, registered_trailer_F0, + registered_header_F0, registered_header_F1, registered_header_F2, registered_header_F3) begin case master_counter is @@ -698,7 +697,7 @@ INT_MASTER_DATAREADY_OUT <= buf_INT_MASTER_DATAREADY_OUT; --------------------------------------- to_int : process(state_to_int, send_trm_wrong_addr, APL_SHORT_TRANSFER_IN, APL_SEND_IN, master_counter, sbuf_free, fifo_to_int_empty, sequence_counter, fifo_to_int_read_before, - state_to_apl, slave_start, fifo_was_not_empty) + state_to_apl, slave_start, fifo_was_not_empty, apl_send_in_down_timeout) begin next_state_to_int <= state_to_int; update_registered_trailer <= '0'; diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 6a5f86b..e5cacbb 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -807,8 +807,8 @@ MED_DATA_OUT <= buf_MED_DATA_OUT; THE_BUS_HANDLER : trb_net16_regio_bus_handler generic map( PORT_NUMBER => 1, - PORT_ADDRESSES => (0 => x"C000"), - PORT_ADDR_MASK => (0 => 14) + PORT_ADDRESSES => (0 => x"C000", others => x"0000"), + PORT_ADDR_MASK => (0 => 14, others => 0) ) port map( CLK => CLK, diff --git a/trb_net16_hub_streaming_port.vhd b/trb_net16_hub_streaming_port.vhd index 47737b1..5d53463 100644 --- a/trb_net16_hub_streaming_port.vhd +++ b/trb_net16_hub_streaming_port.vhd @@ -171,7 +171,7 @@ begin SYNC_RESET_MUX_IO : process(CLK) begin if rising_edge(CLK) then - reset_i <= MED_STAT_OP(14) or RESET; + reset_i <= MED_STAT_OP(14+2*16) or RESET; end if; end process; @@ -219,16 +219,16 @@ begin CLK_EN => CLK_EN, --Media interfacces - MED_DATAREADY_OUT => med_dataready_out(mii downto 1), - MED_DATA_OUT => med_data_out(mii*16+15 downto 16), - MED_PACKET_NUM_OUT=> med_packet_num_out(mii*3+2 downto 3), - MED_READ_IN => med_read_in(mii downto 1), - MED_DATAREADY_IN => med_dataready_in(mii downto 1), - MED_DATA_IN => med_data_in(mii*16+15 downto 16), - MED_PACKET_NUM_IN => med_packet_num_in(mii*3+2 downto 3), - MED_READ_OUT => med_read_out(mii downto 1), - MED_STAT_OP => med_stat_op(mii*16+15 downto 16), - MED_CTRL_OP => med_ctrl_op(mii*16+15 downto 16), + MED_DATAREADY_OUT => med_dataready_out(mii-1 downto 0), + MED_DATA_OUT => med_data_out(mii*16-1 downto 0), + MED_PACKET_NUM_OUT=> med_packet_num_out(mii*3-1 downto 0), + MED_READ_IN => med_read_in(mii-1 downto 0), + MED_DATAREADY_IN => med_dataready_in(mii-1 downto 0), + MED_DATA_IN => med_data_in(mii*16-1 downto 0), + MED_PACKET_NUM_IN => med_packet_num_in(mii*3-1 downto 0), + MED_READ_OUT => med_read_out(mii-1 downto 0), + MED_STAT_OP => med_stat_op(mii*16-1 downto 0), + MED_CTRL_OP => med_ctrl_op(mii*16-1 downto 0), INT_INIT_DATAREADY_OUT => hub_init_dataready_out, INT_INIT_DATA_OUT => hub_init_data_out, @@ -488,14 +488,14 @@ begin CLK => CLK, RESET => reset_i, CLK_EN => CLK_EN, - MED_DATAREADY_IN => MED_DATAREADY_IN(0), - MED_DATA_IN => MED_DATA_IN(15 downto 0), - MED_PACKET_NUM_IN => MED_PACKET_NUM_IN(2 downto 0), - MED_READ_OUT => MED_READ_OUT(0), - MED_DATAREADY_OUT => MED_DATAREADY_OUT(0), - MED_DATA_OUT => MED_DATA_OUT(15 downto 0), - MED_PACKET_NUM_OUT => MED_PACKET_NUM_OUT(2 downto 0), - MED_READ_IN => MED_READ_IN(0), + MED_DATAREADY_IN => MED_DATAREADY_IN(2), + MED_DATA_IN => MED_DATA_IN(47 downto 32), + MED_PACKET_NUM_IN => MED_PACKET_NUM_IN(8 downto 6), + MED_READ_OUT => MED_READ_OUT(2), + MED_DATAREADY_OUT => MED_DATAREADY_OUT(2), + MED_DATA_OUT => MED_DATA_OUT(47 downto 32), + MED_PACKET_NUM_OUT => MED_PACKET_NUM_OUT(8 downto 6), + MED_READ_IN => MED_READ_IN(2), INT_DATAREADY_OUT => io_dataready_in, INT_DATA_OUT => io_data_in, INT_PACKET_NUM_OUT => io_packet_num_in, @@ -507,7 +507,7 @@ begin CTRL => (others => '0'), STAT => open ); - io_error_in <= MED_STAT_OP(2 downto 0); + io_error_in <= MED_STAT_OP(32+2 downto 32+0); --------------------------------------------------------------------- -- IPU Channel diff --git a/trb_net_components.vhd b/trb_net_components.vhd index dfd32f2..cbcd87f 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -515,7 +515,18 @@ package trb_net_components is - + component fifo_36x512 is + port ( + Data: in std_logic_vector(35 downto 0); + Clock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + Q: out std_logic_vector(35 downto 0); + Empty: out std_logic; + Full: out std_logic + ); + end component;