From: hadeshyp Date: Mon, 10 Dec 2007 10:41:43 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~664 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=4d3c07799b2c6457c2ca7783790104bf98f3f747;p=trbnet.git *** empty log message *** --- diff --git a/trb_net16_hub_logic.vhd b/trb_net16_hub_logic.vhd index c086fa8..dd69809 100644 --- a/trb_net16_hub_logic.vhd +++ b/trb_net16_hub_logic.vhd @@ -143,6 +143,7 @@ architecture trb_net16_hub_logic_arch of trb_net16_hub_logic is signal comb_REPLY_muxed_PACKET_NUM : std_logic_vector(NUM_WIDTH-1 downto 0); signal reply_arbiter_CLK_EN : std_logic; signal init_arbiter_CLK_EN : std_logic; + signal init_arbiter_ENABLE : std_logic; signal init_arbiter_read_out : std_logic_vector(POINT_NUMBER-1 downto 0); signal reply_arbiter_input : std_logic_vector(POINT_NUMBER-1 downto 0); @@ -201,10 +202,11 @@ STAT_ERRORBITS <= REPLY_combined_trm_F1 & REPLY_combined_trm_F2; CLK_EN => init_arbiter_CLK_EN, INPUT_IN => INIT_DATAREADY_IN, RESULT_OUT => init_arbiter_read_out, - ENABLE => not init_locked, + ENABLE => init_arbiter_ENABLE, CTRL => (others => '0') ); init_arbiter_CLK_EN <= not locked; + init_arbiter_ENABLE <= not init_locked; --Datapool for Init-Channel INIT_muxed_DATAREADY <= or_all(INIT_DATAREADY_IN) and not init_locked and INIT_muxed_READ;