From: Andreas Neiser Date: Thu, 12 Feb 2015 15:46:11 +0000 (+0100) Subject: Remove HGROUP stuff again, maybe this is finally the solution X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=554a57ec1253236cbd02a37026a355f0f5696c6f;p=trb3.git Remove HGROUP stuff again, maybe this is finally the solution --- diff --git a/ADC/source/adc_ad9219_chip.vhd b/ADC/source/adc_ad9219_chip.vhd index 196f4a0..639ca3e 100644 --- a/ADC/source/adc_ad9219_chip.vhd +++ b/ADC/source/adc_ad9219_chip.vhd @@ -24,8 +24,8 @@ end entity; architecture adc_ad9219_chip_arch of adc_ad9219_chip is -- Placer Directives - attribute HGROUP : string; - attribute HGROUP of adc_ad9219_chip_arch : architecture is "ADC_AD9219_CHIP_group"; + --attribute HGROUP : string; + --attribute HGROUP of adc_ad9219_chip_arch : architecture is "ADC_AD9219_CHIP_group"; signal qq, qqq : std_logic_vector(19 downto 0); diff --git a/base/cores/fifo_cdt_200_50.vhd b/base/cores/fifo_cdt_200_50.vhd index aae02ad..32f9981 100644 --- a/base/cores/fifo_cdt_200_50.vhd +++ b/base/cores/fifo_cdt_200_50.vhd @@ -27,10 +27,6 @@ end fifo_cdt_200_50; architecture Structure of fifo_cdt_200_50 is - -- Placer Directives, manually added - attribute HGROUP : string; - attribute HGROUP of Structure : architecture is "FIFO_CDT_200_50_group"; - -- internal signal declarations signal invout_1: std_logic; signal invout_0: std_logic;