From: hadeshyp Date: Mon, 15 Feb 2010 12:22:53 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~335 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=590fcabc04426d8411d38c4217346b3e80d61749;p=trbnet.git *** empty log message *** --- diff --git a/lattice/ecp2m/.cvsignore b/lattice/ecp2m/.cvsignore new file mode 100644 index 0000000..25a5568 --- /dev/null +++ b/lattice/ecp2m/.cvsignore @@ -0,0 +1,6 @@ +*.jhd +*.naf +*.srp +*.sym +*.log +*tmpl.vhd diff --git a/lattice/scm/.cvsignore b/lattice/scm/.cvsignore new file mode 100644 index 0000000..25a5568 --- /dev/null +++ b/lattice/scm/.cvsignore @@ -0,0 +1,6 @@ +*.jhd +*.naf +*.srp +*.sym +*.log +*tmpl.vhd diff --git a/media_interfaces/ecp2m_fot/.cvsignore b/media_interfaces/ecp2m_fot/.cvsignore new file mode 100644 index 0000000..25a5568 --- /dev/null +++ b/media_interfaces/ecp2m_fot/.cvsignore @@ -0,0 +1,6 @@ +*.jhd +*.naf +*.srp +*.sym +*.log +*tmpl.vhd diff --git a/media_interfaces/ecp2m_sfp/.cvsignore b/media_interfaces/ecp2m_sfp/.cvsignore new file mode 100644 index 0000000..25a5568 --- /dev/null +++ b/media_interfaces/ecp2m_sfp/.cvsignore @@ -0,0 +1,6 @@ +*.jhd +*.naf +*.srp +*.sym +*.log +*tmpl.vhd diff --git a/pinout/shower_fpga1.lpf b/pinout/shower_fpga1.lpf index 281b21c..452e1de 100644 --- a/pinout/shower_fpga1.lpf +++ b/pinout/shower_fpga1.lpf @@ -45,8 +45,8 @@ ##################################################################### # Flash & Reboot Control ##################################################################### - LOCATE COMP "PROGRAMb_OUT" SITE "B15"; # PGRAMN_F1 - IOBUF PORT "PROGRAMb_OUT" IO_TYPE=LVTTL33 PULLMODE=UP ; + LOCATE COMP "PROGRAMB_OUT" SITE "B15"; # PGRAMN_F1 + IOBUF PORT "PROGRAMB_OUT" IO_TYPE=LVTTL33 PULLMODE=UP ; LOCATE COMP "SPI_CLK_OUT" SITE "G15"; # L1_SPI_F1CLK LOCATE COMP "SPI_CS_OUT" SITE "J16"; # L1_SPI_F1CS diff --git a/pinout/shower_fpga2.lpf b/pinout/shower_fpga2.lpf index 53462a4..4fd6896 100644 --- a/pinout/shower_fpga2.lpf +++ b/pinout/shower_fpga2.lpf @@ -45,8 +45,8 @@ ##################################################################### # Flash & Reboot Control ##################################################################### - LOCATE COMP "PROGRAMb_OUT" SITE "G18"; # PGRAMN_F_2 - IOBUF PORT "PROGRAMb_OUT" IO_TYPE=LVTTL33 PULLMODE=UP ; + LOCATE COMP "PROGRAMB_OUT" SITE "G18"; # PGRAMN_F_2 + IOBUF PORT "PROGRAMB_OUT" IO_TYPE=LVTTL33 PULLMODE=UP ; LOCATE COMP "SPI_CLK_OUT" SITE "G22"; # L2_SPI_F2CLK LOCATE COMP "SPI_CS_OUT" SITE "E23"; # L2_SPI_F2CS diff --git a/pinout/shower_fpga3.lpf b/pinout/shower_fpga3.lpf index 6ca6980..795cfd4 100644 --- a/pinout/shower_fpga3.lpf +++ b/pinout/shower_fpga3.lpf @@ -400,8 +400,8 @@ ##################################################################### # Flash & Reboot Control ##################################################################### - LOCATE COMP "PROGRAMb" SITE "D11"; # PGRAMN_F_3 - IOBUF PORT "PROGRAMb" IO_TYPE=LVTTL33 PULLMODE=UP ; + LOCATE COMP "PROGRAMB" SITE "D11"; # PGRAMN_F_3 + IOBUF PORT "PROGRAMB" IO_TYPE=LVTTL33 PULLMODE=UP ; LOCATE COMP "SPI_CLK_OUT" SITE "E20"; # L3_SPI_F3CLK LOCATE COMP "SPI_CS_OUT" SITE "D21"; # L3_SPI_F3CS diff --git a/trb_net16_api_ipu_streaming.vhd b/trb_net16_api_ipu_streaming.vhd index 80d0159..f218766 100644 --- a/trb_net16_api_ipu_streaming.vhd +++ b/trb_net16_api_ipu_streaming.vhd @@ -109,6 +109,9 @@ architecture trb_net16_api_ipu_streaming_arch of trb_net16_api_ipu_streaming is signal last_buf_CTS_START_READOUT_OUT : std_logic; signal cts_start_readout_rising : std_logic; + signal end_of_data_reached : std_logic; + signal data_counter : signed(17 downto 0); + signal data_length : signed(17 downto 0); begin @@ -310,11 +313,10 @@ APL_FEE_LENGTH_IN <= x"0000"; cts_start_readout_rising <= buf_CTS_START_READOUT_OUT and not last_buf_CTS_START_READOUT_OUT; end if; end process; - APL_FEE_SEND_IN <= cts_start_readout_rising; + APL_FEE_SEND_IN <= cts_start_readout_rising; - APL_FEE_READ_IN <= '1' when FEE_READ_IN = '1' or (APL_FEE_TYP_OUT /= TYPE_DAT) else '0'; - - FEE_DATAREADY_OUT <= APL_FEE_DATAREADY_OUT when APL_FEE_TYP_OUT = TYPE_DAT else '0'; + APL_FEE_READ_IN <= '1' when FEE_READ_IN = '1' or (APL_FEE_TYP_OUT /= TYPE_DAT) or end_of_data_reached = '1' else '0'; + FEE_DATAREADY_OUT <= APL_FEE_DATAREADY_OUT when APL_FEE_TYP_OUT = TYPE_DAT and end_of_data_reached = '0' else '0'; FEE_DATA_OUT <= APL_FEE_DATA_OUT; FEE_BUSY_OUT <= APL_FEE_RUN_OUT; @@ -329,6 +331,33 @@ APL_FEE_LENGTH_IN <= x"0000"; CTS_CODE_OUT <= buf_CTS_CODE_OUT; CTS_START_READOUT_OUT <= buf_CTS_START_READOUT_OUT; +--------------------------------------------------------------------- +-- Find end of data +--------------------------------------------------------------------- + PROC_COUNT_DATA : process(CLK) + begin + if rising_edge(CLK) then + if RESET = '1' or APL_FEE_RUN_OUT = '0' then + data_counter <= to_signed(-4,18); + elsif APL_FEE_DATAREADY_OUT = '1' and APL_FEE_TYP_OUT = TYPE_DAT then + data_counter <= data_counter + 1; + end if; + end if; + end process; + + PROC_EOD : process(CLK) + begin + if rising_edge(CLK) then + if RESET = '1' or APL_FEE_RUN_OUT = '0' then + end_of_data_reached <= '0'; + data_length <= to_signed(0,18); + elsif APL_FEE_DATAREADY_OUT = '1' and APL_FEE_TYP_OUT = TYPE_DAT and data_counter = to_signed(-2,18) then + data_length <= signed('0' & APL_FEE_DATA_OUT & '0'); + elsif data_counter = data_length then + end_of_data_reached <= '1'; + end if; + end if; + end process; --------------------------------------------------------------------- -- Handle incoming data from FEE diff --git a/trb_net16_trigger.vhd b/trb_net16_trigger.vhd index cb9fb3b..6cca02e 100644 --- a/trb_net16_trigger.vhd +++ b/trb_net16_trigger.vhd @@ -146,7 +146,7 @@ begin end process; --save data from api while sending trailer in secure mode - SECURE_GEN: if SECURE_MODE = 1 generate +-- SECURE_GEN: if SECURE_MODE = 1 generate CLK_REG2: process(CLK) begin if rising_edge(CLK) then @@ -157,11 +157,11 @@ begin end if; end if; end process; - end generate; +-- end generate; - SECURE_GEN2: if SECURE_MODE = 0 generate - buf_TRG_ERROR_PATTERN_IN <= TRG_ERROR_PATTERN_IN; - end generate; +-- SECURE_GEN2: if SECURE_MODE = 0 generate +-- buf_TRG_ERROR_PATTERN_IN <= TRG_ERROR_PATTERN_IN; +-- end generate; --count packets