From: hadeshyp Date: Thu, 2 Dec 2010 14:48:00 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~142 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=5b3903bb24984145ed8e8a1165240ce87efe5b09;p=trbnet.git *** empty log message *** --- diff --git a/lattice/scm/fifo/fifo_19x16_obuf.lpc b/lattice/scm/fifo/fifo_19x16_obuf.lpc new file mode 100644 index 0000000..29d2c82 --- /dev/null +++ b/lattice/scm/fifo/fifo_19x16_obuf.lpc @@ -0,0 +1,44 @@ +[Device] +Family=latticescm +PartType=LFSCM3GA15EP1 +PartName=LFSCM3GA15EP1-5F256C +SpeedGrade=-5 +Package=FPBGA256 +OperatingCondition=COM +Status=P + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=4.8 +ModuleName=fifo_19x16_obuf +SourceFormat=Schematic/VHDL +ParameterFileVersion=1.0 +Date=11/30/2010 +Time=19:27:36 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=LUT Based +Depth=16 +Width=19 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Dynamic - Single Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +RDataCount=1 +EnECC=0 diff --git a/lattice/scm/fifo/fifo_19x16_obuf.vhd b/lattice/scm/fifo/fifo_19x16_obuf.vhd new file mode 100644 index 0000000..27ec51c --- /dev/null +++ b/lattice/scm/fifo/fifo_19x16_obuf.vhd @@ -0,0 +1,1151 @@ +-- VHDL netlist generated by SCUBA ispLever_v8.1_PROD_Build (20) +-- Module Version: 4.8 +--/d/sugar/lattice/ispLEVER8.1/isptools/ispfpga/bin/lin/scuba -w -n fifo_19x16_obuf -lang vhdl -synth synplify -bus_exp 7 -bb -arch or5s00 -type ebfifo -sync_mode -depth 16 -width 19 -pfu_fifo -regout -no_enable -pe -1 -pf 0 -fill -e + +-- Tue Nov 30 19:27:36 2010 + +library IEEE; +use IEEE.std_logic_1164.all; +-- synopsys translate_off +library SCM; +use SCM.COMPONENTS.all; +-- synopsys translate_on + +entity fifo_19x16_obuf is + port ( + Data: in std_logic_vector(18 downto 0); + Clock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + AmFullThresh: in std_logic_vector(3 downto 0); + Q: out std_logic_vector(18 downto 0); + WCNT: out std_logic_vector(4 downto 0); + Empty: out std_logic; + Full: out std_logic; + AlmostFull: out std_logic); +end fifo_19x16_obuf; + +architecture Structure of fifo_19x16_obuf is + + -- internal signal declarations + signal invout_2: std_logic; + signal invout_1: std_logic; + signal rden_i_inv: std_logic; + signal invout_0: std_logic; + signal r_nw: std_logic; + signal fcnt_en: std_logic; + signal empty_i: std_logic; + signal empty_d: std_logic; + signal full_i: std_logic; + signal full_d: std_logic; + signal wptr_4: std_logic; + signal rptr_4: std_logic; + signal edataout0: std_logic; + signal edataout1: std_logic; + signal edataout2: std_logic; + signal edataout3: std_logic; + signal edataout4: std_logic; + signal edataout5: std_logic; + signal edataout6: std_logic; + signal edataout7: std_logic; + signal edataout8: std_logic; + signal edataout9: std_logic; + signal edataout10: std_logic; + signal edataout11: std_logic; + signal edataout12: std_logic; + signal edataout13: std_logic; + signal edataout14: std_logic; + signal edataout15: std_logic; + signal edataout16: std_logic; + signal edataout17: std_logic; + signal edataout18: std_logic; + signal ifcount_0: std_logic; + signal ifcount_1: std_logic; + signal ifcount_2: std_logic; + signal ifcount_3: std_logic; + signal co0: std_logic; + signal ifcount_4: std_logic; + signal co2: std_logic; + signal co1: std_logic; + signal rden_i: std_logic; + signal co0_1: std_logic; + signal cmp_le_1: std_logic; + signal co1_1: std_logic; + signal fcount_0: std_logic; + signal fcount_1: std_logic; + signal co0_2: std_logic; + signal fcount_2: std_logic; + signal fcount_3: std_logic; + signal cmp_ge_d1: std_logic; + signal co1_2: std_logic; + signal wren_i_inv: std_logic; + signal fcount_4: std_logic; + signal iwcount_0: std_logic; + signal iwcount_1: std_logic; + signal iwcount_2: std_logic; + signal iwcount_3: std_logic; + signal co0_3: std_logic; + signal iwcount_4: std_logic; + signal co2_1: std_logic; + signal wcount_4: std_logic; + signal co1_3: std_logic; + signal ircount_0: std_logic; + signal ircount_1: std_logic; + signal rcount_0: std_logic; + signal rcount_1: std_logic; + signal ircount_2: std_logic; + signal ircount_3: std_logic; + signal rcount_2: std_logic; + signal rcount_3: std_logic; + signal co0_4: std_logic; + signal ircount_4: std_logic; + signal co2_2: std_logic; + signal rcount_4: std_logic; + signal co1_4: std_logic; + signal wcnt_sub_0: std_logic; + signal wcnt_sub_1: std_logic; + signal cnt_con: std_logic; + signal wcount_0: std_logic; + signal wcount_1: std_logic; + signal wcnt_sub_2: std_logic; + signal wcnt_sub_3: std_logic; + signal co0_5: std_logic; + signal wcount_2: std_logic; + signal wcount_3: std_logic; + signal wcnt_sub_4: std_logic; + signal co1_5: std_logic; + signal wcnt_sub_msb: std_logic; + signal wcnt_reg_0: std_logic; + signal wcnt_reg_1: std_logic; + signal co0_6: std_logic; + signal wcnt_reg_2: std_logic; + signal wcnt_reg_3: std_logic; + signal co1_6: std_logic; + signal wcnt_reg_4: std_logic; + signal af_set: std_logic; + signal af_set_c: std_logic; + signal rdataout18: std_logic; + signal scuba_vlo: std_logic; + signal rdataout17: std_logic; + signal rdataout16: std_logic; + signal rdataout15: std_logic; + signal rdataout14: std_logic; + signal rdataout13: std_logic; + signal rdataout12: std_logic; + signal rdataout11: std_logic; + signal rdataout10: std_logic; + signal rdataout9: std_logic; + signal rdataout8: std_logic; + signal rdataout7: std_logic; + signal rdataout6: std_logic; + signal rdataout5: std_logic; + signal rdataout4: std_logic; + signal rdataout3: std_logic; + signal rdataout2: std_logic; + signal rdataout1: std_logic; + signal rdataout0: std_logic; + signal rptr_0: std_logic; + signal rptr_1: std_logic; + signal rptr_2: std_logic; + signal rptr_3: std_logic; + signal wren_i: std_logic; + signal scuba_vhi: std_logic; + signal wptr_0: std_logic; + signal wptr_1: std_logic; + signal wptr_2: std_logic; + signal wptr_3: std_logic; + + -- local component declarations + component DPR16X2 + -- synopsys translate_off + generic (INITVAL : in String; GSR : in String); + -- synopsys translate_on + port (DI0: in std_logic; DI1: in std_logic; + WAD3: in std_logic; WAD2: in std_logic; + WAD1: in std_logic; WAD0: in std_logic; WRE: in std_logic; + WPE: in std_logic; WCK: in std_logic; RAD3: in std_logic; + RAD2: in std_logic; RAD1: in std_logic; + RAD0: in std_logic; WDO0: out std_logic; + WDO1: out std_logic; RDO0: out std_logic; + RDO1: out std_logic); + end component; + component ROM16X1 + -- synopsys translate_off + generic (initval : in String); + -- synopsys translate_on + port (AD3: in std_logic; AD2: in std_logic; AD1: in std_logic; + AD0: in std_logic; DO0: out std_logic); + end component; + component AND2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component XOR2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component INV + port (A: in std_logic; Z: out std_logic); + end component; + component VHI + port (Z: out std_logic); + end component; + component VLO + port (Z: out std_logic); + end component; + component FADD2 + port (A1: in std_logic; A0: in std_logic; B1: in std_logic; + B0: in std_logic; CI: in std_logic; COUT1: out std_logic; + COUT0: out std_logic; S1: out std_logic; + S0: out std_logic); + end component; + component FSUB2 + port (A1: in std_logic; A0: in std_logic; B1: in std_logic; + B0: in std_logic; BI: in std_logic; BOUT1: out std_logic; + BOUT0: out std_logic; S1: out std_logic; + S0: out std_logic); + end component; + component CU2 + port (CI: in std_logic; PC1: in std_logic; PC0: in std_logic; + CO: out std_logic; NC1: out std_logic; NC0: out std_logic); + end component; + component CB2 + port (CI: in std_logic; PC1: in std_logic; PC0: in std_logic; + CON: in std_logic; CO: out std_logic; NC1: out std_logic; + NC0: out std_logic); + end component; + component AGEB2 + port (A1: in std_logic; A0: in std_logic; B1: in std_logic; + B0: in std_logic; CI: in std_logic; GE: out std_logic); + end component; + component ALEB2 + port (A1: in std_logic; A0: in std_logic; B1: in std_logic; + B0: in std_logic; CI: in std_logic; LE: out std_logic); + end component; + component FD1P3BX + -- synopsys translate_off + generic (GSR : in String); + -- synopsys translate_on + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + PD: in std_logic; Q: out std_logic); + end component; + component FD1P3DX + -- synopsys translate_off + generic (GSR : in String); + -- synopsys translate_on + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + CD: in std_logic; Q: out std_logic); + end component; + component FD1S3BX + -- synopsys translate_off + generic (GSR : in String); + -- synopsys translate_on + port (D: in std_logic; CK: in std_logic; PD: in std_logic; + Q: out std_logic); + end component; + component FD1S3DX + -- synopsys translate_off + generic (GSR : in String); + -- synopsys translate_on + port (D: in std_logic; CK: in std_logic; CD: in std_logic; + Q: out std_logic); + end component; + attribute GSR : string; + attribute MEM_INIT_FILE : string; + attribute MEM_LPC_FILE : string; + attribute COMP : string; + attribute initval : string; + attribute initval of LUT4_1 : label is "0x3232"; + attribute initval of LUT4_0 : label is "0x3232"; + attribute GSR of FF_70 : label is "ENABLED"; + attribute GSR of FF_69 : label is "ENABLED"; + attribute GSR of FF_68 : label is "ENABLED"; + attribute GSR of FF_67 : label is "ENABLED"; + attribute GSR of FF_66 : label is "ENABLED"; + attribute GSR of FF_65 : label is "ENABLED"; + attribute GSR of FF_64 : label is "ENABLED"; + attribute GSR of FF_63 : label is "ENABLED"; + attribute GSR of FF_62 : label is "ENABLED"; + attribute GSR of FF_61 : label is "ENABLED"; + attribute GSR of FF_60 : label is "ENABLED"; + attribute GSR of FF_59 : label is "ENABLED"; + attribute GSR of FF_58 : label is "ENABLED"; + attribute GSR of FF_57 : label is "ENABLED"; + attribute GSR of FF_56 : label is "ENABLED"; + attribute GSR of FF_55 : label is "ENABLED"; + attribute GSR of FF_54 : label is "ENABLED"; + attribute GSR of FF_53 : label is "ENABLED"; + attribute GSR of FF_52 : label is "ENABLED"; + attribute GSR of FF_51 : label is "ENABLED"; + attribute GSR of FF_50 : label is "ENABLED"; + attribute GSR of FF_49 : label is "ENABLED"; + attribute GSR of FF_48 : label is "ENABLED"; + attribute GSR of FF_47 : label is "ENABLED"; + attribute GSR of FF_46 : label is "ENABLED"; + attribute GSR of FF_45 : label is "ENABLED"; + attribute GSR of FF_44 : label is "ENABLED"; + attribute GSR of FF_43 : label is "ENABLED"; + attribute GSR of FF_42 : label is "ENABLED"; + attribute GSR of FF_41 : label is "ENABLED"; + attribute GSR of FF_40 : label is "ENABLED"; + attribute GSR of FF_39 : label is "ENABLED"; + attribute GSR of FF_38 : label is "ENABLED"; + attribute GSR of FF_37 : label is "ENABLED"; + attribute GSR of FF_36 : label is "ENABLED"; + attribute GSR of FF_35 : label is "ENABLED"; + attribute GSR of FF_34 : label is "ENABLED"; + attribute GSR of FF_33 : label is "ENABLED"; + attribute GSR of FF_32 : label is "ENABLED"; + attribute GSR of FF_31 : label is "ENABLED"; + attribute GSR of FF_30 : label is "ENABLED"; + attribute GSR of FF_29 : label is "ENABLED"; + attribute GSR of FF_28 : label is "ENABLED"; + attribute GSR of FF_27 : label is "ENABLED"; + attribute GSR of FF_26 : label is "ENABLED"; + attribute GSR of FF_25 : label is "ENABLED"; + attribute GSR of FF_24 : label is "ENABLED"; + attribute GSR of FF_23 : label is "ENABLED"; + attribute GSR of FF_22 : label is "ENABLED"; + attribute GSR of FF_21 : label is "ENABLED"; + attribute GSR of FF_20 : label is "ENABLED"; + attribute GSR of FF_19 : label is "ENABLED"; + attribute GSR of FF_18 : label is "ENABLED"; + attribute GSR of FF_17 : label is "ENABLED"; + attribute GSR of FF_16 : label is "ENABLED"; + attribute GSR of FF_15 : label is "ENABLED"; + attribute GSR of FF_14 : label is "ENABLED"; + attribute GSR of FF_13 : label is "ENABLED"; + attribute GSR of FF_12 : label is "ENABLED"; + attribute GSR of FF_11 : label is "ENABLED"; + attribute GSR of FF_10 : label is "ENABLED"; + attribute GSR of FF_9 : label is "ENABLED"; + attribute GSR of FF_8 : label is "ENABLED"; + attribute GSR of FF_7 : label is "ENABLED"; + attribute GSR of FF_6 : label is "ENABLED"; + attribute GSR of FF_5 : label is "ENABLED"; + attribute GSR of FF_4 : label is "ENABLED"; + attribute GSR of FF_3 : label is "ENABLED"; + attribute GSR of FF_2 : label is "ENABLED"; + attribute GSR of FF_1 : label is "ENABLED"; + attribute GSR of FF_0 : label is "ENABLED"; + attribute GSR of fifo_pfu_0_0 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_0 : label is "(0-15)(0-1)"; + attribute MEM_LPC_FILE of fifo_pfu_0_0 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_0 : label is "fifo_pfu_0_0"; + attribute initval of fifo_pfu_0_0 : label is "0x0000000000000000"; + attribute GSR of fifo_pfu_0_1 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_1 : label is "(0-15)(2-3)"; + attribute MEM_LPC_FILE of fifo_pfu_0_1 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_1 : label is "fifo_pfu_0_1"; + attribute initval of fifo_pfu_0_1 : label is "0x0000000000000000"; + attribute GSR of fifo_pfu_0_2 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_2 : label is "(0-15)(4-5)"; + attribute MEM_LPC_FILE of fifo_pfu_0_2 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_2 : label is "fifo_pfu_0_2"; + attribute initval of fifo_pfu_0_2 : label is "0x0000000000000000"; + attribute GSR of fifo_pfu_0_3 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_3 : label is "(0-15)(6-7)"; + attribute MEM_LPC_FILE of fifo_pfu_0_3 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_3 : label is "fifo_pfu_0_3"; + attribute initval of fifo_pfu_0_3 : label is "0x0000000000000000"; + attribute GSR of fifo_pfu_0_4 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_4 : label is "(0-15)(8-9)"; + attribute MEM_LPC_FILE of fifo_pfu_0_4 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_4 : label is "fifo_pfu_0_4"; + attribute initval of fifo_pfu_0_4 : label is "0x0000000000000000"; + attribute GSR of fifo_pfu_0_5 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_5 : label is "(0-15)(10-11)"; + attribute MEM_LPC_FILE of fifo_pfu_0_5 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_5 : label is "fifo_pfu_0_5"; + attribute initval of fifo_pfu_0_5 : label is "0x0000000000000000"; + attribute GSR of fifo_pfu_0_6 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_6 : label is "(0-15)(12-13)"; + attribute MEM_LPC_FILE of fifo_pfu_0_6 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_6 : label is "fifo_pfu_0_6"; + attribute initval of fifo_pfu_0_6 : label is "0x0000000000000000"; + attribute GSR of fifo_pfu_0_7 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_7 : label is "(0-15)(14-15)"; + attribute MEM_LPC_FILE of fifo_pfu_0_7 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_7 : label is "fifo_pfu_0_7"; + attribute initval of fifo_pfu_0_7 : label is "0x0000000000000000"; + attribute GSR of fifo_pfu_0_8 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_8 : label is "(0-15)(16-17)"; + attribute MEM_LPC_FILE of fifo_pfu_0_8 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_8 : label is "fifo_pfu_0_8"; + attribute initval of fifo_pfu_0_8 : label is "0x0000000000000000"; + attribute GSR of fifo_pfu_0_9 : label is "ENABLED"; + attribute MEM_INIT_FILE of fifo_pfu_0_9 : label is "(0-15)(18-19)"; + attribute MEM_LPC_FILE of fifo_pfu_0_9 : label is "fifo_19x16_obuf.lpc"; + attribute COMP of fifo_pfu_0_9 : label is "fifo_pfu_0_9"; + attribute initval of fifo_pfu_0_9 : label is "0x0000000000000000"; + attribute syn_keep : boolean; + +begin + -- component instantiation statements + AND2_t5: AND2 + port map (A=>WrEn, B=>invout_2, Z=>wren_i); + + INV_4: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_3: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_2: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_1: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1 + -- synopsys translate_off + generic map (initval=> "0x3232") + -- synopsys translate_on + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1 + -- synopsys translate_off + generic map (initval=> "0x3232") + -- synopsys translate_on + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_0: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_4, B=>rptr_4, Z=>wcnt_sub_msb); + + FF_70: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_69: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_68: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_67: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_66: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_65: FD1S3BX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_64: FD1S3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_63: FD1P3BX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_62: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_61: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_60: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_59: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_58: FD1P3BX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_57: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_56: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_55: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_54: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_53: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_52: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_51: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_50: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_49: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_48: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_47: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_46: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_45: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_44: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_43: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout0, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(0)); + + FF_42: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout0); + + FF_41: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout1, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(1)); + + FF_40: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout1); + + FF_39: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout2, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(2)); + + FF_38: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout2); + + FF_37: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout3, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(3)); + + FF_36: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout3); + + FF_35: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout4, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(4)); + + FF_34: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout4); + + FF_33: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout5, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(5)); + + FF_32: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout5); + + FF_31: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout6, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(6)); + + FF_30: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout6); + + FF_29: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout7, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(7)); + + FF_28: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout7); + + FF_27: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout8, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(8)); + + FF_26: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout8); + + FF_25: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout9, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(9)); + + FF_24: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout9); + + FF_23: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout10, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(10)); + + FF_22: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout10); + + FF_21: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout11, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(11)); + + FF_20: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout11); + + FF_19: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout12, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(12)); + + FF_18: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout12, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout12); + + FF_17: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout13, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(13)); + + FF_16: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout13, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout13); + + FF_15: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout14, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(14)); + + FF_14: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout14, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout14); + + FF_13: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout15, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(15)); + + FF_12: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout15, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout15); + + FF_11: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout16, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(16)); + + FF_10: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout16, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout16); + + FF_9: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout17, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(17)); + + FF_8: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout17, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout17); + + FF_7: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>edataout18, SP=>scuba_vhi, CK=>Clock, CD=>Reset, + Q=>Q(18)); + + FF_6: FD1P3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>rdataout18, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>edataout18); + + FF_5: FD1S3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_4: FD1S3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_3: FD1S3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_2: FD1S3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_1: FD1S3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_0: FD1S3DX + -- synopsys translate_off + generic map (GSR=> "ENABLED") + -- synopsys translate_on + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_0: CB2 + port map (CI=>cnt_con, PC1=>fcount_1, PC0=>fcount_0, + CON=>cnt_con, CO=>co0, NC1=>ifcount_1, NC0=>ifcount_0); + + bdcnt_bctr_1: CB2 + port map (CI=>co0, PC1=>fcount_3, PC0=>fcount_2, CON=>cnt_con, + CO=>co1, NC1=>ifcount_3, NC0=>ifcount_2); + + bdcnt_bctr_2: CB2 + port map (CI=>co1, PC1=>scuba_vlo, PC0=>fcount_4, CON=>cnt_con, + CO=>co2, NC1=>open, NC0=>ifcount_4); + + e_cmp_0: ALEB2 + port map (A1=>fcount_1, A0=>fcount_0, B1=>scuba_vlo, B0=>rden_i, + CI=>scuba_vhi, LE=>co0_1); + + e_cmp_1: ALEB2 + port map (A1=>fcount_3, A0=>fcount_2, B1=>scuba_vlo, + B0=>scuba_vlo, CI=>co0_1, LE=>co1_1); + + e_cmp_2: ALEB2 + port map (A1=>scuba_vlo, A0=>fcount_4, B1=>scuba_vlo, + B0=>scuba_vlo, CI=>co1_1, LE=>cmp_le_1); + + g_cmp_0: AGEB2 + port map (A1=>fcount_1, A0=>fcount_0, B1=>wren_i, B0=>wren_i, + CI=>scuba_vhi, GE=>co0_2); + + g_cmp_1: AGEB2 + port map (A1=>fcount_3, A0=>fcount_2, B1=>wren_i, B0=>wren_i, + CI=>co0_2, GE=>co1_2); + + g_cmp_2: AGEB2 + port map (A1=>scuba_vlo, A0=>fcount_4, B1=>scuba_vlo, + B0=>wren_i_inv, CI=>co1_2, GE=>cmp_ge_d1); + + w_ctr_0: CU2 + port map (CI=>scuba_vhi, PC1=>wcount_1, PC0=>wcount_0, CO=>co0_3, + NC1=>iwcount_1, NC0=>iwcount_0); + + w_ctr_1: CU2 + port map (CI=>co0_3, PC1=>wcount_3, PC0=>wcount_2, CO=>co1_3, + NC1=>iwcount_3, NC0=>iwcount_2); + + w_ctr_2: CU2 + port map (CI=>co1_3, PC1=>scuba_vlo, PC0=>wcount_4, CO=>co2_1, + NC1=>open, NC0=>iwcount_4); + + r_ctr_0: CU2 + port map (CI=>scuba_vhi, PC1=>rcount_1, PC0=>rcount_0, CO=>co0_4, + NC1=>ircount_1, NC0=>ircount_0); + + r_ctr_1: CU2 + port map (CI=>co0_4, PC1=>rcount_3, PC0=>rcount_2, CO=>co1_4, + NC1=>ircount_3, NC0=>ircount_2); + + r_ctr_2: CU2 + port map (CI=>co1_4, PC1=>scuba_vlo, PC0=>rcount_4, CO=>co2_2, + NC1=>open, NC0=>ircount_4); + + wcnt_0: FSUB2 + port map (A1=>wcount_1, A0=>wcount_0, B1=>rptr_1, B0=>rptr_0, + BI=>cnt_con, BOUT1=>co0_5, BOUT0=>open, S1=>wcnt_sub_1, + S0=>wcnt_sub_0); + + wcnt_1: FSUB2 + port map (A1=>wcount_3, A0=>wcount_2, B1=>rptr_3, B0=>rptr_2, + BI=>co0_5, BOUT1=>co1_5, BOUT0=>open, S1=>wcnt_sub_3, + S0=>wcnt_sub_2); + + wcnt_2: FSUB2 + port map (A1=>scuba_vlo, A0=>wcnt_sub_msb, B1=>scuba_vlo, + B0=>scuba_vlo, BI=>co1_5, BOUT1=>open, BOUT0=>open, S1=>open, + S0=>wcnt_sub_4); + + af_set_cmp_0: AGEB2 + port map (A1=>wcnt_reg_1, A0=>wcnt_reg_0, B1=>AmFullThresh(1), + B0=>AmFullThresh(0), CI=>wren_i, GE=>co0_6); + + af_set_cmp_1: AGEB2 + port map (A1=>wcnt_reg_3, A0=>wcnt_reg_2, B1=>AmFullThresh(3), + B0=>AmFullThresh(2), CI=>co0_6, GE=>co1_6); + + af_set_cmp_2: AGEB2 + port map (A1=>scuba_vlo, A0=>wcnt_reg_4, B1=>scuba_vlo, + B0=>scuba_vlo, CI=>co1_6, GE=>af_set_c); + + a0: FADD2 + port map (A1=>scuba_vlo, A0=>scuba_vlo, B1=>scuba_vlo, + B0=>scuba_vlo, CI=>af_set_c, COUT1=>open, COUT0=>open, + S1=>open, S0=>af_set); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + fifo_pfu_0_0: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(18), DI1=>scuba_vlo, WAD3=>wptr_3, + WAD2=>wptr_2, WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, + WPE=>wren_i, WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, + RAD1=>rptr_1, RAD0=>rptr_0, WDO0=>open, WDO1=>open, + RDO0=>rdataout18, RDO1=>open); + + fifo_pfu_0_1: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(16), DI1=>Data(17), WAD3=>wptr_3, + WAD2=>wptr_2, WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, + WPE=>wren_i, WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, + RAD1=>rptr_1, RAD0=>rptr_0, WDO0=>open, WDO1=>open, + RDO0=>rdataout16, RDO1=>rdataout17); + + fifo_pfu_0_2: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(14), DI1=>Data(15), WAD3=>wptr_3, + WAD2=>wptr_2, WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, + WPE=>wren_i, WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, + RAD1=>rptr_1, RAD0=>rptr_0, WDO0=>open, WDO1=>open, + RDO0=>rdataout14, RDO1=>rdataout15); + + fifo_pfu_0_3: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(12), DI1=>Data(13), WAD3=>wptr_3, + WAD2=>wptr_2, WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, + WPE=>wren_i, WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, + RAD1=>rptr_1, RAD0=>rptr_0, WDO0=>open, WDO1=>open, + RDO0=>rdataout12, RDO1=>rdataout13); + + fifo_pfu_0_4: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(10), DI1=>Data(11), WAD3=>wptr_3, + WAD2=>wptr_2, WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, + WPE=>wren_i, WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, + RAD1=>rptr_1, RAD0=>rptr_0, WDO0=>open, WDO1=>open, + RDO0=>rdataout10, RDO1=>rdataout11); + + fifo_pfu_0_5: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(8), DI1=>Data(9), WAD3=>wptr_3, WAD2=>wptr_2, + WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, WPE=>wren_i, + WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, RAD1=>rptr_1, + RAD0=>rptr_0, WDO0=>open, WDO1=>open, RDO0=>rdataout8, + RDO1=>rdataout9); + + fifo_pfu_0_6: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(6), DI1=>Data(7), WAD3=>wptr_3, WAD2=>wptr_2, + WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, WPE=>wren_i, + WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, RAD1=>rptr_1, + RAD0=>rptr_0, WDO0=>open, WDO1=>open, RDO0=>rdataout6, + RDO1=>rdataout7); + + fifo_pfu_0_7: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(4), DI1=>Data(5), WAD3=>wptr_3, WAD2=>wptr_2, + WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, WPE=>wren_i, + WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, RAD1=>rptr_1, + RAD0=>rptr_0, WDO0=>open, WDO1=>open, RDO0=>rdataout4, + RDO1=>rdataout5); + + fifo_pfu_0_8: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(2), DI1=>Data(3), WAD3=>wptr_3, WAD2=>wptr_2, + WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, WPE=>wren_i, + WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, RAD1=>rptr_1, + RAD0=>rptr_0, WDO0=>open, WDO1=>open, RDO0=>rdataout2, + RDO1=>rdataout3); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + fifo_pfu_0_9: DPR16X2 + -- synopsys translate_off + generic map (GSR=> "ENABLED", initval=> "0x0000000000000000") + -- synopsys translate_on + port map (DI0=>Data(0), DI1=>Data(1), WAD3=>wptr_3, WAD2=>wptr_2, + WAD1=>wptr_1, WAD0=>wptr_0, WRE=>scuba_vhi, WPE=>wren_i, + WCK=>Clock, RAD3=>rptr_3, RAD2=>rptr_2, RAD1=>rptr_1, + RAD0=>rptr_0, WDO0=>open, WDO1=>open, RDO0=>rdataout0, + RDO1=>rdataout1); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + Empty <= empty_i; + Full <= full_i; +end Structure; + +-- synopsys translate_off +library SCM; +configuration Structure_CON of fifo_19x16_obuf is + for Structure + for all:DPR16X2 use entity SCM.DPR16X2(V); end for; + for all:ROM16X1 use entity SCM.ROM16X1(V); end for; + for all:AND2 use entity SCM.AND2(V); end for; + for all:XOR2 use entity SCM.XOR2(V); end for; + for all:INV use entity SCM.INV(V); end for; + for all:VHI use entity SCM.VHI(V); end for; + for all:VLO use entity SCM.VLO(V); end for; + for all:FADD2 use entity SCM.FADD2(V); end for; + for all:FSUB2 use entity SCM.FSUB2(V); end for; + for all:CU2 use entity SCM.CU2(V); end for; + for all:CB2 use entity SCM.CB2(V); end for; + for all:AGEB2 use entity SCM.AGEB2(V); end for; + for all:ALEB2 use entity SCM.ALEB2(V); end for; + for all:FD1P3BX use entity SCM.FD1P3BX(V); end for; + for all:FD1P3DX use entity SCM.FD1P3DX(V); end for; + for all:FD1S3BX use entity SCM.FD1S3BX(V); end for; + for all:FD1S3DX use entity SCM.FD1S3DX(V); end for; + end for; +end Structure_CON; + +-- synopsys translate_on