From: hadaq Date: Fri, 20 Nov 2015 12:49:11 +0000 (+0000) Subject: newest version X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=5ce0f331d1883ca527fc9954ea7106b768ffac11;p=ctsaddon.git newest version --- diff --git a/cts_cal_screset_gen.vhd b/cts_cal_screset_gen.vhd index ecf57b2..e0a28e9 100644 --- a/cts_cal_screset_gen.vhd +++ b/cts_cal_screset_gen.vhd @@ -76,7 +76,7 @@ architecture cts_cal_screset_gen of cts_cal_screset_gen is --lvl1 self trigger signal lvl1_self_trigger : std_logic; signal self_trigg_cntr : std_logic_vector(27 downto 0):=x"0000000"; - + signal lvl1_cal_shw_pulse, lvl1_cal_shw_trigger : std_logic := '0'; begin @@ -99,10 +99,16 @@ begin if rising_edge(CLK) then if RESET = '1' or GEN_FREQ_IN = 0 then lvl1_self_trigger <= '0'; + lvl1_cal_shw_trigger <= '0'; elsif self_trigg_cntr = GEN_FREQ_IN then lvl1_self_trigger <= '1'; + lvl1_cal_shw_trigger <= '0'; + elsif self_trigg_cntr = GEN_FREQ_IN - 10 then + lvl1_self_trigger <= '0'; + lvl1_cal_shw_trigger <= '1'; else lvl1_self_trigger <= '0'; + lvl1_cal_shw_trigger <= '0'; end if; end if; end process SET_LVL1_SELF_TRIGGER; @@ -113,7 +119,14 @@ begin en_clk => '1', signal_in => lvl1_self_trigger, pulse => GEN_OUT); - + + GEN_SHW_CAL_PULSE: edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => lvl1_cal_shw_trigger, + pulse => lvl1_cal_shw_pulse); + -- GEN_OUT <= lvl1_self_trigger; @@ -220,7 +233,7 @@ one_second_cntr_reset <= RESET or one_second_reset; if rising_edge(CLK) then if SEND_CAL_CURRENT = SEND_CAL_SHOWER or reset = '1' then shower_cal_mark <= '0'; - elsif SHOWER_CAL_ENABLE_IN = '1' then + elsif SHOWER_CAL_ENABLE_IN = '1' and lvl1_cal_shw_pulse = '1' then shower_cal_mark <= '1'; end if; end if; diff --git a/cts_fpga1.lpf b/cts_fpga1.lpf index ff3201d..3632bc0 100644 --- a/cts_fpga1.lpf +++ b/cts_fpga1.lpf @@ -8,6 +8,12 @@ BLOCK ASYNCPATHS ; IOBUF ALLPORTS IO_TYPE=LVTTL33 PULLMODE=DOWN ; +################################################################# +# SPI CLK +################################################################# + +SYSCONFIG MCCLK_FREQ = 20; + ################################################################# # Clock I/O ################################################################# diff --git a/cts_fpga1.vhd b/cts_fpga1.vhd index 92fb615..464f16a 100644 --- a/cts_fpga1.vhd +++ b/cts_fpga1.vhd @@ -7,11 +7,11 @@ library work; --use work.trb_net_std.all; --use work.trb_net_components.all; -- use work.trb_net16_hub_func.all; -use work.version.all; +use work.version.all; --no_sim-- use work.cts_components.all; library SC; use SC.COMPONENTS.all; -use ieee.std_logic_arith.all; +use ieee.std_logic_arith.all; entity cts_fpga1 is generic( diff --git a/cts_fpga1_compile.pl b/cts_fpga1_compile.pl index 7c10151..97c417b 100755 --- a/cts_fpga1_compile.pl +++ b/cts_fpga1_compile.pl @@ -14,8 +14,8 @@ use warnings; use strict; #my $lattice_path = '/opt/lattice/ispLEVER8.0/isptools/'; -#my $lattice_path = '/opt/lattice/ispLEVER8.0/isptools/'; -my $lattice_path = '/opt/lattice/diamond/1.3/'; +my $lattice_path = '/opt/lattice/ispLEVER8.0/isptools/'; +#my $lattice_path = '/opt/lattice/diamond/1.3/'; #my $lattice_path = '/opt/lattice/diamond/1.3/'; #my $synplify_path = '/d/sugar/lattice/synplify/syn96L3/synplify_linux/'; #my $synplify_path = '/opt/synplicity/D-2009.12/bin/'; diff --git a/cts_fpga1_test.vhd b/cts_fpga1_test.vhd index e02f8b9..4a4af1b 100644 --- a/cts_fpga1_test.vhd +++ b/cts_fpga1_test.vhd @@ -6,6 +6,7 @@ use ieee.numeric_std.all; use ieee.std_logic_arith.all; + entity cts_fpga1_test is generic( RW_REGISTERS_NUMBER : integer range 0 to 26 := 26; @@ -27,7 +28,7 @@ entity cts_fpga1_test is -- LED_ORANGE : out std_logic; -- LED_RED : out std_logic; -- LED_YELLOW : out std_logic; --- TRB2_OK_LED : out std_logic; +-- TRB2_OK_LED : out std_logic; -- TRB2_RX_LED : out std_logic; -- TRB2_TX_LED : out std_logic; -- TRB3_OK_LED : out std_logic; @@ -38,6 +39,7 @@ entity cts_fpga1_test is -- TEST_LINE : out std_logic_vector(31 downto 0) ); + attribute syn_useioff : boolean; -- attribute syn_useioff of ADO_TTL : signal is true; attribute syn_useioff of TRIG_OUT : signal is true; diff --git a/cts_fpga1_to_fpga2.vhd b/cts_fpga1_to_fpga2.vhd index 060b88b..6cdadc1 100644 --- a/cts_fpga1_to_fpga2.vhd +++ b/cts_fpga1_to_fpga2.vhd @@ -158,9 +158,16 @@ begin if RESET = '1' then lvl1_info_data <= (others => '0'); elsif LVL1_TRIGGER_IN = '1' then - lvl1_info_data <= x"00" & LVL1_INFO_IN & LVL1_RND_CODE_IN & - x"0000000" & LVL1_CODE_IN & - x"0000" & LVL1_TRIGGER_TAG_IN; + --changed 2014 apr start + --was lvl1_info_data <= x"00" & LVL1_INFO_IN & LVL1_RND_CODE_IN & + --was x"0000000" & LVL1_CODE_IN & + --was x"0000" & LVL1_TRIGGER_TAG_IN; + -- + lvl1_info_data <= + x"00000000" & + x"0000" & LVL1_TRIGGER_TAG_IN & + x"0" & LVL1_CODE_IN & LVL1_INFO_IN & LVL1_RND_CODE_IN; + --changed 2014 apr end end if; end if; end process SAVE_LVL1_INFO; diff --git a/cts_fpga1_trb_no_fpga.xcf b/cts_fpga1_trb_no_fpga.xcf index 94795dc..306601b 100644 --- a/cts_fpga1_trb_no_fpga.xcf +++ b/cts_fpga1_trb_no_fpga.xcf @@ -19,8 +19,8 @@ 1 0 - /home/marek/ctsaddon/workdir/cts_fpga1.bit - 10/5/2010 11:15:23 + /home/marek/ctsaddon/workdir/cts_fpga1_22042014.bit + 4/22/2014 14:12:40 Fast Program diff --git a/cts_fpga2.lpf b/cts_fpga2.lpf index d3da9cb..ed1eda0 100644 --- a/cts_fpga2.lpf +++ b/cts_fpga2.lpf @@ -6,6 +6,11 @@ BLOCK RESETPATHS ; BLOCK ASYNCPATHS ; IOBUF ALLPORTS IO_TYPE=LVTTL33 PULLMODE=DOWN ; +################################################################# +# SPI CLK +################################################################# + +SYSCONFIG MCCLK_FREQ = 20; ################################################################# # Clock diff --git a/cts_fpga2_compile.pl b/cts_fpga2_compile.pl index 986a987..68b0c30 100755 --- a/cts_fpga2_compile.pl +++ b/cts_fpga2_compile.pl @@ -12,8 +12,8 @@ use Data::Dumper; use warnings; use strict; -my $lattice_path = '/opt/lattice/diamond/1.3/'; -#my $lattice_path = '/opt/lattice/ispLEVER8.0/isptools/'; +#my $lattice_path = '/opt/lattice/diamond/1.3/'; +my $lattice_path = '/opt/lattice/ispLEVER8.0/isptools/'; #my $synplify_path = '/d/sugar/lattice/synplify/syn96L3/synplify_linux/'; #my $synplify_path = '/opt/synplicity/D-2009.12/bin/'; #my $synplify_path = '/opt/synplicity/D-2010.03-SP1-1/bin/'; diff --git a/cts_fpga2_to_fpga1.vhd b/cts_fpga2_to_fpga1.vhd index f8d39b7..fd86a44 100644 --- a/cts_fpga2_to_fpga1.vhd +++ b/cts_fpga2_to_fpga1.vhd @@ -86,12 +86,13 @@ architecture cts_fpga2_to_fpga1 of cts_fpga2_to_fpga1 is SIGNAL_VECTOR_OUT : out std_logic_vector(VECTOR_WIDTH - 1 downto 0)); end component; - type LVL1 is (IDLE, LVL1_TAKE_INFO_A, LVL1_TAKE_INFO_B, LVL1_TAKE_INFO_C, LVL1_SEND_DATA_A, LVL1_SEND_DATA_B); + type LVL1 is (IDLE, LVL1_TAKE_INFO_A, LVL1_TAKE_INFO_B, LVL1_TAKE_INFO_C, LVL1_SEND_DATA_A, LVL1_SEND_DATA_B, WAIT_FOR_FIFO_READOUT_A, WAIT_FOR_FIFO_READOUT_B); signal LVL1_CURRENT, LVL1_NEXT : LVL1; signal lvl1_rcnt_out_i : std_logic_vector(9 downto 0); signal lvl1_rd_en_in_i, lvl1_rd_en_in_fsm: std_logic; signal lvl1_rd_en_in_pulse : std_logic_vector(3 downto 0); - signal lvl1_data_valid_out_fsm : std_logic; + signal lvl1_data_valid_out_fsm, save_info_fsm, save_info, save_info_a, save_info_b, trigg_tag_cntr_up : std_logic; + signal trigg_tag_cntr : std_logic_vector(15 downto 0); signal lvl1_data_valid_out_i, lvl1_data_valid_out_align : std_logic_vector(0 downto 0); signal lvl1_delay_in, lvl1_delay_out_align : std_logic_vector(1 downto 0); @@ -163,7 +164,7 @@ begin SIGNAL_VECTOR_OUT => lvl1_delay_out_align); - LVL1_TRIGGER_OUT <= lvl1_trigger_out_delayed(0); + --was 2014 apr LVL1_TRIGGER_OUT <= lvl1_trigger_out_delayed(0); LVL1_DATA_VALID_OUT <= lvl1_delay_out_align(0); LVL1_TRIGGER_RELEASE_OUT <= lvl1_trigger_release_out_i; LVL1_DATA_FINISHED_OUT <= lvl1_delay_out_align(1); @@ -178,13 +179,22 @@ begin lvl1_trigger_out_i(0) <= '0'; lvl1_trigger_release_out_i <= '0'; lvl1_data_finished_out_i <= '0'; + save_info <= '0'; + trigg_tag_cntr_up <= '0'; + LVL1_TRIGGER_OUT <= '0'; else LVL1_CURRENT <= LVL1_NEXT; lvl1_rd_en_in_i <= lvl1_rd_en_in_fsm; lvl1_delay_in(0) <= lvl1_data_valid_out_fsm; - lvl1_trigger_out_i(0) <= lvl1_trigger_out_fsm; + --was 2014 apr lvl1_trigger_out_i(0) <= lvl1_trigger_out_fsm; lvl1_trigger_release_out_i <= lvl1_trigger_release_out_fsm; lvl1_delay_in(1) <= lvl1_data_finished_out_fsm; + save_info <= save_info_fsm; + save_info_a <= save_info; + save_info_b <= save_info_a; + LVL1_TRIGGER_OUT <= save_info_b; + trigg_tag_cntr_up <= lvl1_data_finished_out_fsm; + end if; end if; end process LVL1_CLK; @@ -196,19 +206,28 @@ begin lvl1_trigger_out_fsm <= '0'; lvl1_trigger_release_out_fsm <= '0'; lvl1_data_finished_out_fsm <= '0'; - + save_info_fsm <= '0'; case (LVL1_CURRENT) is when IDLE => - if lvl1_rcnt_out_i = 4 then + --2014 apr was if lvl1_rcnt_out_i = 4 then + if lvl1_rcnt_out_i = 1 then + lvl1_rd_en_in_fsm <= '1'; + save_info_fsm <= '1'; LVL1_NEXT <= LVL1_TAKE_INFO_A; else + lvl1_rd_en_in_fsm <= '0'; + save_info_fsm <= '0'; LVL1_NEXT <= IDLE; end if; when LVL1_TAKE_INFO_A => - lvl1_rd_en_in_fsm <= '1'; - LVL1_NEXT <= LVL1_TAKE_INFO_B; + + if lvl1_rcnt_out_i = 3 then + LVL1_NEXT <= LVL1_TAKE_INFO_B; + else + LVL1_NEXT <= LVL1_TAKE_INFO_A; + end if; when LVL1_TAKE_INFO_B => lvl1_rd_en_in_fsm <= '1'; @@ -230,12 +249,17 @@ begin when LVL1_SEND_DATA_B => if lvl1_rcnt_out_i = 2 then lvl1_data_finished_out_fsm <= '1'; - LVL1_NEXT <= IDLE; + LVL1_NEXT <= WAIT_FOR_FIFO_READOUT_A; else lvl1_rd_en_in_fsm <= '1'; lvl1_data_valid_out_fsm <= '1'; LVL1_NEXT <= LVL1_SEND_DATA_B; end if; + when WAIT_FOR_FIFO_READOUT_A => + LVL1_NEXT <= WAIT_FOR_FIFO_READOUT_B; + when WAIT_FOR_FIFO_READOUT_B => + LVL1_NEXT <= IDLE; + when others => LVL1_NEXT <= IDLE; @@ -290,25 +314,53 @@ begin end if; end if; end process LVL1_PULSES_FOR_SAVING_INFO; + +--was 2014 apr +-- THE_LVL1_SAVE_INFO: for i in 0 to 2 generate +-- LVL1_SAVE_INFO : process (CLK, RESET) +-- begin +-- if rising_edge(CLK) then +-- if RESET = '1' then +-- lvl1_info(i) <= (others => '0'); +-- elsif lvl1_rd_en_in_pulse(i) = '1' then +-- lvl1_info(i) <= lvl1_data_out_sync; +-- end if; +-- end if; +-- end process LVL1_SAVE_INFO; +-- end generate THE_LVL1_SAVE_INFO; - THE_LVL1_SAVE_INFO: for i in 0 to 2 generate - LVL1_SAVE_INFO : process (CLK, RESET) - begin - if rising_edge(CLK) then - if RESET = '1' then - lvl1_info(i) <= (others => '0'); - elsif lvl1_rd_en_in_pulse(i) = '1' then - lvl1_info(i) <= lvl1_data_out_sync; - end if; + SAVE_INFO_PROC : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + lvl1_info(0) <= (others => '0'); + elsif save_info_b = '1' then + lvl1_info(0) <= lvl1_data_out_i; + else + lvl1_info(0) <= lvl1_info(0); + end if; + end if; + end process SAVE_INFO_PROC; + --changed apr 2014 start + TRIGG_TAG_CNTR_PROC : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + trigg_tag_cntr <= (others => '0'); + elsif trigg_tag_cntr_up = '1' then + trigg_tag_cntr <= trigg_tag_cntr + 1; + else + trigg_tag_cntr <= trigg_tag_cntr; end if; - end process LVL1_SAVE_INFO; - end generate THE_LVL1_SAVE_INFO; + end if; + end process TRIGG_TAG_CNTR_PROC; - LVL1_INFO_OUT <= lvl1_info(2)(23 downto 8); - LVL1_RND_CODE_OUT <= lvl1_info(2)(7 downto 0); - LVL1_CODE_OUT <= lvl1_info(1)(3 downto 0); - LVL1_TRIGGER_TAG_OUT <= lvl1_info(0)(15 downto 0); - + LVL1_INFO_OUT <= lvl1_info(0)(23 downto 8); + LVL1_RND_CODE_OUT <= lvl1_info(0)(7 downto 0); + LVL1_CODE_OUT <= lvl1_info(0)(27 downto 24); + --was LVL1_TRIGGER_TAG_OUT <= lvl1_info(1)(15 downto 0); + LVL1_TRIGGER_TAG_OUT <= trigg_tag_cntr; + --changed apr 2014 end ------------------------------------------------------------------------------- -- lvl2 ------------------------------------------------------------------------------- diff --git a/cts_fpga2_trb_fpga_on.xcf b/cts_fpga2_trb_fpga_on.xcf index 3b4db58..2c1a295 100644 --- a/cts_fpga2_trb_fpga_on.xcf +++ b/cts_fpga2_trb_fpga_on.xcf @@ -70,7 +70,7 @@ /home/marek/ctsaddon/workdir/cts_fpga2.bit /home/marek/.isplever_lin/ispvmsystem/Database/xpga/ecp2/ecp2m-100.msk - 8/13/2010 0:0:41 + 4/12/2014 14:21:11 Fast Program