From: Jan Michel Date: Fri, 9 Mar 2018 15:20:38 +0000 (+0100) Subject: Update config files with new broadcast addresses X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=5dfcd24ce1bfedfcec3dd90b998a7d4f26331419;p=trb3sc.git Update config files with new broadcast addresses --- diff --git a/adcaddon/config.vhd b/adcaddon/config.vhd index 02c29cc..5a51718 100644 --- a/adcaddon/config.vhd +++ b/adcaddon/config.vhd @@ -20,7 +20,7 @@ package config is --Address settings constant INIT_ADDRESS : std_logic_vector := x"F3CC"; - constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"60"; + constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"68"; --set to 0 for backplane serdes, set to 3 for front SFP serdes constant SERDES_NUM : integer := 3; @@ -118,4 +118,4 @@ end function; constant INCLUDED_FEATURES : std_logic_vector(63 downto 0) := generateIncludedFeatures; -end package body; \ No newline at end of file +end package body; diff --git a/backplanemaster/config.vhd b/backplanemaster/config.vhd index 8304128..9c1ca7e 100644 --- a/backplanemaster/config.vhd +++ b/backplanemaster/config.vhd @@ -22,7 +22,7 @@ package config is --Address settings constant INIT_ADDRESS : std_logic_vector := x"F3CE"; - constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"61"; + constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"61"; --61 with GbE, 60 without constant INCLUDE_UART : integer := c_YES; @@ -37,7 +37,7 @@ package config is constant TRIG_GEN_OUTPUT_NUM : integer := 4; constant MONITOR_INPUT_NUM : integer := 22; - constant INCLUDE_GBE : integer := c_YES; + constant INCLUDE_GBE : integer := c_NO; ------------------------------------------------------------------------------ diff --git a/cts/config.vhd b/cts/config.vhd index bde78a7..e38424b 100644 --- a/cts/config.vhd +++ b/cts/config.vhd @@ -27,7 +27,7 @@ package config is --Address settings constant INIT_ADDRESS : std_logic_vector := x"F3C0"; - constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"61"; + constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"62"; --62 for SFP, 63 for backplane constant INCLUDE_UART : integer := c_YES; @@ -49,7 +49,7 @@ package config is -- 0: KEL on board -- 1: Canadian constant NUM_TDC_MODULES : integer range 1 to 4 := 1; -- number of tdc modules to implement - constant NUM_TDC_CHANNELS : integer range 1 to 65 := 11; -- number of tdc channels per module + constant NUM_TDC_CHANNELS : integer range 1 to 65 := 12; -- number of tdc channels per module constant NUM_TDC_CHANNELS_POWER2 : integer range 0 to 6 := 4; --the nearest power of two, for convenience reasons constant DOUBLE_EDGE_TYPE : integer range 0 to 3 := 3; --double edge type: 0, 1, 2, 3 -- 0: single edge only, diff --git a/hub/config.vhd b/hub/config.vhd index ca579d5..1fd5f1c 100644 --- a/hub/config.vhd +++ b/hub/config.vhd @@ -26,7 +26,7 @@ package config is --Address settings constant INIT_ADDRESS : std_logic_vector := x"F3CD"; - constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"61"; + constant INCLUDE_UART : integer := c_YES; @@ -114,7 +114,7 @@ package config is constant CLOCK_FREQUENCY : integer; constant MEDIA_FREQUENCY : integer; constant INCLUDED_FEATURES : std_logic_vector(63 downto 0); - + constant BROADCAST_SPECIAL_ADDR : std_logic_vector; end; @@ -132,7 +132,7 @@ package body config is constant IS_UPLINK : hub_ct := IS_UPLINK_ARR(CFG_MODE); constant IS_DOWNLINK : hub_ct := IS_DOWNLINK_ARR(CFG_MODE); constant IS_UPLINK_ONLY : hub_ct := IS_UPLINK_ONLY_ARR(CFG_MODE); - + constant BROADCAST_SPECIAL_ADDR : std_logic_vector := std_logic_vector(to_unsigned(100+CFG_MODE,8)); function generateIncludedFeatures return std_logic_vector is @@ -140,7 +140,9 @@ function generateIncludedFeatures return std_logic_vector is begin t := (others => '0'); t(63 downto 56) := std_logic_vector(to_unsigned(1,8)); --table version 1 - t(22 downto 16) := "0100111"; --sctrl via GbE + if INCLUDE_GBE = c_YES then + t(22 downto 16) := "0100111"; --sctrl via GbE + end if; t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1)); t(27 downto 24) := std_logic_vector(to_unsigned(INTERFACE_NUM-USE_BACKPLANE,4)); --num SFPs with TrbNet t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1)); diff --git a/tdctemplate/config_32_sfp_kel.vhd b/tdctemplate/config_32_sfp_kel.vhd index 1aa4584..801c2b1 100644 --- a/tdctemplate/config_32_sfp_kel.vhd +++ b/tdctemplate/config_32_sfp_kel.vhd @@ -46,7 +46,7 @@ package config is --Address settings constant INIT_ADDRESS : std_logic_vector := x"F3CF"; - constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"62"; + constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"72"; --set to 0 for backplane serdes, set to 3 for front SFP serdes constant SERDES_NUM : integer := 3; diff --git a/tdctemplate/config_48_crate_4conn.vhd b/tdctemplate/config_48_crate_4conn.vhd index 478d20d..21c6cee 100644 --- a/tdctemplate/config_48_crate_4conn.vhd +++ b/tdctemplate/config_48_crate_4conn.vhd @@ -46,7 +46,7 @@ package config is --Address settings constant INIT_ADDRESS : std_logic_vector := x"F3CF"; - constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"70"; + constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"71"; --set to 0 for backplane serdes, set to 3 for front SFP serdes constant SERDES_NUM : integer := 0; diff --git a/template/config.vhd b/template/config.vhd index ff252d8..d727036 100644 --- a/template/config.vhd +++ b/template/config.vhd @@ -28,7 +28,7 @@ package config is constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"60"; --set to 0 for backplane serdes, set to 3 for front SFP serdes - constant SERDES_NUM : integer := 0; + constant SERDES_NUM : integer := 3; constant INCLUDE_UART : integer := c_YES; constant INCLUDE_SPI : integer := c_YES; @@ -39,7 +39,7 @@ package config is constant INCLUDE_TRIGGER_LOGIC : integer := c_YES; constant INCLUDE_STATISTICS : integer := c_YES; constant TRIG_GEN_INPUT_NUM : integer := 32; - constant TRIG_GEN_OUTPUT_NUM : integer := 3; + constant TRIG_GEN_OUTPUT_NUM : integer := 2; constant MONITOR_INPUT_NUM : integer := 32; ------------------------------------------------------------------------------