From: hadeshyp Date: Tue, 14 Dec 2010 18:30:27 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~133 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=6473e917020883e26957f30ad1514d9bc73c6f64;p=trbnet.git *** empty log message *** --- diff --git a/xilinx/virtex4/trb_net16_fifo_arch.vhd b/xilinx/virtex4/trb_net16_fifo_arch.vhd index 6be5dac..d2de3c8 100644 --- a/xilinx/virtex4/trb_net16_fifo_arch.vhd +++ b/xilinx/virtex4/trb_net16_fifo_arch.vhd @@ -21,7 +21,7 @@ entity trb_net16_fifo is DATA_OUT : out std_logic_vector(c_DATA_WIDTH - 1 downto 0); PACKET_NUM_OUT : out std_logic_vector(1 downto 0); READ_ENABLE_IN : in std_logic; - DATA_COUNT_OUT : out std_logic_vector(9 downto 0); + DATA_COUNT_OUT : out std_logic_vector(10 downto 0); FULL_OUT : out std_logic; EMPTY_OUT : out std_logic ); @@ -125,7 +125,7 @@ begin din(c_DATA_WIDTH + 2 -1 downto c_DATA_WIDTH) <= PACKET_NUM_IN; DATA_OUT <= dout(c_DATA_WIDTH - 1 downto 0); PACKET_NUM_OUT <= dout(c_DATA_WIDTH + 2 - 1 downto c_DATA_WIDTH); - DATA_COUNT_OUT <= data_counter; + DATA_COUNT_OUT <= '0' & data_counter; gen_FIFO6_Count : if DEPTH = 6 and USE_DATA_COUNT = 1 generate fifo:xilinx_fifo_18x1k_datacount