From: hadaq Date: Wed, 27 Mar 2013 08:03:43 +0000 (+0000) Subject: unnecessary signals are removed - cu X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=69ab30353e904d23a2e15a5c0d320f6f73db2e23;p=trb3.git unnecessary signals are removed - cu --- diff --git a/tdc_releases/tdc_v1.1.1/Reference_Channel.vhd b/tdc_releases/tdc_v1.1.1/Reference_Channel.vhd index e928ab1..5ac3405 100644 --- a/tdc_releases/tdc_v1.1.1/Reference_Channel.vhd +++ b/tdc_releases/tdc_v1.1.1/Reference_Channel.vhd @@ -50,7 +50,7 @@ architecture Reference_Channel of Reference_Channel is signal hit_buf : std_logic; -- time stamp - signal coarse_cntr_reg : std_logic_vector(10 downto 0); + signal coarse_cntr_reg : std_logic_vector(10 downto 0); -- other signal trg_win_end_i : std_logic; @@ -104,7 +104,6 @@ begin WIDTH => 11) port map ( CLK => CLK_200, - RESET => RESET_200, D_IN => COARSE_COUNTER_IN, D_OUT => coarse_cntr_reg);