From: Jan Michel Date: Thu, 11 Aug 2022 08:02:51 +0000 (+0200) Subject: add FE_GPIO pins to pinout file, e.g. for SFP control lines X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=6acc0995f5c95caadfda865c01e22073a52d4dec;p=trb5sc.git add FE_GPIO pins to pinout file, e.g. for SFP control lines --- diff --git a/gbe_standalone/trb5sc_gbe_template.vhd b/gbe_standalone/trb5sc_gbe_template.vhd index 2b4aa99..0303ba8 100644 --- a/gbe_standalone/trb5sc_gbe_template.vhd +++ b/gbe_standalone/trb5sc_gbe_template.vhd @@ -27,7 +27,7 @@ entity trb5sc_template is SFP_LOS : in std_logic; SFP_MOD_0 : in std_logic; --AddOn --- FE_GPIO : inout std_logic_vector(11 downto 0); + FE_GPIO : inout std_logic_vector(11 downto 0); -- FE_CLK : out std_logic_vector( 2 downto 1); -- FE_DIFF : inout std_logic_vector(63 downto 0); INP : in std_logic_vector(63 downto 0); diff --git a/pinout/trb5sc_tdc.lpf b/pinout/trb5sc_tdc.lpf index 993db12..0f4fb00 100644 --- a/pinout/trb5sc_tdc.lpf +++ b/pinout/trb5sc_tdc.lpf @@ -81,8 +81,20 @@ IOBUF PORT "SFP_ADD_TX_DIS" IO_TYPE=LVCMOS25 ; IOBUF PORT "SFP_ADD_MOD0" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "SFP_ADD_LED" IO_TYPE=LVCMOS25 ; -# DEFINE PORT GROUP "FE_GPIO_group" "FE_GPIO*" ; -# IOBUF GROUP "FE_GPIO_group" IO_TYPE=LVCMOS25 PULLMODE=UP; +LOCATE COMP "FE_GPIO_0" SITE "A18"; +LOCATE COMP "FE_GPIO_1" SITE "C18"; +LOCATE COMP "FE_GPIO_2" SITE "D18"; +LOCATE COMP "FE_GPIO_3" SITE "F18"; +LOCATE COMP "FE_GPIO_4" SITE "A19"; +LOCATE COMP "FE_GPIO_5" SITE "B19"; +LOCATE COMP "FE_GPIO_6" SITE "C19"; +LOCATE COMP "FE_GPIO_7" SITE "D19"; +LOCATE COMP "FE_GPIO_8" SITE "E19"; +LOCATE COMP "FE_GPIO_9" SITE "F19"; +LOCATE COMP "FE_GPIO_10" SITE "A20"; +LOCATE COMP "FE_GPIO_11" SITE "C20"; +DEFINE PORT GROUP "FE_GPIO_group" "FE_GPIO*" ; +IOBUF GROUP "FE_GPIO_group" IO_TYPE=LVCMOS25 PULLMODE=UP; LOCATE COMP "SCK" SITE "C5";#"FE_CLK_1"