From: hadeshyp Date: Thu, 14 Feb 2013 15:04:58 +0000 (+0000) Subject: added missing ports, JM X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=6eb1a29e0cea1c8dbcecdad13e0bc15a85b51af7;p=trb3.git added missing ports, JM --- diff --git a/base/trb3_components.vhd b/base/trb3_components.vhd index aed1755..d57bfb2 100644 --- a/base/trb3_components.vhd +++ b/base/trb3_components.vhd @@ -10,15 +10,16 @@ package trb3_components is type std_logic_vector_array_24 is array (integer range <>) of std_logic_vector(23 downto 0); type std_logic_vector_array_11 is array (integer range <>) of std_logic_vector(10 downto 0); - component pll_in200_out100 - port ( - CLK : in std_logic; - RESET : in std_logic := '0'; - CLKOP : out std_logic; --100 MHz - CLKOK : out std_logic; --200 MHz, bypass - LOCK : out std_logic - ); - end component; + --already in trb_net_components +-- component pll_in200_out100 +-- port ( +-- CLK : in std_logic; +-- RESET : in std_logic := '0'; +-- CLKOP : out std_logic; --100 MHz +-- CLKOK : out std_logic; --200 MHz, bypass +-- LOCK : out std_logic +-- ); +-- end component; component pll_in125_out125 port ( @@ -154,6 +155,7 @@ package trb3_components is CLK_200 : in std_logic; CLK_100 : in std_logic; HIT_IN : in std_logic; + TRIGGER_IN : in std_logic; SCALER_IN : in std_logic; READ_EN_IN : in std_logic; FIFO_DATA_OUT : out std_logic_vector(31 downto 0); @@ -179,6 +181,7 @@ package trb3_components is CLK_100 : in std_logic; RESET_100 : in std_logic; HIT_IN : in std_logic; + TRIGGER_IN : in std_logic; EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); DATA_FINISHED_IN : in std_logic; COARSE_COUNTER_IN : in std_logic_vector(10 downto 0);