From: Jan Michel Date: Thu, 30 Jun 2022 08:18:48 +0000 (+0200) Subject: add default values to gbe_wrapper to simplify partial connections X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=6f6b3dbb9edcb129bb246790181df29b8c9b8481;p=trbnet.git add default values to gbe_wrapper to simplify partial connections --- diff --git a/gbe_trb/base/gbe_wrapper.vhd b/gbe_trb/base/gbe_wrapper.vhd index 08dd2d0..0077244 100644 --- a/gbe_trb/base/gbe_wrapper.vhd +++ b/gbe_trb/base/gbe_wrapper.vhd @@ -45,37 +45,37 @@ entity gbe_wrapper is TRIGGER_IN : in std_logic; -- for debug purpose only -- CTS interface - CTS_NUMBER_IN : in std_logic_vector(15 downto 0); - CTS_CODE_IN : in std_logic_vector(7 downto 0); - CTS_INFORMATION_IN : in std_logic_vector(7 downto 0); - CTS_READOUT_TYPE_IN : in std_logic_vector(3 downto 0); - CTS_START_READOUT_IN : in std_logic; + CTS_NUMBER_IN : in std_logic_vector(15 downto 0) := (others => '0'); + CTS_CODE_IN : in std_logic_vector(7 downto 0) := (others => '0'); + CTS_INFORMATION_IN : in std_logic_vector(7 downto 0) := (others => '0'); + CTS_READOUT_TYPE_IN : in std_logic_vector(3 downto 0) := (others => '0'); + CTS_START_READOUT_IN : in std_logic := '0'; CTS_DATA_OUT : out std_logic_vector(31 downto 0); CTS_DATAREADY_OUT : out std_logic; CTS_READOUT_FINISHED_OUT : out std_logic; - CTS_READ_IN : in std_logic; + CTS_READ_IN : in std_logic := '0'; CTS_LENGTH_OUT : out std_logic_vector(15 downto 0); CTS_ERROR_PATTERN_OUT : out std_logic_vector(31 downto 0); -- Data payload interface - FEE_DATA_IN : in std_logic_vector(15 downto 0); - FEE_DATAREADY_IN : in std_logic; + FEE_DATA_IN : in std_logic_vector(15 downto 0) := (others => '0'); + FEE_DATAREADY_IN : in std_logic := '0'; FEE_READ_OUT : out std_logic; - FEE_STATUS_BITS_IN : in std_logic_vector(31 downto 0); - FEE_BUSY_IN : in std_logic; + FEE_STATUS_BITS_IN : in std_logic_vector(31 downto 0) := (others => '0'); + FEE_BUSY_IN : in std_logic := '0'; -- SlowControl - MY_TRBNET_ADDRESS_IN : in std_logic_vector(15 downto 0); - ISSUE_REBOOT_OUT : out std_logic; + MY_TRBNET_ADDRESS_IN : in std_logic_vector(15 downto 0); + ISSUE_REBOOT_OUT : out std_logic; MC_UNIQUE_ID_IN : in std_logic_vector(63 downto 0); - GSC_CLK_IN : in std_logic; + GSC_CLK_IN : in std_logic := '0'; GSC_INIT_DATAREADY_OUT : out std_logic; - GSC_INIT_DATA_OUT : out std_logic_vector(15 downto 0); - GSC_INIT_PACKET_NUM_OUT : out std_logic_vector(2 downto 0); - GSC_INIT_READ_IN : in std_logic; - GSC_REPLY_DATAREADY_IN : in std_logic; - GSC_REPLY_DATA_IN : in std_logic_vector(15 downto 0); - GSC_REPLY_PACKET_NUM_IN : in std_logic_vector(2 downto 0); + GSC_INIT_DATA_OUT : out std_logic_vector(15 downto 0) := (others => '0'); + GSC_INIT_PACKET_NUM_OUT : out std_logic_vector(2 downto 0) := (others => '0'); + GSC_INIT_READ_IN : in std_logic := '0'; + GSC_REPLY_DATAREADY_IN : in std_logic := '0'; + GSC_REPLY_DATA_IN : in std_logic_vector(15 downto 0) := (others => '0'); + GSC_REPLY_PACKET_NUM_IN : in std_logic_vector(2 downto 0) := (others => '0'); GSC_REPLY_READ_OUT : out std_logic; - GSC_BUSY_IN : in std_logic; + GSC_BUSY_IN : in std_logic := '0'; -- IP configuration BUS_IP_RX : in CTRLBUS_RX; BUS_IP_TX : out CTRLBUS_TX;