From: palka Date: Mon, 21 Jul 2008 07:52:47 +0000 (+0000) Subject: before cleaning X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=6fda6c2627b6c0d314080e6ff773b44c274ae635;p=trbv2.git before cleaning --- diff --git a/GP_Addon/gp_add_on.ucf b/GP_Addon/gp_add_on.ucf index 9bb05d7..5347854 100644 --- a/GP_Addon/gp_add_on.ucf +++ b/GP_Addon/gp_add_on.ucf @@ -35,7 +35,7 @@ NET ADO_TTL_OUT<0> LOC ="P12"| IOSTANDARD = "LVTTL"; NET ADO_TTL_OUT<1> LOC ="R12"| IOSTANDARD = "LVTTL"; NET ADO_TTL_OUT<2> LOC ="R13"| IOSTANDARD = "LVTTL"; - NET ADO_TTL_OUT<3> LOC ="R14"| IOSTANDARD = "LVTTL"; + NET ADO_TTL_OUT<3> LOC ="R14"| IOSTANDARD = "LVTTL"; # NET ADO_TTL<32> LOC ="P12"| IOSTANDARD = "LVTTL"; # NET ADO_TTL<33> LOC ="R12"| IOSTANDARD = "LVTTL"; # NET ADO_TTL<34> LOC ="R13"| IOSTANDARD = "LVTTL"; diff --git a/GP_Addon/gp_add_on.vhd b/GP_Addon/gp_add_on.vhd index d2b9b18..ab51dc0 100644 --- a/GP_Addon/gp_add_on.vhd +++ b/GP_Addon/gp_add_on.vhd @@ -118,26 +118,27 @@ begin -- gp_add_on -- -- LVL1 -- ------------------------------------------------------------------------------ -- ADO_TTL(4) <= B_R; --- B_RB <= ADO_TTL(0) or A_RB; + B_RB <= '0';-- ADO_TTL(0) or A_RB; -- ADO_TTL(8 downto 5) <= B_RD; --- B_RE <= ADO_TTL(1); + B_RE <= '0';--ADO_TTL(1); -- ADO_TTL(9) <= B_RS; -- ------------------------------------------------------------------------------ -- -- LVL2 -- ------------------------------------------------------------------------------ --- ADO_TTL(10) <= B_T; --- B_TB <= ADO_TTL(2) or A_TB; --- ADO_TTL(14 downto 11) <= B_TD; --- B_TE <= ADO_TTL(3); --- ADO_TTL(15) <= B_TS; + ADO_TTL(10) <= B_T; + B_TB <= ADO_TTL(8);-- or A_TB; + ADO_TTL(14 downto 11) <= B_TD; + B_TE <= ADO_TTL(9); + ADO_TTL(15) <= B_TS; -- ----------------------------------------------------------------------------- -- -- Hades bus direction -- ----------------------------------------------------------------------------- --- B_TB_B2_DIR <= '1'; --- B_TB_B1_DIR <= '1'; --- B_TB_D_DIR <= '0'; --- B_TB_E2_DIR <= '1'; --- B_TB_E1_DIR <= '1'; + B_TB_B2_DIR <= '1'; + B_TB_B1_DIR <= '1'; + B_TB_D_DIR <= '0'; + B_TB_E2_DIR <= '1'; + B_TB_E1_DIR <= '1'; + ADO_TTL(9 downto 8) <= (others => 'Z'); -- ADO_TTL(3 downto 0) <= (others => 'Z'); ------------------------------------------------------------------------------- -- to next trb dtu data diff --git a/compile2b.pl b/compile2b.pl index ffcbac0..7740295 100755 --- a/compile2b.pl +++ b/compile2b.pl @@ -10,13 +10,16 @@ use FileHandle; +use strict; +use warnings; + $ENV{LM_LICENSE_FILE}="1709\@hadeb05"; -$PLD_DEVICE="xc4vlx40-10-ff1148"; -$TOPNAME="trb_v2b_fpga"; +my $PLD_DEVICE="xc4vlx40-10-ff1148"; +my $TOPNAME="trb_v2b_fpga"; @@ -25,16 +28,52 @@ $TOPNAME="trb_v2b_fpga"; system("env| grep LM_"); +#my $c = q|perl -pi -e '$t=time; $t=sprintf("%x",$t); s/(constant VERSION_NUMBER_TIME : integer := x")(.*)"/$1$t"/;' trb_v2b_fpga.vhd|; +my $c = q|perl -pi -e '$t=time; s/(constant VERSION_NUMBER_TIME : integer := )(.*);/$1$t;/' trb_v2b_fpga.vhd|; +#print $c; +#system($c); + +my $t=time; +#$t=sprintf("%x",$t); + +my $fh = new FileHandle(">version.vhd"); + +die "could not open file" if (! defined $fh); + +print $fh <close; + + #$c="/opt/Synplicity/fpga_861/bin/synplify_pro -batch $TOPNAME"."_syn.prj"; #$c="/opt/Synplicity/fpga_8804/bin/synplify_pro -batch $TOPNAME"."_syn.prj"; #$c="/opt/Synplicity/fpga_89/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj"; $c="/opt/Synplicity/fpga_901/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj"; #$c=("( netcat -w2 -l -u -p 6001 < data_for_synbatch_6001.raw >/dev/null 2>&1)& /opt/Synplicity/fpga_89/bin/synplify_pro -batch $TOPNAME"."_syn.prj"); -$r=execute($c, "do_not_exit" ); +my $r=execute($c, "do_not_exit" ); chdir "workdir"; -my $fh = new FileHandle("; $fh -> close; diff --git a/dtu_interface.vhd b/dtu_interface.vhd index c1628f3..231637c 100644 --- a/dtu_interface.vhd +++ b/dtu_interface.vhd @@ -352,5 +352,6 @@ lvl2_fifo_we_in <= lvl2_trigger_counter(0) and lvl2_trigger_counter(1); end if; end process LVL2_CHECK_TAG; LVL2_ERROR_BUS <= '0';--lvl2_error_bus_i; + DTU_DEBUG_00 <= x"00" & "00" & lvl2_fifo_address_out& lvl2_fifo_address_in & fifo_counter & lvl2_fifo_full & lvl2_trigger_i & lvl2_triggers_counter; end dtu_interface; diff --git a/tdc_interface.vhd b/tdc_interface.vhd index 9be11d5..18e5172 100755 --- a/tdc_interface.vhd +++ b/tdc_interface.vhd @@ -204,6 +204,10 @@ architecture tdc_interface of tdc_interface is signal lvl1_data_counter : std_logic_vector(15 downto 0):=(others => '0'); signal trigger_counter : std_logic_vector(7 downto 0); signal lvl1_code_i : std_logic_vector(3 downto 0); + signal tdc_ready_a_i : std_logic; + signal tdc_ready_b_i : std_logic; + signal tdc_ready_c_i : std_logic; + signal tdc_ready_d_i : std_logic; begin READ_ADRESS_END_UP <= lvl2_busy_end_pulse; --here TDC_REGISTER : process (CLK, RESET) @@ -219,10 +223,11 @@ begin TDC_REGISTER_00(30) <= lvl1_fifo_wr_en; TDC_REGISTER_00(31) <= lvl2_busy_i; TDC_REGISTER_00(29 downto 16) <= lvl1_fifo_counter; - TDC_REGISTER_01(26 downto 0) <= lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& how_many_words_in_event(15 downto 0); + TDC_REGISTER_01(26 downto 0) <= lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& words_in_event(15 downto 0); TDC_REGISTER_01(31 downto 27) <= (others => '0'); TDC_REGISTER_02(31 downto 0) <= lvl1_buffer_out;--lvl1_fifo_out; - TDC_REGISTER_03(31 downto 0) <= lvl1_fifo_out; + -- TDC_REGISTER_03(31 downto 0) <= lvl1_fifo_out; + TDC_REGISTER_03(31 downto 0) <= x"0"& LVL1_CODE & LVL1_TAG & x"0" & lvl1_code_i & lvl1_tag_minus1; TDC_REGISTER_04(31 downto 0) <= test_counter_3 & test_counter_2 & test_counter_1 & test_counter_0; end if; end process TDC_REGISTER; @@ -230,7 +235,28 @@ begin B_TDC_POWERUP <= '1'; C_TDC_POWERUP <= '1'; D_TDC_POWERUP <= '1'; - tdc_ready <= A_TDC_READY or B_TDC_READY or C_TDC_READY or D_TDC_READY; + +-- SYNCH_TDC_SIGNALS: process (TDC_CLK, RESET) +-- begin +-- if rising_edge(TDC_CLK) then +-- if RESET = '1' then +-- tdc_ready_a_i <= '0'; +-- tdc_ready_b_i <= '0'; +-- tdc_ready_c_i <= '0'; +-- tdc_ready_d_i <= '0'; +-- tdc_ready <= '0'; +-- else +-- tdc_ready_a_i <= A_TDC_READY; +-- tdc_ready_b_i <= B_TDC_READY; +-- tdc_ready_c_i <= C_TDC_READY; +-- tdc_ready_d_i <= D_TDC_READY; +-- tdc_ready <= tdc_ready_a_i or tdc_ready_b_i or tdc_ready_c_i or tdc_ready_d_i; +-- end if; +-- end if; +-- end process SYNCH_TDC_SIGNALS; + + tdc_ready <= A_TDC_READY or B_TDC_READY or C_TDC_READY or D_TDC_READY; + lvl1_buffer_in <= TDC_DATA_IN; GET_TDC_DATA <= '1'; SEND_BUNCH_RESET: process (TDC_CLK, RESET) begin @@ -263,7 +289,7 @@ begin full => lvl1_buffer_full, rd_data_count => lvl1_buffer_counter); lvl1_buffer_wr_en <= tdc_ready; - lvl1_buffer_in <= TDC_DATA_IN; + LVL1_FIFO_LVL2: lvl1_fifo port map ( clk => CLK, @@ -429,6 +455,14 @@ begin else LVL1_START_fsm_nextstate <= WAIT_FOR_TOKEN; end if; +-- when SET_SIGNALS => +-- trigger_register_00_i(5 downto 2) <= x"7"; +-- lvl1_tdc_trigg_i_fsm <= '0'; +-- lvl1_fifo_in_fsm <= first_header; +-- lvl1_fifo_wr_en_fsm <= '1'; +-- lvl1_buffer_rd_en_fsm <= '0'; +-- lvl1_busy_i_fsm <= '1'; +-- LVL1_START_fsm_nextstate <= SAVE_ADD_DATA_1; when SAVE_ADD_DATA_1 => trigger_register_00_i(5 downto 2) <= x"7"; lvl1_tdc_trigg_i_fsm <= '0'; @@ -442,7 +476,7 @@ begin lvl1_tdc_trigg_i_fsm <= '0'; lvl1_fifo_in_fsm <= second_header; lvl1_fifo_wr_en_fsm <= '1'; - lvl1_buffer_rd_en_fsm <= '0'; + lvl1_buffer_rd_en_fsm <= '1'; lvl1_busy_i_fsm <= '1'; if HOW_MANY_ADD_DATA = x"00" then LVL1_START_fsm_nextstate <= SAVE_ADD_DATA_4; @@ -586,7 +620,7 @@ begin begin if rising_edge(TDC_CLK) then if RESET = '1' or tdc_start_i = '1' then - words_in_event <= x"0003" + HOW_MANY_ADD_DATA; + words_in_event <= x"0002" + HOW_MANY_ADD_DATA; elsif tdc_ready = '1' then words_in_event <= words_in_event + 1; end if; @@ -596,7 +630,7 @@ begin begin if rising_edge(CLK) then if RESET = '1' then - trigger_counter <= (others => '1'); + trigger_counter <= x"ff"; elsif LVL1_START_fsm_currentstate = SEND_LVL1_TRIGG_1 then trigger_counter <= trigger_counter + 1; else diff --git a/trb_cts/compile_cts.pl b/trb_cts/compile_cts.pl index fd53741..a3ec2ba 100755 --- a/trb_cts/compile_cts.pl +++ b/trb_cts/compile_cts.pl @@ -26,7 +26,8 @@ $TOPNAME="cts"; system("env| grep LM_"); #$c="/opt/Synplicity/fpga_861/bin/synplify_pro -batch $TOPNAME"."_syn.prj"; -$c="/opt/Synplicity/fpga_89/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj"; +#$c="/opt/Synplicity/fpga_89/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj"; +$c="/opt/Synplicity/fpga_901/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj"; #$c="/opt/Synplicity/fpga_8804/bin/synplify_pro -batch $TOPNAME"."_syn.prj"; $r=execute($c, "do_not_exit" ); diff --git a/trb_cts/cts.stapl b/trb_cts/cts.stapl index 0a204e7..94dc5a7 100644 --- a/trb_cts/cts.stapl +++ b/trb_cts/cts.stapl @@ -1,5 +1,5 @@ NOTE "CREATOR" "Xilinx iMPACT Software"; -NOTE "DATE" "2007/12/06"; +NOTE "DATE" "2008/07/17"; NOTE "STAPL_VERSION" "JESD71"; NOTE "ALG_VERSION" "1"; NOTE "DEVICE" "UNSPECIFIED"; @@ -1258,73 +1258,108 @@ IF (!X) THEN GOTO F; DRSCAN 12259744, @q8s50000000@@l@@lAPggP8000003080010000E20000G000006081020GPrfC0e004GM9Go 1D00GI00000C0m00400060C0W2040006080WqC82421YW09G05G03m@3W@dV@F@x@yp@pV@F __@uV@7Vy@ud@dUz@ql@dU_@qt@7U@@m@_Fym@3VCX88P0000GYa6a3G280G0YbC10081000 -0m0020050ro600000W4088204210YW0O0010mN0X0000Mn00Gh0jSGW7G00025OfD1Qgc0DB -OG80G00PF87100Wk7Y20mPGo5U0001uOY01ZI0CnH20008MveYO0U0800GD6i1000ta00GN8 -RyMW1l4mGj2o10O@Cq460400i7oa0JN0EtWdi7CB6cMIrGhbBs000bzC0Oi@m3NPymS6Fi4H -NWjR02zOZi7EKl000002YiHa0G40mY6gijXGD9i1002p61ifIb4wIferLy0CC1mcII0028OL -792fOZAG4QffD000G1C00uk1FQJnWhdUO_fAwLnoke_@ph4000WAO00uUazULY400S2T3msP -9s0w60OqibQ9264Y4000000H404fWP1KPW884fsr_VTk01000sj76W7m0w2eYo0I8F06I1W1 -000W20m0Y2eYp_c10082209m01h00GgZ09044100z2o0008G402H09G00084H420001ImbP9 -a2G22a0HG08165W400WSG00u04E1000W3E0000a31u00Gm900qNO5r0O00u0000000GG08I2 -0iSO5WyP8H4015Wu300IY0GGY8100001980000GI47H3OGZ06a2W18IG08I2G65G5P5_@LZ@ -NLv@JT_VKf@@qw@Dr_@Il@dKy@7D@VHr@Fqz@1b@@Fx@tJ@@xy@@@@0320Xu@V3080022008 -4030040cYl1W008g0mWQwb00G0Oz@647W1WgR8Y38XK0C000010X0m_hz89W4I3uXS1IOwU3 -01G04rl100GW0000080120000W0400200000Wg08Xstb0G02mz@647W1w480Y38XK0C0001O -I06K5@60080tnEX02C0W01Hk09aWyyWu30Uz738000R0qGHq@dzm@1X@@Fw@t3@@xu@@@@@t -d_@xn@VU_@@@@@Dm@@2_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@y000 -0@@d7GA00D2yJ01aaK0f@@@@@@@Va_@5n@@G_@@@@@dv@@@@@@@@@@@@@@@@@@@@@@J2001W -A1K20000000UF000@@7580400000W000MXB100040000J1W100040020J1mWtuCOFE3o3G20 -0Z912m00G0W01Q9VWA0000r0W1prn000200080f0m000200180f0OGI19a7G5Wn4024W1100 -012m0001Wa3O0802Gc3Ca2W10000J1uX@@VERX4_@V300xY928Kw0FaIe4ZJmGXXXaQe4Ws0 -0AFaXq08f8HFYzeYiFCe60DY1n30R40jXmGQ0aqCoIHbGIs3HbZ2I@@@@@@@@@@@@@@@@@@@ -@@@@@h2400WA1KAYhh_@@@@@@@@@@@@@@@@@@@@@7c@@Wz@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@yd@@_w@h@_@vp@F_z@Vl@@s@@@@@@@@@@@@@@@@@@@@@oy@@@@@@@@@@ +0m0020050ro600000W408820421810010v0K1000Xq0m8J0301s0C4W7@0yHt0jSDmIH3S50 +02WG4i1mH2mz1120108f0Fa000qDGQlIO300jYaBC0W00mb40VXnU000VPF00annd00qgpBn +Z38_VcbJ000mHB00e6cA00804o2RT3i10W0XTLgOS53UNXXq2IuY53ACm0W000H1ymF8s300 +WYJ00mIDI02000G01Gn2R4km610PJQ7R00OqRecJQBwX4ZCe34awlm3Gi51RA9108W000G0B +BbGH06ae13000IQ0mW9cO8A03UKock1I8rfAq0G0yv09D2y0022W60C8s8XMuv@4YKFS9I2a +QfUdcfchJ0T3042sU849000000Y808Yhq000G822HQBh@3qWm9Nm0y06GN0LK6G2v1mGA0C0 +000K006GK0LSstC000HG08168O500IT481WW800eNG60001Y0G82812000X8YG0008G2IbAX +K0IGW482209me0a000a32007Wm90000Sm1000WS807002E10W_2he6030070000000220HI0 +Wb3h0aF39Y08e04V00GI402I49000088110000IYu8Q03Q4mWK0C0H220HI0oe0guOk@Bsx@ +X1@FOn@@by@UD@VNq@pLz@RP@lMt@d5_@Ob@@Lw@Rr_@Ln@FLz@Fb@@Iz@VP_@Js@@uu@@D@ +@tF@@yt@@__@h@@@@@@@6_@hn@@@@@Fvz@Fk@@Y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@v1010 +m@@90001u@@400G0q2G2W000_@F10004H1m0ez1W@@VeH03Q2810080b1a0804W01C8A06I7 +WXs1Ou@VCs8WX@@J00G0qZ0FyCG2000er800aKG50100IA810100f0OGD06KY030080@@F40 +0W0@@NHg2Cy@@600Wv_@tfA7I8S13G00000000028m@@90020u5W40000G800u@V30012q5W +1x0am@@90Cm10000KH3OC6W4dKKKp1dy@l1000ubF00y@FO0001IImWd4aOD16INmWIBa00W +qu@@NDuX1JKKHG5CKxH20G00UhmWzAa0iK2Gs3RqT16@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@Vod@P0W00W6_gucFC000W3100u@@@7UxX85i1u83m@@@VI835pb300ex5D2 +y@@G49R0y@@UZTG20004w000@@RMgdLy@F900ui3fmC0006@@d3e92W@@tBk0I000G0S00u@ +Vm0W20ayA6jPG200Ve7IaBuKO0@00y@FO004GMmjY5DE1000F900WQXJZ800GA0KazG83Z26 +28000a040040GA00zRy3f08n@@L000y_@@@10400000n00002000C10000O0w0cXK0au@VCO +000y@@@004WMtsWPTv14u0GRGWLpy3f0Gn@@U0210u@@@1001i5k4f0GHa2ecbU2hfdm@@60 +0uugEWJgsof5xJejT9sON2WM80Nj3J4xsafN5Dxpmu_9S1Y19NnWi00WXytuSiJ6xUO000Oh +HGIHzO0U60ejyF100noU00em_nC000isO8VOW10Wdrzt@ly@40W80aNd700W0cp43000qQ40 +06WpiXEz00020044Wh@h00mZxq@fH1b0OEkD0800CD460k40YXRlN591000F810WO7734W2O +dYO8000000Km@@R00Oo_@@n0004A0000009ICGs04J1u6pnGXFW30IR0G000084W@@VOvC30 +01IC2u6I300_@@@@@D007000y60083uDnPm@@FGI00u509_@d1Gm10@@@VyHCqri47vU2000 +bOuIh8k7cXEXcu21az0m8iFCvL2xmso_N6iW26@O@3i00WcdVekM3AugbkRD0000upsF43t3 +@7pmxUCyYi700woMWik84Q1a50mTPJ6673000G3a8gUfZ20m2vH2rDid1PFqx@@7Enz90000 +0GWQNZnXN@l1Oq0W@@tJ200m@@a4IB9FyJ5008400G5nhtu@@IiklA0XD0M7UF0e0G7@J2F0 +0WXztBCSI004Uc3FU011900000W80Ov@G00y0COFU0120ge_4O200XjK70WW5W00fI080oYJ +Ys@V00WBMOu@Nkl7000c000o0O00O5kDYXx@iuo93d0ZDt08002l_t2000wC5PhoyMo@F100 +Tu5YBtL1m0240OP@@Zd@@tz@liw@7x_@mo@@hz@xg@@j_@FtJH13B0C6_@Xf@@Ny@tb@@Bt@ +Vo@@dYz@dW@V9w@F2@@Xu@@@@@l1z@PO@@5u@NX_@Jm@V4_@@@@@zz@@4x@7H@@Fy@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@5t@@m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@O0000y@VX0C10y@FO00W0_@t00002@@d0W00W@@F1000Da00W@@13020mM1Fy@VB00WF@@ +lhn4mu0YM0ma0y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VCS@73Nc020W_@N20W0 +0zmT200Gr@@nBok4_@F4Wm30nm16004W90nO8GI000WJI00u@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@VH33tWkrKv@V30X30y@VQxcRGRumaKmR@@@Vxv@l__@ft@@v@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@33800W@@v1m23m@@f10009rSRq500y@@@@9ymw3s0WF1u +@@@3J@@lu@lB@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tg@@i_@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@nhVkDSO0X30y@@@BnNnLym4fm@fp@@v_@Nb@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@s +0G00emxJm000y@@@@@3CW00W@@910003610W@@@@@@@Zt@@ly@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@l20800@@R000AW@@j1000dg1000010@@hb700W@@D0W1 +0m@@O0040_@@D008930uB0N00m@@S22018fWnEoya@@h0000CF10W@@@@@@@@@FSW000@@x4 +WM2WKZnx@@J000GP100u@@@@@@@@@@@@@@@@@@@@@F__@V@lE8000HSn3V00W@@@Vm@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ur@@T_@Rt@@@@@@@@ +@lf@@Q_@@@@@@@@@@@@@@@@@@@@@@@@u_@B_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dV00 -wB@@p00G0W@@d4el3m@@C8000u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fk@@Y @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@M00ely@F30010_@VIW_E0@@p -W000W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@u_@B_@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@N5000G@@R300Eo@@ +J3002m@@s0w80u@VxBJ8d@@h00Gzn@@H10G014000020010000000U0mWC0Ce307_@@400Lm +@@75004200000W00G00000000020m205y@l1J0am@@g00W3z@Vg0300y@@60002_@l5G@30@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@LXzVMO8P200eSj@ZKWpbrI02000000YB0Iu4W4oU +mF0008b5WH60Cy@lA008h_@Fgt4COkE608024emC@@d08A4W@@V30C0Gw1mSAeJ@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@7DJ5000A7000vWhu@@L00eky@Vg000GC6c4@@d30W4W@@@@T +DC_@l500T_@@h500018000DSR0040WLkDuUjP0XH0y@lM0W01wCF114003xPmOum0000sV00 +G7t@lH_@Pi@@5z@Nn@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@F6ECdXRW008WZpJ0210Ggvs0 +00WufDO_@d4G00WlmPm2S9001484k4G000y@VB002Xpu_@Bo@lYz@de@@8_@@@@@@@@@@@@@ +@@@@@@@@@@@@@@dhtoemDG100m1tm4DF3E400wBdAW00000W0W0010X02G000GISCK6EC002 +xIsFA0800TAO004W00GW02G010m000W10OAy4A376G390pr5LivFq7XG2300Qs@@Lt@Fr_@F +z@@@@@@@@@@@@@@@@@@@@V3x@@@B20G3m@@QzTr3@@d3e16W@@j@BC300084j63lSd000000 +40G@@h2002t@@@FrS6kCdXrzCu@@J0000Z5008B3v2upW@@F100Iv@@@@@@@bs@@e@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@t_Huvy@@3W660_@@@i7_@@@@BK@@1v@FG@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@hG@@9u@F2@@lX@@Qy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@Yx@Vu@@Jl@@p@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@l50_Q@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VQ2000w0mX@@J0200G306a205H +1K10WqW@@T200GG70Ea205@@R0040WK0eeI0CUUO_D5IOz130011y@V20G000G00SeW1ZFaG +A0K45G2PFGr@@@@@@@@@@@@@@@@VB00Y00200080000000W00000080000000Wa205H1So@@ +s3I008I16_@FXK0e8AWJ_@FA0604@@p00W0W60C850AY2Wa@@f200GI70Ea205H1Wo@@N100 +2g307I1WYe0Gv@@@@@tU000W2000Q0mWK0e8A0K_@@A00W0FcmG706a205H1S20000G400@@ +x40a848Y0XG200W82G8200W4X0X884fK0e8AWJW400y@@@5SCV079q1W120m0I1WYe08fZ3g +0901Y0G82812000X8YG0008G2821W8m09850AY2W4W4OE800S02d0000m170000oX0S008uC +72I1WYe0818S200W80GI402Ia40000881100009Y440Ya0af0GHK0dy@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V@v@l@_@vt@@z@@@@@@@@@@@@@d@z@td@Vzx@FV +@@n@@@@@@lD@@Px@@@@@Ny_@3t@Vm@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V8010WE0S850Ag2GYA1 +m00001100W@@f2G00080000100h5aG60644G2f0a040000000000400010000e103Y4W100W +t@@V5000aP5gu@@7E98104000G000000q0W1@@p00c2W35Ywu166J8X38Oe3030000jzW7j1 +aW700W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@NE@@at@@u_@B_@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@q0100q0W1@@@0500Wk1kw@@J02004102@@N10008C300@@75000e@@N20Gzum +34EzW1dAOme2I0W40u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@uz@Bk@@X@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@h0000u@@C000Gv@@S000GvC00u@VdC00000000003m@@C00O0u@V6000844m3 -@@l100_c@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@D0000n@@j000W3l00m@@9000 -4u@@n0080y@VB00E__@d10W00Vs@Vd@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vnz@Fy @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@P00080G00W@@3100 +0p@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@d6000Y@@910mez@@@@@@@@@@@@@@ +@@@@@@@@@@@@@Fj000M@@t3000800000000n900u@@@@@V3000So100_@t38000@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@7p@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +2_@@@@@@@@@@@@@@@@@@@@@@@@@@@Bv@@nz@Ny@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FL0010_@t01 -000f0yGD0O45G50e00_@FA2000@@d0200W60C850AY2W40000W0P0EUWV00W0FFO0088Wb5O -0010GD09CiG2f0aGK0F000000Gqy@@D2000y@V90370K6G8l18n@@lHG00v@V30040y@FXD4 -810WnpU5I8h03A3GYz2Ey@VE100Gck09DGOG74LK4nO006I1400y@@3020WYPPZ0BI0020GU -0IG800u@@e0sM0y@@9VL8nZ6fnQ008b462oOI00hBhfa0W00W1IIev33o_m000O8rVmGK0FS -5X1v1aGcAK18y1ed03kUXXjNa0020GA0Ki2Z4X2nm@@81EJ1u@@GUBwXK0e8A0C_@l8m520H -Gfo@@@@@FmW1P0wyPZeXE9a8900W1q0W1f0GHK0ey@lM0G00YgXXE0C850AY2u40004T5459 -21H8G8I0004X04H000aG8G412HIYK0e8AWJ_@@@@@X7006G07Cq1W1f0GHK0dqnHK00081GW -404Y0HW000G8I440002a0HG081c205H1SY0pX00W38u40000ES0000GE4m100XpK0e8AWJm9 -000Y0W4H044HI0000GG440000a4HG04H2GIKA0K45094910_@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@Fyw@@__@kpl500200W0Wfvn0000HZ_9020X8403sMsWNon -000uGZ0OyPVEW000A7NY@@J0001OCv90GW000004010myyDekS3MgNYa2aeH0322VlaHv420 -00004WElb00W8_Gq9000Gu1u400W82000G080OWq6y8j1PI@0020WO0a0280m@@BzGl10jS0 -I2sZkzJ0800mFylDzl1000mbS00y@V22800MIuX6kJ00008000Z85aG000me26qKlGG00000 -40qJl400Edcxl200140000002G00400800mPugazWJHb@@Jx@tK@@Bz@@@@@V__@bt@@u@@@ -@@@Fp@Vp_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@NCEjDDx1300Wa28z@@ -@@@l8WP20@@xHAq@dzm@vx@V8w@@1@@Tu@@@@@@@@@@@@@@@@@@@@9grIeQ6qgs3f0GHK0R0 -08Gu@@e01000800C203UVBXC0Cu@@4w08XM0OeB03Y2G2G400@@xqC16008000W0mDTay@F9 -vrH5W04WvyIO7X4MqBXE0COP13krBXK0I8A09000Fy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@Pr@@L@@Ne@@@@@Vg_@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@nv@Ny_@3t@Vm@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -1332,11 +1367,15 @@ vrH5W04WvyIO7X4MqBXE0COP13krBXK0I8A09000Fy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@Fy000G_@t0000GT0a0001WE0C850AQ3W1000SM000_@@@@@Ve916w08XK +0au@@70E10y@@OW000w0mXK0e8AWA0000008V8a2s_@t0a000D0OGA0KqOX400C5_@VC0400 +@@pWW00W60C850AQ3GYa2Cx@@@@@@@@@@@@@@@@@@@@L1W10G5090000K006GA0K4503j1mm +@@c1a0100WG4H80004814X0G4OW404Y0950A480000041100000G440IW0008P000y@lJoX0 +0W38S20000ES0000874m100XpSG00uGa40000441100009H440Ha0a41WW4950Aa00000S44 +000WS8GG08I20000CGE4aAW1000EY68aK0e8aW7m900y@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ll@@Hg@@Zy@te@@@@@@@@@@@ +@@@@@@@@@dy_@7t@Vn@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@xH0G0u@VL0300y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@Z1200u@@J000GxQ00u@@@@@@@@@@@@@@@@@@@@@@@@@@@dMu200@@p000WS4800@@@@@@p -0C40u@@700W0y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V4@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@ht@Vw@@@@@@@@@@@@@@@@@@@@@@@@Nb@@@@@@m@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -1347,16 +1386,16 @@ vrH5W04WvyIO7X4MqBXE0COP13krBXK0I8A09000Fy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@FR000HP700y@VZ000G_@@1004o@@t2000e@@130G0GI1@ +0001u@@@@@l200t3DA8A0D4Wi8u9vYA_@t9000uI600Ikmf@@Z20G0u@@j0600u@@@@@@@@@ +@@@@@@@t9m3E0@@h200WmsMCBf0U_@t00400@@@VyHtwL00u@VL0010y@FLhKv100DZ@@F10 +d32003400W00b5n526200Gqqob02y01C682H4IF0HXMY2515G92UeJ00W@@pvuBC0Rb04DcA +0040Ga0WxF1000200G400W00070C0G0002aw340W@80GWI000r100m@@s0Y884I00m@@I000 +0cz00m@@dWiO0u@V300m0STMT@@@@@@@@@VQW000021vW04008Sc32000Wm9OC000_@d10C0 +0@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@5n@@G_@tT_@Rl@Vs +z@Vz@@@@@@@@@@@@@3s@VW@@7Q_@Vk@Vdz@lv@@@@@Vnz@Fy@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@30000800m@@60800e303000Wq1W1f0GHR0 -F0k50u@@@@@@Xc4OeLX4I1WY@@P0000E200W@@13000H70Ea205H1W10000G_00XKm600000 -009D0OGA0KqOH50J10_@VC1000@@d0008e60C850AQ3eYa2Cx@@@@@@@@@@@@@@@@@@@@F10 -00uaB90m000006G306a205j1KHGJc9G000849220001IW880a0581WW8G2C50A000000H8e1 -030IW0008Du@Vd000vW00m18S200007S0000872m10WWpS800u8Y400004aW000009H220HI -0a41WW49XK0e00000S44000GE8GG08I2000068LW400704DW7v3KH81FK9W1@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@x@Vbw@ -F9@@Hw@@@@@@@@@@@@@@@@@@@@Dl@@oz@dy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -1367,15 +1406,14 @@ F9@@Hw@@@@@@@@@@@@@@@@@@@@Dl@@oz@dy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@lh00700000872u00WmfS800u02d0000W370000o1100m@@O0Wc0u@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@v108000W00000G3060400850AY205000000084000y@@yHAmGA0K45 +0A@@dGa2H1080e307I1WYe0Gv@@h0090q1W3f0GHK0ey@@L0880w0mXK0e8A0K_@@@@@@@@@ +@@@@@@@@@@@z0000A0000W10G70C8003850AY2WaA1Iu@@b0001Y0G82812000X8YG0008G2 +821W8m09850AY2W4W480vC55000I41GG49100001HG0000GI411G4909Hf0GHK0aGa4000G2 +GMfF4OQ5f0GHK0Oy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Y@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@7A_@Vg@Vdy@lf@@@@@@@@@@@@@@@@@@@@Ny_@3t@Vm@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@p6Hj3W@@L4800m@@I00002G00m@@ -a0001u@Vm000Gy@V500A024Wd@@@@N16e200azWA0010_@@@@@n0Ol0m@@g4fGT000uKC00a -cn@@@@@@@@@@@@@@l7007i@@R1H00GGHOy@lMW7S0_@7600G0@@@@@@t2kN1u@VL0004y@FL -3Qv1000KP000@@J200uSW00O010080eiGyGmW0002DNk4WW780p0HGaGw1GAqYee881AHG7U -20@@d3002W@@h00mMPtOg000010490m@J000W0004161m000200GWKzW00uF102e200GF0W@ -@j10G412H2W@@h0ms3m@@d00oYPDW4YGeb@@@@@@@@@FM00100042a31800GuKE40000XJWP -00@@p000OW@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@fu@FA@@ -dj@@O@@Vy_@3@@@@@@@@@@@@@@0x@@l@@@C@@Et@Vp_@py@@@@@Vu_@3_@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -1387,14 +1425,15 @@ dj@@O@@Vy_@3@@@@@@@@@@@@@@0x@@l@@@C@@Et@Vp_@py@@@@@Vu_@3_@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +s0400@@t6GW0095a60W0Wa2i1o00m@@@tzm3@@R3u80W01uv@VC0200y@F900W0_@F4000qA +6000000K5H5L38Hg0a4Am6@@B1040W@@310mXGr0jaA09r58q@@F0ua08d13Itmc@@@@@@@@ +@@@@@dl663gS9ae081000h700000008400I5090000000WMavaAaCOR6F00eFEJJBf2Go@@@ +7IHKnLeo@@U00OF8L0d000d34003800010b5Y726400Gevob04y01O682Y4IF0IXM45519G9 +2wmJ08AWJ00000m0EFL0d000W000010490m@J000W0004161m000200GWKzW00uF102e200G +F0We0E1000e000aK1S20a5pOH9i_n6000oY2u400V1f24r@@@@@@@@@@@@@@@@@@@@N700@@ +@@NQjf@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@B_@xo@@np@Fyz@@k@@k@@@@@ +@@@@@@@@ld_@tv@@jo@Fhz@lg@@g_@@@@@@@@@@@@@@@@@j@@U@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@tL000E00000GE4W3001dvG00m14S200007E00008720u@VC000Dz@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@y000G00001000e1030080a205H1Wo@@60Y00u@VxZK8XK -0e8A0K_@FX85Y2000H70Ea205H1Wo@@N1008f307I1WYe0Gv@@h0001r1W3f0GHK0ey@@@@@ -@@@@@@@@@@@@@@@_1m000006G70C0m00C50AY2ua@@fIW402Y09G00088I420001Y0H80816 -OA0K45m9vCH5892019I200004aW0000W4H220HI0oK0e8AWJ000G2WS88hK62CjYKJh8A0C_ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vn@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@35@@Fr@lJ_@tq@@@@@@@@@@@@@@@@@@@@BU@@Xx@Fu@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -1406,15 +1445,1755 @@ OA0K45m9vCH5892019I200004aW0000W4H220HI0oK0e8AWJ000G2WS88hK62CjYKJh8A0C_ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lQ0200v1m3m00W@@1 -3000HU0yaKWP@@R9020We0G9AYG000GeO0000000400Wy1MAxXb000000O08Q2gIFm9mUC0H -AeIu2gy@F3000G2tW7000a4600_@Fdy1uv@@@@@@@@@@@@@R1004bEo9H1G200jWK1Yg4deI -AmWcTYw@@@@@790G00H1WIOFau0008L0dSE800CG00020AM4F4O8000HpbL28u14mCW8494z -0a2Q9AA4KWI8uXd45m9E10000W1aAGK01000204H0m@Z000010042008f0O000G0f_W00uF1 -04e200GF8AWJS0000m008L0dS00a9600u@V30C00y@l4000W_@tWe0E1000l20WiK1Sw@@@@ -@@@@@@@@@@@@@@@@h3000k@10W35bx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@Fww@V__@cp@Vvz@Jk@@Z@@@@@@@@@@@@@@n_@Ry@@Mp@Vrz@Jj@@J@@@@@@@@@@@@@@@@@Z -m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@lN00Wli700_@@@@@@@@@V000400000020G306q1W1f0GHK0a +0000K000Gg0Ez@lhHAaGA0K450A00000T00aAGK0000W000y@V2T0OGA0K45m9W200I58A00 +008100_@FXE0C850AY20b61O8L0a0002_@F3T0OGA0K45m9D2mGg0Ez@@@@@@@@@@@@@@@@@ +@@@R0003ege4w08XK0e8AWJ4000aAGK28G202HW8G000849220001IW880a0J1WYe0EHW00G +g0H9G892019Y400004aW000009H220HI850AY2u490000008J58g@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Gy@@@@@@@@@vl@F_y@VV@@sx@V +z@@@@@@@@@@@@@@@@@at@@u_@B_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VI0080a103@ +@R30A0W@@@@@@I10G0a103PFy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@NA0WiWtY9vwuPIAmC0200@@3JQ0py@@@ +@@F3100W@@j1qf1m@@c1002u@VR0000BT00u@@@1004y@lG0cK0_@@J00Gii7002YdjI@d10 +0Bv@@@tv1FvZm0o10Wcz1J400ma@y0080v@@k0500SfWGWMM0_@@V80000000e000y@FF00O +_Q7Xp@@D0qS2m@@T1400m081o@@@m600Ohd@100089000m00m@@y00p0u@@@@@FMG004@@R3 +050WIui91khohhYXLae4kJYZ360080jnP30W0X@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@lN_@tr@@@@@V6@@ZO@@7w@lX@@NV@@qx@@y@@Bc@@Xz@F6@@ +@@@@Yt@Vu_@3_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@V000000_C_@@70080@@@F0000000uo400_@d7000G@@@VQ6ho200e +CZF10uMsHn@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@xA020W@@t0200GUTU0R008f0@0 +004y@l700Si_@@@@@B2000a_11W@@h0000200000800Xwz@@@d0Ui0u@@M_LmW@@P3000hI1 +2Wi7Qv@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@TDUcNRZ@@t00006y10W5tQf4kY0G00a5N +2nmXHcS900epkFE32axXd2GgjECW0004QN2nmXnfT90ui00000010GWB5qw@V3I6GY@@F100 +07y00WE1au@@6104gSKm6xbz0002eguC00080002WHvC8X1300009400eWXh0l30y@FF0020 +kEmWgzr2000XO00WJuK9IX4UhFXU_I00e0mUZay@@C00yg_eOZ1znu6G3oUW1W048Nx@lf00 +W@@v18000110000W8t0g50WWx@@@15W0GfANj@V20uP0gyF7000Y2000000Y4bHK1a_WA10W +B@p10H00C008141000W8YAGB00me3ty3C00G0400Jfam@@K1Sd0uCZV_@@@@@D00mNu8i8z@ +lMWl80_@@73000pzam@@@@@@dWO10_@d7008000G0W000yuYM000mrB00y@VE0G400W80Wi_ +U1000Et10W@@l20WVo@@E585LW450kFUf7Yl2Y00m@@B9004u@@e00O7ia1C@@B7Oj7WXshe +iT3_2@100080W0000040010uTU3G000X0000014GOY@4id7a600_@7304025A8108400201X +AO009W0490W0Y1W0G05G02901500030W@@Z20miyyVOG000ulG66Nt00100G10W0G0400W0G +00040G030G2080W0m02008008r06kxV9W@605zt2080WnuJ00040108400O00400GG018W04 +000DGs3KfT008ThJGKY18W0o004808G40G000G40W60q0K001@st50YXqI_R1H0G4Y0Y0004 +1020004000000a023010KW4JC00200H00W4_Z2Om2Gi@dG400YC00001000020G040000200 +200810mF4X4DZGs3006sl500804040W000040G018AOVe60404uL8j00yb@@@900LC44HeOa +P00W4D4H0XG000L0A0jWu080XG00484n@@98201eb@e0_@0Kz@94002X10020T6100612214 +00W0W0000C40Im820W0004H40100070CGkdKfV008VNO000YGAe00G0000a228a000W01000 +0040Ww@@h00Sdy@@9000Iwyr0mH40000G000400200804000100220PAs5u@3W@@L1020n@@ +6W0G0W20X00000mW0WXwQG8f6000K83me000mRE00u@VL840a0000WG00402002051008201 +2akK837sH50W0X@@j1i10W1GA5KV31W0050WG000880000200O08120@@75uY5W@@FX_00dx +0qDeXiRGhUYTNtG3mw@70m1GKXc9500u@@J00W1000306060C0e0K100M300GC00Gq100e3O +701G70G0200Wa0000@@VK00or@@N51600WxEg0tTWpT0_7@0000000@30Ly3my4b@0AZTX50 +G3m@@N1Gr1OyxPU4BdNpVuXOI000WBF00u@@V010G00000408GjTpSG4900IlY_Ea@@F1010 +o@@N1_60u@@V00W00G008CEj000GHS00eqiDgYJ200040028MuE1H400d0a000282410@@75 +0WBXYyRHG00GG_60W00IG010Y88G014mO0100154Wf28G12G40a0WNvZ2ai1GEYm02004400 +00100000228u010m8G001Ie02010G10806pt75000qe2J8xXG0W000011utU3G0020G11400 +20100m8@D02L4W0029820Izy750Wdoo@L10W0HiZ9G04X0200002W0W000001GW8204lk1tc +Jbez4Ws@FH400m@_60008210200G00010000W00020020GW010000080Y80PAILkfgaJl108 +01AXC1GW80G0000W00m0000W182200Wumf200Wn@@j0WW0eyV3kst0000a00m004202G000W +e030G00W080Bx75mn3Wv_F1H000HW00200Y00008024G409m402040K40MW8e0GIH00aIK41 +0050Gt3H1000NG00GX@g0100100G00410G002200G00H0e40200044bG80aWG081eH18n2z@ +VK00ikI4k5000KG00W000GHA00ghG30G0000f00000m6C6ic1L0C90_@@40001000Y000610 +80000020W00GK408W8YgDt0G2000004000646EL2600Q1l5W00000200e0000116000000A0 +01008000G0800400002OGu_H10mG_ZNL00020080W200mGu60XIWu7N3G50022000G001G00 +02X00Rx75m46WhwL1085200W000m0008w91030fG0H0000aI1WRpJO2de2nr0g200@@h20eo +F0000fMR070000q000y00r@V00kxst@WWA_18Wszf200Yy@@jWu200e3a50AmE00GM000Y10 +00A008d1O0e0M301W100030oHcAGS60L43Zx0000yw@@@R000y00000Wc0000000L_1WC00G +az@tu@@xFGE0Wt@@@Lf3k40100Kbf100004108008200140G300G080LxJ5uF6W@@F100X0W +000008GDwR00042000806P084081Gi0068X0000G40409I75000iOXF10000080G008070CG +Gy60AW000001G340880eO0W14WC0Cc5Cz_V20WZpwwL1400GFy6q9V2044200600G0W20091 +0G00m00W1fG5ub2W@@RP4y42RrWRPfQz@7000nAQ00O5KRW002000C8tA3_@t00G08dcJ50W +dbWcdPJt400W000W08jt40K000W80eP@e0US0KtlAjgd00G0WFzJO3_40002L4G29xxKIQj8 +200O1U3000130004W0CJXh90002400110YAYbzZ20WVuS@p0002000W0020100000eO0ENF1 +C600vhJ5801Wv_R10G10800008500008020Ir7j1a20ImO2aHA1097_hC800iFoC010000GG +00G0GI000e00408e00G0G0290GJ008P@e000p437C010000G00100a0000100O021S000I00 +4028G0040AGq_KbVeApqRmVm6i_l100GqG004WW0W081210I10A2000082o2ZAO200nmfI7u +60W10W0WK20E09iG@D884sj202X000m1084ok107WaBPXPF0H0W@_L1000C200G0a40E0100 +mU000n100cV008@0_9w0s3W3e300a2W19x75U10W2@R100c10000G030n@pW8l2000my45G0 +cOdA00E3FZRJt@s0W008wrP0BA0SOEC7VlHQuX4zJ2v7t2c00W9qXvFuDAfCA000000SqpwP +cB2V3qm2mnwj0020e2U30540C3l1000G104G028W02W000q0eC5Z2000fc00WXzF12000002 +00W400084H0G8igl100804200X00G0150120YBGm013n7500JZWCQ1W0G0104002GW0GK010 +0010004W0G0O0010GO4601000AC1440en_e0o90yf@9tmd00000a00W1sp00X0200040980H +8WC4qCLvZym7eayzl4W0800000q3e1Px7502Lg@@Xfoi408G00040OeR30m0004400GW0OYq +K12o0uACR0200W0000G000m00WBiJ8boh4900Kt@C0002AEt02100c003000G0000CZz4Yst +900FQjODpkw600G0000G0002008040e400060WX808CSg0@x0C3dD82W840000nG006Z0WWG +0G0004002WFS3cqUFnG00mN9mS3l100100001100000a950000GGWKnmTLrf6itVBrrR0G20 +0100000021500G0G820G14011aJXl2uj3mS@s000O000000O50400WRQcWY0020300fc7bJ1 +0Ww_Xv9g4080W0WY000800020080042000aG04aiVK00S0Yx764000000WGa40000408b000 +Gg50801nmPWR0W00W20Bx75807Wv_p1000B0ZyA0000s500wjMB0004fzgIn@600H600000P +000800004000O00my3m0e3i70EG700WElpmGt_8100cVk@Si00LP0000000008IKd000UOUH +10000m@1OCyv100W9K00e2EUQMVC00NuDhDvlyn200WJQ00m9Jj0001000800002K0000020 +0004W00e044102001CGW2a000IsFA008tZ2wnEu6004000WWG6u6yXO200040G15H0000400 +020Y00448dIV5u@3W_XK1W00010WG0G0040000WW00000a2860080040X2We004H52OG90u@ +VgeC004OU5XjQmEu64ve4ncR0100020010048_koW@@l20GKI9nvW008Y10008G04XW00004 +0cRsW_ZfIG@1GidpG401W8008000000GI02000H00008100002Y00WurfIO00GOusW000000 +2OWl9Kll1rPI500mWVKdvbW4020410000800qrr9i5FL0G20oxl500GW04000800208W001I +1000821244W00_@t0000Ahc75000_eBd1004W82821WG0009WG270W0M02800YX1W8041H@W +Iog@m00m7Dm_P0G220A0000000e00G40800GA00G20001W2100mahK1071ukkP0080S7b10e +4010000a408HS3a000aQELg300E5UcIkDG000W0G40G0004b006xrWoXpfw@D00uf_UyCnhR +0000200040W4000008800AoN30Wa0iiVK0m30cxV6080aA102002mA0021fe00008aK0C004 +GQjmH100Wdk00mU@v0W10KXT10ow306wMy_7W6qDW0iiFL00_PFkU60C0H000T000W2008M1 +00e0uYO5C606WB00WC0KtC6vxp3u96Wx_R100Gc_000N5@@00W10Oa0C2W100004@@04SeA@ +@d0110mSQ210003810Wy_@fLVFklM800Iv@@d0420WMkb8uRI0001Cvh1HpNnluR00G08iKI +0Iy04IU2de@GGv6aVT80040sKtWei99mURK100arVHtrJrZx600eazlUa01400G008vAF6do +WyHGw2@7000480020000a000WIKD000G00804000G008G00G008W0uFgh000GY400OIVI002 +0CeV2NNdm6E6CVj10020pw940008f_soP@600GAD7rM_prWjmDe4N3QxnWsmCO@A3oKqUz20 +0pPc900W4000000SEZgFXRuJejU3Az4ZvKI8zp0xOrltnD0W00WG000000eA200Esda1Qc91 +eVMVE10029rz9HHz6KZA3TlLnmQNz@l1000IBrs0W000Wj60of8XSP3f7g7Q3uX@@T201000 +40000W0000OL900Crf1TBHIWcFq603@@F3080mX@9100uRMnx18G0euVI0Wt0CgCO1qAHSb9 +ii9600A0YNd1P000j1@@Qo@d6z@ddZDu1C0000WbWdG50642G2@@d0030me0Ue909gs@A00P +E2a0GG48120001HYG0008G400000W0H084z@F34X0G4OW445032a0HG081cLlP0WG8H401Ha +40000441100009Hu00Gm9a41WW01d00000WZq3D00YaGK0FW811W890m8x@7I@@VylKxx600 +0WbE00Gp@P20T0r30000W0ma28DjFH1@10at@I012W23pfggD0I00GZ02rkV2TuwK7_600Or +FeHH1H@04f0I020000m0q4Q8f_33000OH100l6030002G400DVoW0040G00008020410aiVK +00Yx@Clb5zJ024000000018W0040G10010010000804Yabzf2u12GT@p020G8_V304K00000 +10H08GW000022ZcBHNy2100Wn900mvwj020W8Br46mrWOQJ000CWW50YbzZ200CmVq@4zW10 +000100G4nTK@@RWWk1WD4v9Iu40G00W8W0G4G0Go_KnE00u@@GW8000H00OS@Ag1810W4000 +00801YaiVK00YE@@@a2AhOQR30004yIk1HNV58n5W@@310Y00041Wyob00082I000Y009000 +000H0W8W22H2Dmn_H1000hzPLA_l200240000808000210400000YeezZ20Wlq@@X00f0uWU +C850G000000G510W0008bK0040WH00iiVKWGI0cLdaANh0000InC600451G005G0101000m2 +00YNFAA200@@7206HY@tD0001Hi@F0O0K012080804201W408Gu06644VK00_fhu_aGrJ000 +0n6@90500000180002310C200O00306lk71tR30T5Wf@t01X000008KB100W400G90000G00 +20mj@9000ed4442o21001G8080000z100185E00Go_HfG00eN@VSMH0ObLO00@vl00u1@3mz +Rx750Wit@@t00WV00000_100@@d0G60000WCProW30WO5u7vC030OWR00Wi000W1mywP200y +u1W32sz@VX@lGUo1Wu0ayFFW020010000800G0000WW20801X14ImUp8R00uwUF00001000A +yD92bt0800002000800080000400200Yj5zOomP00ubz@FF002200W0S@d10000X102ClFLW +tJ0__pZL_D8Y79Qkt00010paR0W040000100122sZAR300T_p30Y0Wq4HQsVC0GKJbvFFG40 +000G0G000000W005H42082TuJ5mt0WD@@X000008YeBwD01GGWW00WC5ZYJ00mxcyy@F3jPA +HW@510uBv@@VW8H0Sgh100H49C20_I1LWdF06pW7010000040G4H0W8Y000408G8W4WZ2000 +FT10W@@p10G1GXo600cG000801W0WqCe20m3IP6@SWh12G00008W000C40G1m@@H10S1u@VX +08008WG0000G00026W200@@7Lg02100040H004000G000GH01@@FA00_3@@p38100XGA0tKQ +004Y8t0010m106ZVU000uk500wyd74J00040c8w080W1q100e300GquzZ20G0Uc@@000naU0 +S5ZYfUwzAZ0Cgv18c0azWzg400_@NetcJu@Vg00yL@@VHNKIrcWh200W8WKI_@@104041Y@m +iq600080204GQ@H10mACSYS_4EX@@D0041KB5N1id0OpAO000843k1XAOm9R60G000Y0209G +0WkmewOVH10Wx7t1Fj9OcOo5WNr89jvMoP2F4200xd_Vq@U4Et9ZcNnl2c100WqR00GT@X80 +00G800GQ6u10mqx@@G05K0CQE6HdRGAyNj@V20F80kxNeSv_97_GOD00ive700410080ilq6 +9Y@Gq_H10mqObEFI8rWvE39Hy4AacAGS50rzlnL660M00m0i1om@La8k47IRF0Wulu_t000J +000W0C100I200Yx4Z4nbOZx@3JFJ00OIjWvHh@j0008SCL3oN7U000aI600_@VZeWX1I00Io +_H1088EJORExz140007xHIOus0_n1Ok@YIa_ammd1000lp00W@@XPLc46o8108000G08_@@@ +@@@Vgo@Zwy@dI@lfr@Ngz@aU@@eu@BQcV00FnpMBA0l2WwHz0W80OrsOybPNt@p0B10W5pjf +Xh4c@7C00MHnmv100X0W000bbx70g2W7_3fLz7EMN2G44000W0oNNemdh0000CL18W@@D020 +WGAqdCv930W00USrW3xDunUg00eIM4j1JHRGNqL0G00O8H600W0i2E3200008W847k17L8KN +rL0iM1upwGQPdai7AAMOOs26i@@L10nQr@@8H400uaQLczl50600dwVo1@ma3@Io000_@N20 +C40fXO3G40WazZ20WfOa251100G40Wm1oN1C70u@V900036CX1Nzho@@60040ECSgGA00igV +50020Y0l5082KXxV500GX1mt82SO4000yTDL0p70UZ53000000WWwVlbOnt87UUu500qOr6N +5OmwLgq6EL000C_@N2000HkX000020G80082SL000W000402W0mSup3000NQ00mR_LO000n0 +o0G4ug0020000aKFJK10m78nVaS500000G0Wl2Gv_H1_30enVdoukbvkh83@7000WJM00ee0 +yUx2ZcoV00GKRW@B1000f0fk_@lkTn91000iA10W3@NADSF23tZ2rPOJT3oft000B5j3o000 +1WpTuv5mG237crlD0qE0GYwC0G00OoCsca@XMwIOeE6000mwP00ek@b0300y@VK00CjdnCm7 +@3His3mfxV200WZ_00GQ_vCM@@XzxA0002W300@@N1024W@@F40G0q@@RKzcM@@@C000Es00 +0@@N1000bOUPx@@D00OcdcN8@@780i1WMZh000Wqjn6a96I@@xD0WWi@@n000JGrR6y@@@@@ +dC0WScQCX9kf6ZKGHp300PP3Ja2@@@V50@G0_@790140008WIfaAN000vwX4800WJxfQbFFY +_V60280BfEF000Uf000bUM404102000LehO9xl10X0ukVXovFXzzP84tbyF00SZVH0800400 +0a8DLPpYa0100G004p1cF000SZ100tzZKBeN10mlhmVdIsdga24z6p@7tV9GW30@zl4400Ww +ZY2000kF10Wx_B20@00000001ul9x7500jytMpiuu40lv0CFDC3@cMgq6000WbZ00GEo9iId +b00_cJuUivmpPWT30sc2yOZDDCD3004WmmVuNS6gl730008E5006alYUKj9rSF23@XurJOoU +3siNYbpD00mbvw_6SlF3dXRGqrpizk7hmc3OA5W@@jvOw@@@@104S7r9yVEy8PP008Jcz_yd +400sQ@L9AuM2WOHAAm_ki700q0sd00ijUKuJ000007C0cLxJ000yG500_@N500e0j2B700Ws +@@F10491200WwVgev_@33t0q000T@V200P00000a100oIFvS_N2GN3mGzA6TL5000eyA00a1 +6I3p9KUoL000mPNo@RRh8000CO100k_Vi1iVO4R3AXnW@@310mXx94LagT5pinmxs9irM202 +GW000010e0O33I00800040uXuJ0@c0Sh19r6BnrS6q5V2000802400001eCSLgRy4000eU20 +0oYQfcfsxK@@3qz@@W@lFv@tZ_@yi@@Ey@hJ@@SkaEwQ3000GxU0GOGQdICs00840nOnGepC +08088URC00100108ALB6001000erl7nGYxs000020010oZ_100G0l9p0G00XCeJ89T3I@EXb +gP8WwAEOs0C0W000H0_@t00003VpR0830042001eJ2080WO3CeBx700205PT2VPdGazRKSFC +0008n000y@lJ0800400000W0fmoA000Wqxk1nMp0200WptP0810Gwr90W0000GQB009Y@@PW +A000002WSiP89R3gQtWdkV8JU340804BF30010J2d140800000181002008CS3Qrs00G00do +Rmk360G2W00G0G9Q60G01e_V604q0yAk4tfPGGt6SDU2XgPGA0CiGU2000079t00G10nURGa +26y@c102000000bZW1XXR0WG0000002200_ot0Y80000004280K6k10100MOmW4xJ0200qn_ +CqBk1028Wg4mWuzVuzU3YSm0000GPaRm4064Jk1210000200500ej@7oxFX1Jnet@4020008 +K0O_V600qk200mO@V6C000SBV500WG0080a2V5G000wWx@yq@@E_@hp@@@x@l@Vs_IoWxcU0 +00Gq0jGkGl4WWC0sr_@SnnEfP3_Xc1G_30Jg@Vgrp0018e307000Gh40083QgkyMYxiPuO46 +6uE100108WW02vsWKkDGG000000000fU7kz0WG0Wimh000GmrR6arS2G00000014BE31UxnL +S9y@l10090cC@XxvC8zV90RK0yN_90014_@d180W000000022qbN5V5qIc_9yZI2deRGZT60 +00Wdp00mZrC0009Ow59A0lbxqCu4R3880G000G000GGezIick100010042000500G8410001 +800G00G80044qd10008040000eJM010G9R64td100040000208WO7U9cptW_yD0020080001 +002J0Q0048WgkDu803MxE1G00400200W0G0204040000Y0Yt0C001000Y001W12200C08000 +10004W000m8020G40018014002080100ObW60Eo1eCE3_Jm04K08F_R08000K01180000090 +CQH2x_dGp@9ySF300W2s@t00022tYR00a04G0202100C08WKSd10140gjt040010100G214G +0YWX00811006o0008O060m80G8G0X800W000100204040000WBh02P5H300018000G102000 +00002e041GMvtWE7D0001W020q7yDeGV3UUqWZsO00A0mS26a296juRG306000489@7800WS +dV20080cZm0000000AQ0000020Wf@@40001SzI2G010Uc7Z4_DuWU30020001GebVRAjqWMB +D0G010020201SW000K0020AG20OeT30dg008Y02005Gr6Ly@@9Rjln@@a4tY14140a080W8Y +0G20G000G000I083W0O000000Ya202m@@2jyk10000X00WasFCG4H0Ebt00O0004C8UpC100 +000300O00000Ol30C0Gsz64xI2tKPJ4@Iaem94400G048G0W2e0K380040YCH4W82000G0Y0 +0m010008b0i3g1np@3000G8W80jtF306P600G94191X20420W20H4D3a8H48oAWG48KW00G0 +0gW2000lhWG000mWLaOGeI@0000iNqP_vn00W4W4CW908mH0H0e020820GG00A0LGcX24000 +008z52H518000101008W8wcD71000W8W8MT9X@@X10I10C10WZPJWK000000W60C000008w1 +WSOD0K00m@@v0W8000000eW2Wb3o9dJ600WW4QA300085201qkx3@@F3m00W9EC8x0R00400 +000202W6000WRPC000BG306G0G0000O30000000A@@N4001044407rcpyn60110uyt44008G +00000E2m@@9SFIE58d00I04K000@@F320f6W00JG1aQ0W0S15080000IA0600kG1000c_110 +000J0100000Yy_rFW50014WXy@FCKz000m4pu10VL10Ef70CJ70uacOcCTmh5Oa7g2WT7107 +5WUODu1E@4000u0C000O00007000O000y3W1W1030E85W40_U00001000JmHOOy@F90WG000 +04W9W0GI0X0Y10W@@R10x4100G5pK5WEv40TOL0Qd80agPCZCLv1LrGLU0mx82WD2000GDF0 +e3p0GTZGL00WdpmzXzHQ10n1CW5G4kBGSvUBq@@F00WMv@@qoRYaNtOOHR300000Ud0uxD6E +nHbcmIu@@4IYZXaxEv@VI000mHR00OI0RG000yHt32G00gFQc85yW00000W_dEX_fGTCoDN2 +4800de@004000G0400008W00CXU20020E0OWnvDOqU30HDGqkI200200G01MbE68G00AhdXi +tD8lU3Ei@XY1Ies_4EkdX6uC8wU3g_n0140C022000001680iFR3Ant04G00024G000mg600 +010G08W200011dZR000002400xvRm7_ICal40Ga0kitWFzD0040qYw90400OWV30400Cve1F +sR00010000W00020W820G0401m08G000001e0540004020015000800018004Y020004g02A +200102G100WW0W01400100KBH201004000Czl1BvdW000Ww@VG4400010Wi5VO3YJI@tWi7C +8xVC0gQ0Ccu6@8kHs3CSWFI0W204041W142400WmCF9000W5w00G8vD20Y0W0000001my@J0 +016VPwDEHb1xa_0GX6WNtL4008W8p0G00187t@0g10WyvL420W84660b03000AAg@F100pZV +zs8a5HK0e00G000G080K@V2lOQGZ@DM2N2D8cWJ00W@@XSww70087z@Fa0WD8M7_1GU80@@t +OLfFiAj1_500_@NHWK300W9KYXpW@@J000En@@A200c1WgU00lM0L100Uj00_@FXmKUu@@0Z +Xp00006vmpGHQHz@FF60m3f2Wk3Xv100dSIa2PE_V5WT60MHJeSnMgyV9000mmL00u@@@ZRF +d@@P0WT2mtkvaftIz@RGst60001uNy40010000Wb600mEx90004ONO6008080000004m@oX4 +cF300016Ct0020040200X0Gaxj100200X0G020WOmT3000O010W8kS304208g8W0G010G020 +G00KjeR0G0180040800000u7DDj1TXRm@@90280O9k4_e_XZpVu@V90G0W0W00000040080W +008HXR0G000W00G204G0080W0200000008XW3uD0802820GOo02WW0G8080W0GWX10W08000 +2G010W0W200000WV10800K1S6ijl1G100I5m0W0289@R0400eMvPOtQaMqM5Y100HWeoYhUK +4o@3fyVGC@@Zp@z0z@EI@d3r@tOzVDO@@bjDdKjnw_6000Wke0jUS7ZjYJOwz7cV7300L@dY +@000010W00FFRGttUi9V2hodmlyCaOE9Dd@GZtO0Ao0O2S9IINY6mheIz4ALFX5nD0080Gow +U0100eDvAg7zXJoD0000ii10WRsVenT3gzbXQodPXQ6kX6ZDth0G00Oz0F000whJrJUZ@axo +D8GS6G00GG01Wu@V600022300uhk40W0002W0000108W0gNcD0GG00W02Wn@J0S500200800 +1K0408AkBXvtDOnQR002100408Cy4000800W2G0W0m@@C0WG010000W12WY0I8yV3028028G +00W02m_nC000WMJ0WGkS9aHk1008000200000Acs76Ytcuzh8v_70000k8l104102btWn7O0 +0mMNPy64HQ8dLYt7b@tnv@Ra_V6h@VHx@Ly_@4n@7ny@FK@@@@Wzk69tiH2WsbWur5LE80m5 +W_z5O800S1_@FA2200W000@@t000WYjE724000000Wf1a000400200t@RGa060C118mU9A5t +08080dJKKNzFqasCS700co8gZpP8Py70G00agU21apmBT6ydT50046hrEgYtDu@V3000C4Ol +AZmR00W0WB8JuBU304u0iTF6G020MgJbmm@@Bz@xY@@jy@@@@@@@@@@@@@@@@@@@@Snx28k3 +mUSV200WXR00m@@@@@F96000_@t3G080L1cvPv60000oQ00GC0Ii4m3@@@s@wF00Gnglw9pp +@1mYD07R7OdZOyyl1000WiA004N76PFWNCv@@o_@jq@@A@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@lhz@vY@@jw@NB@@pw@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Xo@@d_@FB_@ng@@h +y@tg@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@d3Vj7W@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@FKE400S0XJ0000mX30000vW0E008ScJA0K45m6000E_@F1000a6 +000_@t00W30f2aJ81Cy@@@@@@@@@@@@@@@@@@@@@@@@@1a_@@@@@@Fg000200000010e103w +0mWK0e8AWA_@FXy0IWt00Gg0@4DW7@@@Pa29a205H1uHX0C00uYBLWY29u10W00@@pG706a2 +05H18n@@F004000WzIg0@4IG50W40_@dXE0C850AY2GY@@V00a000W0yK1_9aWA0WW0y@F3T +0OGA0K45W4@@@00440000Of2yJq0ay@@@@@@@@@@@@@@@@@@@@I0m000006G70C0m00C50AY +2GYs0IeIW7I5Wdy1gGW402Y09G00088I420001Y0H80816OA0K45W42400_@t0WG400000I1 +816481f2aJ_0L0IY0GGYa0000019800008HaW0Ga4WC50AY2G29000@@R0022WK0O00GIKg0 +v4DW7@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@y@tl@@@@@@@@@@@@@@@@@@@@@@@@l +u@@@@@@@@@1W@@@v@t@_@xt@V_@@@@@@@@@@@@@@@@@Nl@Vrz@Fz@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@FU00_C_@@70080@@36mp4W@@@1G00m@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@I3010W000m@@g000W0200m@@@@@@@@@@@@@p0yD0u@@Y2YJB000 +ys400_@leWu_@@@@@@@@@@@@@@@@@@@@@@@@VU0008y@VB000eD300y@@@@@@@@@@@@@@@@l +700lq@@B200Gm@@Q1220OTjbcv_Ak000@@N4100a@@l20m@q@@B1000v@Vx100HfU00eaYb_ +@dA00_e@@lKk7Q5G@d00080E00CvFI08G02tFVWd60NmK4000020000020YpaAf300pUM408 +2azClAWqIJIj80410Lg1Jahju8008UPa0G90W00Ou@Vx1_N0y@VH00W10000G600W800m@@@ +@@@@@@@@@@@7TTBU100_@l80G01PpS500@r@@H2210mm@m4n5pO100_@79061W@@J50YQt@@ +520040000041G002W80900y@VK0@D0_@N800Y0@@R0100WmUY2000lM10WzwB200Gqo_N10m +lulVaG4000H000241Gs_H1y108mVX0W8a00000H412I82qSFfYR00mr3510Ha20000030W@@ +Z20mdtGg810G0004Hm@@K1_k0OVqY00008800000eGsiKfR00u0mY_@NB001E@@Z40804r0m +0Gg50_@FA0e30@@B40UD500W6E_00z@K0wG00u@@ee900iXJH0u08000P100C@@VxZ5kYS9D +8cL_7p@@DfQv@@b0000PT00u@@F10y3_@FIW00000G00080OYqe0Bz0y@@IVuI50002S300@ +@Nq5k6K6U_bc_@eh@7Qx@V__Vdn@FUVo0Z50MPjYkg@Vhx@JV@vR5T200DyV@H1000CQVd0q +00CE@@Xx@@@@@@@@@@@@VWe@@dw@zn_@Uk@d7y@t9@VTq@l9Uor4@000vaeq55uo20020W@o +pPrweoKN2000mg400W000CeTE95GL1_f1280OQU6M4FXEnhOrPF0jD0iGUK90Qm4W6SVj1JS +AnD_IibF6000GCE00KBeGr4_0W000000CjilnExFKj03d@B10W8XDtBQYR3k3N20008@SR06 +00W@@p1uS3Go0L4OlY000G1900y@VH0G00c3v100040002AfWXA4i10XiqkdY2MY1Oqph2Lu +XnFvHz00GS@21W80O966ost0000G00020000TRl10400Qlm600@M9xxqFE680008eY4_@t02 +0G0R_d3Om3Wv_52008IvFC0023000850C3002W040004100G000080000W2000G295CZP00W +@@52042mS2F00G0000G00014000O000GULuX@@R10Wnq@@8jkJ20G0G5000880000408100E +0GG00M0a0100iaKEWXB0sK292004TDP0800WKXC0088X000000A00248000000e0000WI_8p +GA00On5d0I0000aO1010001O4041H200G0W3a12004IY0080000C00@@3300XzeleIa@100o +C3pC30_7WeDpCHxF0WsX70000u@@@j10_1G6EBfW20aW0000000YV004PWz0w0o0K0a1e082 +G1G4300m8G600GI0y@FC0008X1004s3I000H_goZ@@@lZjtAgv10004Y300sYw7G004@wr50 +WEuHhkgrkb0Dy0K1lGPm@W00GWuzC00W0W002eQuO857CMPJY8kOWr04mtyE102G044G0400 +10G000W00001G00100040W008000010W40E_9Xm@auYmAUmZX@@H2030022010G00000G0G0 +W040000W0W00G108000800k9mWa7neJXA0Y70qim6bIQ300900G0000W00008000G0G04m_Y +IiEa1RCIIYB6qFcJ0001MWq008G05OQW04000001l5OGIW9KHdA002jhvaA80000X000400a +QL2B3c0001Wy2Cu@VL4er0qFLE@@N1000HW0000A20_4zXhuOeRpM000GxQ00u@Vd02000G0 +020400004afEDeoE6M61Zlxs00mBx@@H1HAG000Y89400011040009002G0000080GFh900W +2uWlAMd43WV60@@x40YW8W012810G000I8000003000m10000WNVQ04000H0G080W0_zK500 +0WW5W0_@t9IbG201G0kLq0085K1o8HhTFq8M2@@Z10WFe5JDeE3a000W8804uzq40200Khe4 +01004200iLA3820000H0Km860i70000G00Y008000082WWuA2000180981080W01G80404Sw +32000070CS7y37Hk1000Sa104XzQJYTR0020080G14000010GR0Rmyi60080000845000004 +0jicGNmX00u4_@Vd000Kh4000080010840G0040sI0m1i5MN280000000X8900088G2pF000 +20GW0m@@L0oh1G10000G0WANG20@30gy3000W@t8Z4000wqrGw3N6K00WQ0L0M1w0W3u200i +7000S048W08G0102YE04000w0GWG0W0Bub10G0000040004@@V500ABIbq03000rcY40l@lB +5C0ow3m@@60WP4qV088LLP6PcHLgwWgKbW0G00Fy@VL0Sp0K4_a1a_0804Wo0QfORUEMEXso +J8pR3g0FXQlD8uR32eo0000000uG10104TE3jdR0400000a0@@lX0G0Wk0Oe4yV_Nt3GG28T +d9nmv602408pyDMGtWDqD8NKI0001akj4r9amAn64fm3NhR00006L000hh@GRM6y@VEA820A +_q0010e400ek_q004G0daQmDuC80008kT3ERm0102G20W0_SF100G0ZPR00WW@XtD8Oi4cVN +YLoDe1UL00I2W10001AG02G00000608W8011000We041HW00W0001020084G00224W011010 +8W00200000200G0Shl18400G000e008000WGQz60Ys18D_4G0G0S8l4xZh20GWWRlC0X8m00 +000200285000030G000G00400100b2W0LvO000GWmyDecD3YZp00Y090210W00X000G008Km +UR6Sak1a000cHt0e000r@mmY_Cq1E3t46250000000G0X0X400G00W28001H0200002G140W +0004FW1020002G488400G84GxIO8000uI1300a3DtU2f_BnexCSSN8@zd0081bwrDG40080G +410W0000WCgkoWmpJ000080GW84001200YknE4Gx90R@x40022W0W8000W0000182G00G000 +04WazD000GKs_6Ge000X000100Wr2DWW00mU69y@l400WuTB04iNNK000GWI09000000I000 +m0WB0C00W0041000000G4000801000000G4m8W900G2ewVC00Ggz@@3n@Ep0xLqsl10204gi +tWOsDe3R6ozt00W209wQGCR6CjV50QM0sNkbF_310WW2a0A2G0Ge010H0280X81a080400g0 +00C400G40009000Y00Wa004810A28Yq038w@t0H00K2000ggj20004Q101_@dXmmmexTL000 +KW00A192K1YY004K102A4248L008X00Wa0m6P60002100850W00100008W0510H8G0000C0m +Ae6izl400kL3DcaT@L1008502K4W200WgHGG0000Af0000040W2G020W000fa004000a2K04 +20X00GX0a0W000WK00000uWF36x63Goa0vApmhtIKHk1jfh20012C00000000W0010000803 +5080000819YR0e0200020ByR01210W0005UR0G01Wbzm0000AE01WsVyuqVO0H0G00e00c00 +008080W00K000004920204000W0WW00G402WW0Amn0G0G000040O00W08000m000008G030d +@B10WXns3C8HR3_@lYa1DOwQL8203W061000005X102000005G002a824A0000W4020000G0 +040081020GY00000040mwzP8orA0Rt0y@l1080WUU2Z6aQ10q300009X0000094C000WU04o +0852OB10XmMA0G004207a5d1Od5OL20000K0W040008002HqD0W00W0HIK7d1Zk@0o9I0400 +04000YbBXrtb000C0a01W8@FHz00800001SFPwhW2atx@3Wec7WekB0lo80000MuAZ0000uL +61Cs@M00KU637L0Wu2O6W18B0AWP00Wi00043000k00G_1SXu2sBBE3000Y30004000g182K +3C706G700WC000G000WC00000o000r@p0G50WLuC04WCGF0IaJl100Wa00007v@90uV0v@V0 +000w7Pz1000m@hrn000yF0WxE0S00s@00Km@00St1Cx@D8400amTQdJVoRsFajg1NfVLwfC8 +000OPx74G22ylj458N1O65Wdkn8nEgAZc12W00LnH2000SK100F4SrlnC4Lk1fMp0028WWhb +ezQ30Y00C@F300eBZmUZrFd100GGBK60e010000W0040001008000G000100001018W0G00Q +01hR001G01400FoR0W01WorJ8g@42ct0m@10jpBnc@FK0l1@t9H_S9S@F30W44Wp80122800 +0WX01020G0LWW0A4K1010W04N00aW8001I00000Y1150W8000240200W0C001000G0040G08 +8eSS3G0000028OrP3000Wo10000000080eOTDO803Q6lYrzE100C0800004G40W0W0020AW0 +1501e02W800YWG00G208W03G0062W204082801148Y00005010000m0IG@I0e000000000Xs +vXJ00W0000010WG0V_BX00000200pYJ200e2K0r004a0040008100G000W080011200000K4 +000CGegR3kEtW@xDe_93000W0042vNV344004M130h40ooFX4lJOpRCoH@Xh7tW0I0000G00 +020jJc0000ZGkDubV30W40000GusA32QE1800A00W8Iy@1000qc1002oCA800000f0_qF100 +G40WW8_@t040n0W0008000q0e1L8c0400802000G00IAu1010008ygkjlYMqLvrV9002WqUX +1lGRGn@9044GO733kYtW@rD080W088011W0Gx_QGt@F000m000008H2W3AvPB@AMMt08020G +0182qF1W000VsRmZqF0G8GOKU3GW004_V5000WSF00C@dGv_pW00018300c0040X008W00Os +13003G00W1018W0029ekKCWW200G0000W4401H0804W0Y04G00120A2WEzVWW0000WHYrpnu +C_S80100W10WA40WW2080100G000408000X0W041000W00G0IXAa010W02201001040180Ga +WW02200000C100f@dG8e60iy1OUS36U@XJAofdSC0400aSp3@@p01400000IBnP000GA00G0 +I00e05086Bi1c400wVBXBuOePuS_g@XGrDOqJ3oL@XjrD00Y000824W000W240sNt0002000 +Be00000W200000000w@Y@Wv8uGwrs000020421gV@XapPuhU600280008I008AC41G000200 +AW0018A0GK8rU302f0W200u@@70014qDRE002G8H4800a000W0Og_9aK03L7dmZY90000018 +0A00G00W0080X000GQKnl1G0400080000WXq80GgvLKa2F7@BX800W4cP000GGh_C00040Hb +002GW1qF112XzN0WG084060000B00O0i72000WX120000a0P383Q4F100G8vWQG0@m000W5W +whdWLL5xUu1UuX3yF01xV02sX00iCp00Kv7WEyWzj@1pOcWVm31VeP2_F00yXEO6uS7010Wt +@Vw@D0fl0C6UK0C000O0OS0m00C0C080O0m014W12e024G1W1W2G504060AGC04002OA0903 +07WA048T08GY200C@00O_1_XO2o204m4008fKvCG500000000J0000WaIwc14000NbyGZPg0 +00W2K0000kP6g00W0uX78eg0GmCpWWggY@pC3@300G000H5000Wg4qX78e3FeAPcn3U0egKb +W0aqE600K1YNFggePuQN92NdXYrOu4y70G0GK@V20UI0w2FgHdVOw@7Ej@18G00vxRW02WWG +MV0090Gyw60q00OfLLMpF10002@vlHpyI44U5n_@m2RCqnE300_9_SdgJrJOGQ3_@dX0mCu@ +@40011S4W1lbdGFx600209Yy40wy1ih@35bxHuu9ioE6VlR00850GG2002WG00013m0m00W0 +1GX000200W0BWQuo00G008022000002G088P30300004000H000W000800W0W080404id1s2 +00gkBX3nD0000200AWRvDeSU30Ge8CriA020WGI0010G4WG1X400H4O402W2200G008G290G +0080020138f200004m40H210KGH018044AW04W00W20008b8002G02800KG01G60000I4100 +0000550800040200101000100WvOC6kyn6808W000W10W0H0G00I104EW01u00884O210W00 +0GH5m00CWY2020W00M1W920008C210G4C000G000400W8810Hy@l100214000W0410000082 +3WVpDW0W00006000400G10YKuXfhR10G000GG0G804GO28G8002220Y0m00000000KGVYO00 +8000G0400200W0800O0i4O340210O10000W040011W120G9440m0G080CoL3i608SSV2lTBH +Cy600W3O0ILW080008183@4Eb@100WWW8000W008W0W06000H000020020020084024020O0 +Geu6all1dyR00WQK00000090_6F1W0045f_Z0G4020000004wrt010GGW0000GGW00008hU3 +gUqW@IC0000810W000GG00400G400800O7l700008Al1uQzAkXZ70800Y010W00000W08KT3 +sImWpkV000G0018008W800aO88G2G0KW000H0A0GWqyV0000FM10apwUOJn723V60100W050 +008000004GGWoV39C0F300200044SkS240000040G000ubI6c_t000NiZobGBw6SRl1NidpC +i60a80I40W0802G201e8220000W00I0yIV3000GW1000004W0WG02G418W0aG105AOD010CX +08G00J1O0hSP00G0000WGl@R0Gk50X000G000000H0002001040WGX70v100m2499G0G0080 +W0_yt01G4H000G0GG400001X000Y0004001000H006e00G21046210I00ne80aAH8L400108 +16001008G000008G000G0000WYr00mQt6Cql1WO20W0G0S3lG00A000W0001W20008010A08 +20W0000500io96lSR0100000700GW0e00HW4fa22008800000_QW204004000fIGJC0004GA +C4IYW00egPI8000KW84002008480Ot@4000XTvE3hxRmz@98G00PsU302P410m448W00DR02 +00000V700G0GDKl142A002800020AGAXG48We8W000G02H002880G00004W2Yahl1001GA@d +100W1Rob00aWA4W0CdSR040000W18404W000G812Y008W0180WPODWZ800001000W8vcdZ00 +800040W208G20e090W080a01a0WbXDG2000100WeeC00a0Gw@6WWG00004080200000WW081 +3000080000W0800eS@D00GW200W00104RZRmt@6alEF000s81100fH00G042160020P90W0I +n0i000GGJ80000G003000T@dm6o6ytl101800004v62002E00X8040G004W_J0G1WX5000yB +2h2084id204W0G0m00G0gF104026W4WIvDecvSy@F0mTt_pV0CFH1400000c9u@0GmgIbP_3 +0LLb3_7013W00_G05aPc980020004004800OG00GWYX33R300bXx400GK300nAG7YLGM0O0k +000w00045G0GS10Wu2W1W18B030606OMSCS8d1f0O002KK34ee6G7pTWE0u0C000w000C700 +0Sc000YH00cx0cCt1P1W1m000e300GK0cYpW4fd1008A0pBA0000c700000V0000m@g2uQu9 +um3000gCZP4S6p8eg0Gm@00W@1W@10G001W00G200W400090001mCpejp70L_0K@V2000t00 +008gv1aX00G7xv00W4eNSa2iF1A300Z_ZnJgsqQU2zcBntvIa8_33lQ00G0WCTD8vV300OuU +N46hucpGvFyI391_x1G33WvrrwD_GkCNYOnDueT3Yct0000u6100kOk200W0rORGXzp00600 +0G0110804400G22000010080G010108b00e00VcRW0200G4040808W0210800010800100G4 +G0reQ0086WPXC0000000TvOvD080000280G4W00600F7o010A0hnOG1n6C5d1Zy7200882We +G0820K0028uW0GG00W08A001J0000W001G000OOdL38a001020000G0W008X0K00g0GG000G +4000I0G200000H280ee0IXp000000x304000G80A29bCKDw6KvV2hzUoLZF0023G0Ge0X0O0 +0C054KW900808G0W060080241820n08W100200X02G01204080G0G00805We000110W0G000 +0a380000G18W00GGHAG00O0000400004m20100202W1Y010WW10412010WW00000OKDb1D_d +mjo9yfQ8000IW000008040W4000001G10400WE@t001014000Eto00400W000W0WG0G800G0 +A00H0WnrC0Y000000G0I20TDd000cXn@D0m048510W7jCe@V300m0azG2hM_GimXG0000GH4 +10000800W0W20500W100W4040u3o6ykV2HrRGfq9010002W00400WV_D00H0OE@60I_0u@V3 +00Y8200G4W82mSp6G040CP@GgBe200030G0a0280W8Y400200A01GKa800A000G20044008W +O0041XtpD00YGGmI600100008GAM6ChU2rBP0414000GOC000M1810820BFPW80G0G8G4Y82 +0_kUcsvDumV3sppWlzCet@4__tWJuD8S_400o0CDC3PxRmAw600Oyub_700041000G0W1GyS +6WI00eAQLAnt000881_R0GG4000e080000G008pC10004InU6q1X1W020grM206007xdmAw9 +02Z0OTR6G0048Y80u_V3W200q6_6VYZ10008860I0800G4HO0G402m1K100280W3YG46W4uC +00W10400209000100340GXoNt00W00000H000Z0008480GC0008008008204XC000200000k +x1WWnxDW8p000c1459W8410GGK03Y0Gg2H5100cXaMmnOTRC4XY002224416X80800G01060 +LnO4YW0H413000000G0M20G8W0000000G01210Go_6G00000H00004004a0jiRGd@6800G4g +00000o604007Vd0080201G40I0000G0D1g1VowHG@I000a20900G000I100LMdmG_600H000 +C1000G02G00W400IJd108bK0018100G9G8000000f00242804G0200aH00000iN9502C2O00 +HaI40KQ2000e0a00010W20O2W0200eQ37ZMst00W40Y00eXzDuDV9400000G01004ozvC0W4 +008W003310000002A8000W00W21100IK060000Z6W00605Yr_D0H0000001000HdRaG@wOSe +x6G02c500m1200O@N904GKiwk100100i00000008G00m8W00048aW0190C0W0E0001000W0O +02cGVkQ00000005s8O10004G0W1AIG00mLsC00400200GSuL0008OIL6ID@1001GDmR0920W +t_V8oS3gfp0m000bAQ0e08000028L0000W1UOe1000480200GK08HV30ah047Q20000090Wa +dk100W001024erC0W@RG000W504A4U300D000s000s000O3W7aD002GaJ0W00u0HaW00WW00 +082210000Xe@60S0xU1s20G8a35040100W00G84T3080070000fOwF01W06K0G00020VhO00 +010m20000fGI4dX7ZV0G49n1@U000EK600W8UeA0Ud80Sd70uXP0mTYGL00WTZ0WskPWxk10 +00O1x200x@RW700lQ@b00m0umpF0m00008iB0ZyA06vLM000Coh0ajFC00q10We3mE03mS04 +0C00WzYC8HS38w0O0W1m87S30GWYQW01K0wOk3m007u700OF00Wv000YB00cV0k4P0O0m0a1 +002Wp0W620HYR0C8k0O0G0f2m0YBWA00GMLRBX10000830jyZ10_7GHU50WxktfQ6hw@8cHL +0_550S7L1egE0mNKm37wWNBWAFyGCS90m3Fm@00mL_OCOX10000r000CRX4_70gu7000Wz1O +IiqAzV300d4NMh200GWskL9643kDd102G0hiRGt_6W00WuvB3gzsWipJG000GtvC01000qJ1 +00000G0A0jcBn6v6q8G214WHBkRKcT8nid0120WAnb8Ky4O500C_F6GG00UTFX_qV8W0Fkm3 +31008Pcp0808WHvbun_7001000GF70000004gcq3PP@M0101000W0000Iv_60280e8U3UdF1 +G004ziR0820WAjb8kz70aA0aSOEvrZ1W000011G000W041052W1j0O00028000G008WA1m0W +00G00007un00040808004G0ScZ14088cmF1000Oa680G4G0y@l10280cDF1000G002000GGz +iM8jv@0004mzfC0W000W00G0010W00010W200000WH0mv@60201eN13kWF1G0G03pb08W8Yh +vO000d6000XJyD00008800WxDO0G000801WyHXPt1308000G03ujT30100KSN20400VutW6p +D0e8WnRz9qW737oRmbz60ye0yMTCUXtWJuguKF62T_d3M8Cm0Wln@4WE70FRgIg3@lu@6000 +cFTdX_B_Vk@S000mg900uDwVcW5C00SVjqAHJcjaZo@tmiA00xxl@LPNHF6nv@GW_@3f@xW2 +I007s0000UerWFqIe306Q0aG0O0000000IW00000W84000000YG08HTRmsvE10Gu40000Su0 +000GE8W3002dvW00m95W7m900qwl10070Y2810004I200000Y810000u880000vGWW0Ga4a3 +100700u0i@BGx@8DVhSV300iwCF7dq1q7EStWa28T2rnUpoc3xD00003410W1ApPQpJ00W0q +UQ2W002WG00yJMB00KesV@Xl@VuPKF40004rf1fzRmRqIqqk4xg6od@I04fHO5XMseLYY2I8 +NSF2xt04080fjQGRQa4kl1000eS7004_@6j7SI@v9W00087L30O0000G004000422WOKJ00A +0mfd9qzl100X0000G8W00O2Q3Ezt00404A0Y03_t0040000qg@L@101000020W0008042uWS +3shl5000H4060000000e48dT300G0W8g000C028KY0GGGGG200000CW0082G40m7r6W20GO_ +K30010H0082G410a000G0010200440e08000K30000W0aG858We1000008W8G04G00122W04 +002100000zwk102000400yK_31npmcz90W02480020041050800G0002e00020800W000A3W +0BG0G101W0005000808400100G0202W0m080000G100040008000G00E0e2W2n0000188080 +1000KS6000H0008G20420mU_6000W108000103C002biQmtg9iEl1TXNHsz9iWQ25cc00W8G +0001W0001000W080e_V3onF10W04tlQ080000600G4G82Gb1G08000Ki10000W82G0040400 +0008WG2W0400G0880es26gIbaPdh000WW0Y0004120000000HSvl100X841000W0000C1mAh +L000GCkM60Kc000410480OG_60H410282GQj6000GiA4FojtWAxV0000oDp90H0G4Y20OqpC +004H408Y8100WtuDe7V3W800000IubV300H00Wn00020mJ16W0000004ek10aAzJ0108GW_9 +SIi1bwPZ001WRVbuh@7G00YqZl4@9OGw26000CBuV3008bFzl10G0H0W80G000W0430G82W9 +3IeePREXs00002z5Rmu6F00W0eRt4U9W1000Y8004h_t00GK400W0M7s0GJ30000000G1080 +0wzu4G40YKZj49nV200420WOH9yd00880H806YO000011SVi12400GG4HW000m0000510WGx +J000030c100W880040MRs0820002K00000xLY00H003202010008XWH820YG4e000HGIK06i +ui1h@NnvmOyKk1W000000O8G4HK8G000000m000pkP04H408YO80000W10010001600mG660 +060OmV3G400e200G00mCL1G420W2000000uU1080W800218Y8aG40010080Y00WP000000W1 +0Wq7C8i6I_@F1000K80080009G04n00420fa20G40O0GA6412W002aI900148IAI104I0008 +500000000I50010049bK00G8100I1W4G8ry40WK00W40000000L4A2040000005H00I000K0 +020100005082I0000I100WyotA00K0y0m1h1910400010000a24K80W8G010YY50W2400M00 +1A012GbHq0008W00H92CG1202X8005HW0EJ4HJ002G083X00001004000000412Xa0e0040a +0020A000W@204a10005e0000420XY@0G0000G40frJIXwC0W000001482220e0H0W104GX40 +5180w001J02GuZ800180PG00002221B204188012G02010000G10CG0080028Wq@D082G000 +000804m00006Z000W004G000G0S000080W00002000KuNS30000G1000110m@@I00050004m +3v6iFF30800kvt0G008G080sEo08000nWR00W8100000104004104058TT3QE9100eGO0400 +00G00K000Z0000020002000meI0000S0G23028200000WG0000019y@V20044YY76GM202XK +60W0C2008002Gl30480c2zEXH00OA0G0610W41GA0685wl0Z0mCL0G840206001G@1080mG1 +r2G0G01000010100000KJ00008000005Gm200G3CG00000102e423048mg4001Oi0010000K +0040W2whIYe0C0a000G05W_govb_Dgzt0100W00000vA04Uk1200040008000K00080U5GHU +50VBOmt_pWO20We3m4nFG70S0N0IXi0qcY38D4t1R2k3uIS7i7GEWBWeHM0b2W10Gy3udv78 +B0E06000C000c300C@000O0Omy3m001W1000ZEsDmM000YB004N060C0C0G1O000m000GC00 +0O50WvE0300WE0O000uqV3gM@180000600GC10K4c400m2r50iY5e0W7va31VW72UGE4aJE8 +u1U87Evm3yuHA06u0Z14dS0000mu85mOuA30OW000eH9Bd4W000ihW17nPs9z9iiR80bG0IM +BXQczufUL000010408tb768KYFwOOwj4YkAXf@D080000002q080TDb0W00W9IP8uiMcIwX4 +Y3vw@4sCGY0uV00W3EG80WfZL9G_J0102azc78000UzZXY_I8Gk4UxpWpjD0Cx0000Ge@@D0 +0G0q7WyCEL508W9obq010G0W0W10801200WG00010000W02W@@R0021Y@@DG0G00C0000004 +00Y06XE1000KO00WW000DKf1200W00G0000G0004qnV90W04eom400W2SsG80W0c0WGG0000 +412G0W80010G040W088m0000AOmD300000e0000000Y800202G8W00040000202000420000 +04I0008800000240G008080000nTGW8000000W1402004W800004H020X00G00080000100G +2W_BaufTFWG500000uLE30002OG1000100G000108060H0W1000W00a400000A602G0W0Y0W +00u0G0000020020G0W80WG0001G1MWe10060000008D9eY13000400C0020000W001000G00 +0000802008_33IIyXKkP86C90008_Eb120m02fp0242WG000K0W0KwD3jDoGwa6S7i1llR00 +08WzFC0000Xm08O000G0006W0000001200114W00820Czvb000Gm9XO89JIwbN2G010Pain@ +@IaxW1@@R00WzKP2108208YZBX4xJ0080mazsqgZ1pQ_000GW8@JeKm4AYSZ0GOGCl20W018 +080004080204aL0300a0wkCaeCbOdz70W00C0N25NOGLbCa2w3000WA_F10W40VMQ00008y3 +0000000WH0010Ga01000J00828096np7eR0100W000mpj6CDl4baQGCf90004X0000W00000 +S8@uc000G400G000040010CFQ2lvQm4hj8O008if400021008200W80080O004N5QGAT6002 +0uSl7wnt0m000H5Q002CWNGD0CSfA000H000182008YY100G408Y021W00W000402HUDDaKK +b000G000eW@@V004580W0WmOJ0G000G000W2007_zGBFC0WQ000000DW00000uG60G11800v +O241a0108182600Y0000004yEi1HqQ0200W7yIu@@G85000n0000I104G0I41WK0410000GX +G0008X82fG00G290a2A04040001W0H101000A0001000eolr0GH0280000WK90W404000502 +00400000C31G00000Ies23Y18X@@J000a0f4W242905Ku100WmOmJ004M08000W0G0CC4000 +80GW40upH3000W80Y0000020001011001000240000W2005IQh90WY0yAN3G410000002044 +00000J50W000ItbXFSP00D100004W000dnPm@@IqOd1@xo00414Y805W0G01W07001600002 +10004i04X0P080000001800000G000m00000AG00q_TP0GG00W02G0H400002000402W0000 +0by0442G0H000014G20000080200020W00XFJRGs16W00Tu3gA0011yqh4G04010000100im +F300000K0308H0mBjF0WK0G0G0m@@O04008nC3802000WN3C2X8O00130800Wa841aG08G20 +0801G0eaURJe2OIAOE1000zkXWGm_B0G82210700WeM2000f40sI0008f50400W0W30G0mM0 +020CKGc4400a61001000OWitIG1002mG102008080000a0Kai107K0_@tWnMD00G20W00aub +P00080oE003WKAl6NX20000m00fLso@@d00e0000yG9Y60O50G010028G15K0m00WPEDB1u1 +00HHQm@@m000Ct10Ok3ypS7i700mF0ckE10O_18YO2i707010006000n100YC008c0P0009b +uc1000Y1004Z00Ct18GC2m001W00nmP00WBT000W20005064h0C08Ck4kjp0G8o0G0W30100 +8B00Wv00m@@L000afEE3IvV30KF02C00000t7tFC1xP0OH460_@R00100300Soj1U000b100 +00000OW1000eg@@bh6y7000muT00u@VpYD7Z0lVu@S900eIVQ@3bM9HXuCizj7HHcG2HyaUd +40HJ0EKNbZpzuVTFcMNb7rV0000hp00WbrVuwT92nzaavJOUU34W800W80000GH4z6W20001 +050028WstIexS30100ixk100080G40000YPLS30G0HKVl100w3xht000G0DsdGTj6ifU5vLQ +GuzR0000200102G8000H00A8200028O0000020G0G00H0101OY0200EQg1XXR029G0400H00 +0W0100080010aG01GW800a4WW00000419WW00000WYX00I0G400X010002W0000102010020 +09fQ0001001000200t1dXM@3PjV3022W204m00182010003000OG0WW2m0v0WG0m0Gow6010 +G00W4a02410810bAO0800H840002000GWWA002G40G00102000K001aAtp084007VR0000G1 +00404G0QVt00004fz@GJ_U0G0W000G110002GWW000000W4Cn63W010000O4O@3HCRmju600 +4uGW00HA@603010800000skv@D0YW0Gfz60040CKz4cmdXKyDewV3osCXJ@t001Wm@@900Y0 +00K0GgyFG4008PU9c2t0840WZXRGSu60kb0O1E3gvtWV_J88D66Qr6W0400000H000sD73Fk +R04G4W1_DOt@4Y_d14104f@p0008Ed20082001400CSe1@@RGKbOKLmCG40GM1j200W00G08 +U2@XV4D8lz4sIq000OfdrRG@s6010G8RKO6J940400LlRmmt6SAe1LuR0W02WBGbOk@48808 +00002Y0008x1W4sJ8TxAgNvarzb04W00081G482001043At0000W00W80GW04mc14000G802 +52l1tld0Y000Y0W0G04Y000O0W20090X8000I73000W488014LHl1hqR00K4WPzP88gP_sq0 +000800G14800000W0004H__6000GG0000841408I8nf@00G4000C07bc00300G00000_O820 +4zbl10Y01G404aNl1r@dGGkv000020082f40WqYDOyV3e04000H4OoR38508800000G100Y5 +XRtJ0100400G4I11884000b0GSyl10rO0gVtWxlJuQv4IXFXdji104000004W004vEp0W000 +0020000e000W0W21PnU30W0000G0u2S3G41000001W100YW20W00H4000000Gu10GOWK3cAF +XCyJ8CS3EfmcUuD0e4G00C0WsgD8yy4ozs000804X0044W00u00000Gm0@6W00000GG000WO +00000302Ect0005JNzRmRmC00048d_4W000yLcD00G800000G02X090W000Wf_Dey_4WG000 +0Y10G201AK00010W00Wqk_t03000H@R0008800GG006100000813Y00WprfI0G000000200W +W0b3vAvD0000Xi90m08bII@C001WK10000GWUIGG8OV4004100W100G491000020I1000WW2 +02W000G0000o4G010480000H7800000wVU32@F10K2000060W040008000GKyRv800000V@C +024WGfnGNO1000OUY200pDN10U000K5000sC1002000H42100020050000OW1EbKYjod10WE +000e0w000M300GC00a3l104040m04100W0008200mS700nAmFHCGM000P0O2@40Wv702H786 +S600m00002004N08000O0G09xp0H700WCWEPFaZhC00000pZzd000CIN1G0YOXT1mllksV82 +C00Y1dXWmnOEyS6v@XEmnuqKL0087UC_39hcmutI4HQBdU@0000802009Yl1002XXwJuyy4A +Gt0GP300G20UkN200G05i@mZt6CRU8TTlnnwFiyy3W000MNsWF@P000100002A100Tmtrus9 +02W0O9fGIal200GilhRm3w9G00000040W0001020Xk@0040WGnn8E_4_edX0rJ8h_480000G +G10G10HS@600800200Haz64mj1r9R0G000G0300008wZr0Gh44Y00111000002K4400000WM +TDG040G4_60K00O3V3A9AXrX310W00e0WWKmDenU300W8W000200G0W20G000G02G201L400 +28G001800W2000XWG00W00000040H00000a0KG01000WG2X8e0000000kpW000H000O82C0W +0001001W0e2010450G02GYH400W008u@V3G8G0iHi1@o@mb_9aDX44G00wUb100000O0080e +030e20m10002008400W840086000G0eJR6002e000X10G40WrG1000000g01HW080010W100 +03K0100008u16Qr0054000080GC0qOU2jxdmW@CKnV2HdRmaS6000102G0m_tCW0408m73wx +t0002MW000wwm0W0002084krt08482000K04088000000YG_@60CM120GG100G10e0A00000 +G002000401608e000610l@R08000040W000W502WyBjAB0R0010WlBO8py7MoH2H4H020H0c +Aq000G08800000G9KX0W86100G05W000004Hc_tZioPeZVC_s_XXuDeiQ3Y@FX8PDuFs4000 +00Wa000400080041409No0200004b6G01000O418000YG00H0GaXjtG802GUwdS9@3HyRG7@ +9y4k4XmbGgy600000160m9O90OS1es@40W100041W8202000mqmD8aS300m00010W80100W8 +21020004XIQRZlJb002000W0WSlPuE_40200SUk1G0H000Y004H0upV3W8Y000000Y002G00 +00008y500Q3q000H0rNP0W000H02000OY_@@10WH6400G8000aPX1n5lnhv6W800W000Ip46 +010W0e00O85L8Y800082W8002H8G44H00G481010G4Y0044G82001208G000yzZ00821082I +82801WGG5WP00001O041001001WWKoD0000oZr608YH5ZC1G4s9ip_6@yR000040G000W8C0 +00WSQV22400005000W184L60G40W500000W80Z8212ee2400W17G020OH00o000000DG420W +80308200gWGO80Y0W44n80000i0W80H4W0OaV300Y000K0m80g140000MA000g21020KE360 +0A0Eit00002080eQMs0G1GH0a000WKG0X4W00I950CJ4000K1TR0eKWG92084GA000YW0014 +O51300G08004W00204110000y85A0W00684008rR3050Y00200K40m3z60o4000I1020Wmvy +D0W04GBhd00084020mGG6020000WG08000W4M00080McmW@@J00Y010a24W000A0C002B0G4 +O00K000P004G12880W206K000ex_iT3wwtWwxCG40000210A000@jm000e2000YvxJIXW602 +000820mzz6082800000eW00W0A0XXR00WW020000W0W0A8030G0W0GGW00000003ZsRGvT64 +4j120000Ma014H0uz@42Ft008008800kOtWXAD0080mE7602G0ucRF_pA10000002G1000S5 +c100406it0000G54z00I8WRxJ02e000Y0G221W08200500000G0000EWXH80W20T@R0060WO +jD00W00G00WbuD0004mzr6080GnG420Y10anez0a00WG85WguJ000C0a21M50080150801W0 +000W0qV20A0Ce0B0004q4200W000m0080gF0000028I02I0F1080HE000002000eG4a00m39 +646k10000020G200G38G0m@@6G0GA003WKCg9yHgA000n0000eRe1aU00mZpmC9D3WjU00W0 +000y00150080005K00200010H0G400400GqwqC000G100G028000y@VJix1Y900000JddR00 +08h8mD0108g1GmS7450E0300WE000o1004J008c088w0I2W1G5000300We000H70006064T0 +C0m0Oa2W1000WG300Wu208Cy70004h000G0O000W00700008B00Wu04Bk1m0G0W100KxwC0G +G100008Y@1uh@7S000000c7y040000mqwD0014GjP6CMc100MR3MW1n00000AW00g0y_l40u +70KKL00G10000Wml7diDtCRvN1uD6WiEL9gUI000Aipl1020WM7YaS_D8KT30008q3c1l_Rm +k@60000ZI00Gix6aoc1d@p00088001020000GG00002eJO3I3VZusD0050GL9646l4XSlHwx +6qBj1bW@000jpGesOIP3YbBXqmzu1fGQ9lY3pVOJ23wE@1maF01vdGPvI000W0010mVx6CE6 +3puxHLU60G0W0W00m__F028q050WmaO64pl1XBO08000H00G0460ELr0G004G0080400W202 +0000f500YM6CuK03gapWs0C00G018WG0G000000GU_nWvcVe6@7wnFXavDelL6Mzt028WW00 +01Qis000008W014010G1W00502mFv6W01800000W02200008W020W82004000WZD08a04000 +4A490004H0200141000W0K125vcRW0800G040e00011000G00402200W020400z87200W002 +80rwRGl_6W002G0G1X1g0011O004000001_Vb1W02a02W0040108G010G0G00G000O00H080 +HG000L0W1000WL60GE24080100WW0W80G9V6iyk10000180GKWl1010000014Wl19xv10080 +GG000801U@lYUuC8r@4W0010060Ou@400I1yEW1n@R0408m@@DWD00000000085G00008280 +042400008G52u0000041011802G040e00G0180020000a004008W48x1F_tiYxZJ000G0808 +04000lxBHBN6igx300w_tZk208002000C000y@a1ThdGV_6GG00OWsJ0001Cxl1Zox1082W3 +0600410W8Y8000JnWRm1z6W800G0TI0208YpDCO3X7G40GCfX1xTpmyy60000AbN3sE@acBC +8uH3sbtWc7Deex4gDdXhpD0882GSx60000A883WW800114vGV64000at_34008000C2W0400 +0mI8I6ynEC4H000800010WOER36qM28220000H000101C1eOF3000000mXzvE9E6dXr@PuoT +3cETZZuheZm400020G0a00001049We4JOBS3ALt0000H2144180YA00GIDW0200000H10410 +00RA0CJg141000W0Yi3U2821082000104w@@48410WG00080WGNA6aLm97rR00282008600n +0gQr08080202000000B008TV68I40Q2H0G58001000QG00xJO000082B018G40GW80W8I49Q +T300G0Dgg10O40000G0280OmV3000W000O040GY04W90810WG0GktF400b0jPOGW@60A0844 +000fa200090xVR0000A00GXfsp0084aI@V000l00A2W0wa018200I00I510Y0A005K0mC000 +0I1GsS6800C30405e00Y7_z80S3008000GW8QF30000G4002041IX@6000C30G00Y00W@vP0 +200WP20WX@V0ib00800WztPOOV3K0H000001O00s@@9040820005000B00G20400WWe04X_3 +nhB1000GE0000000G08000100G0W2090001W8F_R0200WPrDOKU640040188000000YW0810 +21IP0d10WewD01G000000800GW000160I1K020GO4151m004YG80G1G0GE01q004230G208O +0AG0q000114iLH2v97YW00040G000G50G000240O7@4G0G000I0us@40b08000W400IW8092 +X0844Ya0HC0000Oi8XR3W4008900G0001Wa4028H2812840aaG08108001WGWaWKC00GG0A0 +00GYY0I0000G00CWl1XXx108J00C0I0XC02@s040W0200XG@50O0aG96E3_TrW4@z04@0mo@ +I00OB0W000K0G0820W02000B0028401zAm800G4000Yf0a0401W1_F9KG3U5CXJbbeX@4K50 +02008I4H400A00p0m300W20000J4000Ae081502P0G00GWg00W5e005SGE9QPdXSXCGT00mZ +hUO00001G000I2000C000m000n4G5G7020S0x00W4tC86E30G09bxU2nkPW0m001W1020202 +Wp000vxXhRW0W0W306G0G0mnr60023GYcV0k8c0P141O2e4b4G9YOWIWv7a8YBWB4JGM0g0c +0KYP1e49UV@J00Z0wiY0000yA150000mzc700Qx73041004008000800o00000L1000200Wn +S0Q_N20yW00001z70Fum3gIL7yF04yV00uXgIm3TWWgIbgKbAFyWC_702_m30CJ06ecg4mV0 +000au10WOjjPaWew87300zbfb@mq_9yVj1DhVIBWsyo_6j_p0857Wokne2_MENGeDqn0000q +F7Fyy93TdZqJ@C000X0020000aWE@D8ZU3UzFXPwD000W0084WWzJ00WBE000200W0WWY0Qf +lYYbJuB@46VnWo4910G0mswFqKl10600E_F15009B@@010G000008022s@F1m7E080W0_@t0 +500100800010008010W0W2400G00195m0W00gBtV0G00Ge@FyNF30200s_tWp@J0000880GY +brDeiU3W020y0V2P_P0020010mW0110Mst00008a4W000000005420eG2hCSQc13xp00W120 +0300800ADoWiyhOnV3__FXsSb0W400002WAwJ0040mCrX00OY4000110WWbjP0000401020W +0200806rnWFubeoU3YmCa5K8PXV3oBmWnqP8yt40AF0CZo900G0ATKbOIR100a90oCWRtDu@ +p70020000Yqs02GNcIC5d1xU8nunICui10004ZnZX6IRPWj46n3300cVDZaGt8ICSk1n9wH2 +RmCBV50050_JpWXNg0OG0G0COSyV2@vdmoZL4RQ2PnrI0A900455000008I01G14G400Id@1 +0010000eD900a0e13qpmZrFyGg1tZY1082moNWvSV60G0000c3K480HuL6aaF3pHR0000sSl +D0400GM19W004X04002414Y0004X80cSF1000o@@B1Y08Yx0Iut_J0500qlQ2DZdGNx6qOl1 +W200G0000A10eYV30Vr04ZF3TSR0000eHjD0000000GgnvD8hS3YMJ200G0@8n3041WwqDuC +C3wut0G20Gdad0000sYvCuGs46Q9108009gR000100G0Xfnk10G0aVeW9QL6AEmWUqC0100G +E_60800004mm0m600m5jus400W00000vGV3A_d10A00000CG01008Y08Sz700080W00CkR6_ +I2c3eCeJU38000SSg1PN@0mj5WBwJ0W00mH@6008000B1mc6CCxi1R6B10W0WwdDudxJ4200 +KQl1L2zGz46KSg1Y100G000O0002PI0W1GA4000iq10024u10GA01@p00404j0G10g5O100X +WDG08RE6cstWGfjfeV60GN00006nh0MuR@L008Kl9CC0006W00000C3mc_U000rdA0000g7W +Px91m40Gbu6aO_32W80I0tWlnD00WCWa0W0o000I200GC000W70OuV300n401008GW4oYpWm +mD000e300mS000m800WH0J00Wa0e9T60082ykf1@SR30K1000mLkVU0imk0AI00exlAO6004 +ok100WgcA760G4WoD70AxQf__h00GyTh@UC1j1@m1shvIaaF307O0USxdPmh8I1dQvGea2YY +000mgvC00ml8rVF00GW4GVB9qZnTz9Kaj4BhR080WYopPuOV3C00400000802GTwg0W00020 +0HDr9a4l7zrR00020010GdmRGbPC4Ni4tlpGdz6W000G00000006B2G0BtRGpz6qTl108W04 +0008W002W00210000004DvOmDy6idF3JpRGs0IS_V2W000lSEXzmDeg@7sct000804402_@t +008013dQ00GW0400000u_@@t008W0top018W00000400WAwtW1fD000X00000H0W0nw@m9_F +yTU5HN@G3_64AP8NxR0ej1WqyJ8Q@7MZsWRoVG0000020mmC5AaVL0000DtI5G100Ict0420 +04100_O81008001006wv700W8zjLn@v9CmV2v@R00Y1bK@z00008000eAtUeyphA8aX6@P04 +l3mX8dCSKHhgE3000CL000b_x140W41002RtuKkyL0W808q_4Q9d100VRVlk100OK00WA0GG +0U0qZPKdvKrDMJN2m0E0X4NnWc6Cvl10G40C8G0000810002040W8co9nj4kYhYFaVu4E3YS +r0X200d_Znqe6G4eW2000080GW45AgY1Iguy100u@T_l10C0W4eJ00W0n9b8XW008Ry70400 +0W00e603a0004Vx30220QoF42G00xVpm_8@020000G4GiyICgQ2t_@0000OV100n_B1O0000 +00060K0M9EXhyDOrtPcuN220I90u0aYPv1W000609G0G00000O8l@700KgUeg7000000i000 +001841m8Nc10ym8l@70XN0qvF68Y800003O0K0000KFY105L1G00000IQpWWhvn10000s300 +0E0bv@Giu60000W820GSpC0000Fq13Izl2100n802040200We0uOE3I9DXapO30mbLbraeW@ +1GW00m5Err1EO00W0U0FXBTp10005E04WxkVu_RLs_cX4wDO59g004UjvV2JwzJI1L0100OX +S3QXa7G9C0bmdJFpsiLw6DipGyPCmP0000W0G5g6S8F3XoBHBxaiGl1ZlR00002200Gfk@mX +_Ii673jpB10W0000709Pdm2@I4EZ11zBH50A08080WG0m7wFKvV20900AhFamnP8cxA000G1 +F0000000009aUTn8OUF0G0W0408uWzGQ_FX3nbeP@4c3@12A00jfR00Wnc4oJ00200400Yk1 +n8OT6sxFXHobG000mk@UCv@CW4I000000804e2yb0420qKf7lSe2000000Gua3000G40SVf7 +01000004j7U2RCxHB@600G002000400WCQ520GBUYeQ1040egz7AutW1TX1e46Hux@aal401 +20_Zm0G8004000kNy180G0Hk23b1000G44ZrRGXwR0008AYz46ZdXdzb0400W00081000tZK +nbYp00uKS0U36UVZddDeuKOI@sWK_B2iZ3mx@6SgU50W8000024OF3thNHYuC4nV2000We00 +0C9NH0300ozp30020VppGEuXe1000m000W0000C0WVq@GXWs008a500000WWWH@heDE6ohdX +W4IOQy4_@d1008180G0kktWbVVevGR0fU0i6KB00400088C@@60WC00000O400uW3FM3i5Q3 +G0fVx104005000dXOGEuFKCl1FpRGLx9000oK600GXJ@avF3004IAsF10ytVvW72Lt1000G4 +c100U@V308Y00WG001008802uqvA0008KgLBW6Q0o8qWyJn00300000Yl_D8A03o1@XfoDOV +T6Emt0000013MHwNm0000EFXGo0lhLO910GkOfe5zzgJbu@0m87WeoK9KV9MsNYubQ9TrAEH +_1000em500ICsiXxp10mxPZfji_S53W@mrt6ywj4G0000001qLh7RWB11000mD70Zl@0008W +7pJOzzA6YNYM2g0G00mge60800Q8z4040008W0uJS3wDNYpqb00008000Uq000nmnGjw9ypU +2vQNn5fUCON2002000GGqUl1T2R0400myRD0400mXwI0840uy@70WG000WK_@V30K00SZU2G +080kWVZXuD8p1C2YtZE_j1qD0mZ@OKr_3XZq5000bimsGk0000W00G010xPsr2wy0Wm0ufz4 +008WC1ELlJB4u_5WdJCxJUU03W8SLEOHIH2042Wmzn00mjQ55QrdOE7_@0Wn201W0W08G0X0 +008280ec_ec0dXT5j1000YuW2WEU9ypzD00CHLyN2l6JrJz6Cr_6hCRGYsU0AR1eOk4MI3fH +2jfCTC000G1S00200GmXBK9400uXU3EJpWGIt00004Ya0Wz_n00W2B8H0WNrD8Xqb2d6L0J0 +0000cQvF400o000008300q9@6Q500G8000G08QpKXcP@A001MW1W841WPQ320OzDj0000b_C +300C0_idXjkD8MqD0@T048W1LAR0000ed@D8IQFctc10W00xTZ1020XlmD8903M_cX5tDuHS +9c0FXhnD010W0000Ga1020010W008ayF358P0220WNgD0000IvY9CLj100040062200G00f0 +800000012m0000030aD_3GI00kus020W03Q@G7_6irT2LLBX000040000G00008G0010W008 +mv26Sjb4DYRGdTgSF5L0X20oBMYVpDOWT62stWVoD0W0000008400XG20WG0042820010082 +84000G1PZ@GEu6ylj1JX@mRu6ymf19Yp0G02WnkV0000gP10WtrP8AT3Yd9XVlD8yX40480q +2G20042_@E100403rpW01000200ngQ001000W000402003000014000800a0050K0W020000 +Y0400100W00W00GC0000200X001004X40000W00G01G004W810008M61C4009a00G408406m +000eG2000K0080a24o838002H810W0000W4IW00W01YX00000G012e22000280000000H080 +28_Qr080001pd0000800G81cd0W0080400G10004G000W0081082W24140000e0040108080 +810WO0000W00228G0081900010100020WiJD0Oq5040001500240G0G034sl1200404018e0 +01015aW000004G0A0000Gae0G0fWT3W0G0iyk10G00028W4iP2FhRm_DC00G0umU3EmxaUtJ +er33G010000W630G010100000W010G00000HA000040010e0a04800ETC10800G00GW0000W +0GH0e0000801001000G00I000008_13_@tZf0CuenM040G000000GmB00800400W02040000 +W01e3e4wU4crbze3eP0000jcl12pF0M@ZXT@D080000G0Wo_D0400m866qbl13RR0080WjvV +ue4FEla7000qM0203Bm04004W00804W00WG0uDTFIetWj@DeBcAgrN20W8Y3V3p__900OK12 +0200004000H0G000I000G4GuPU3000800W087@4gKm010000m00Ez@X458H4HCOSzg000240 +0480W060WX000000J8H0q000AO0080401006a10140401m800010GH@60800uP130400Cal1 +G00200100001umW4AJwgb@D0000Xv001068008Y0e220A20G0603e028a6yDG000okxCyOl1 +0801IgtWzoDuzV3000G0080G000nIS5zz@300WQE4nW@@D01G108W00400000001004GA020 +4420140004000G000G2000040aG20I4HAI1WIW4000500200008G190K20405KlOQpIiRyua +1WU2006008008AZU300011G00gd6300WW00i0y1I3W50004000H0000094X0GW004G001X00 +e000P0000G0042080001000GK00e6pPknV300W0000O9700SqV20080008001000W4010300 +030800020OGI060G020OWG07080G022O2C024eW4XGO4W1u940HW83WYWG4110WGG1H0U00Y +80gpwzOC_Mo2o000@7J9@0W00A20828924G0W80W0D200f0G0W00W40040G400W2GX0H5010 +a0209G002A014G9G00G00K011W000G8G29mX10011000JpTdGztLaG_6WVM0AAd100220002 +42890000GB0400040W0G48A00020EWe000W0u00Y0H0208082w52WW1mNW5082003100W800 +48000i00GW0CfcJQxN5000uI000_@t0v1000000y0W0y@l108o@000@1WggI6pCZe@70HdvC +Y_F04TcPOcCpm@0SW7U8QLL5qE400MPH500C1G0006ck50W0020G000ek2W01401280G0G1W +00003048G04Il100q10083G6G7WCW20P050W0A00P000o0o0y3a1e7u7mJOFWd8u0AHWHGY0 +Z0c0619142O289c4GI4O0sGl2@10WKLb@100LfA008QVL4aW2G0000ORI811982I0I21aW4a +W_9181q7H0e@000000W2C00000Ep100e_V6000gI50000@301hgA2_704KLL8uX7uVLLnCpS +W@1GGpCZWvAeIVtF0804eCk7MJF1L20OvyB1K22080G04000sddaihbeiU90G004PV2flO00 +2011W00rvRmNsU008iiJxA80140018O2_40G20iqw6ppOGQtm802G8_D300044Xk70Ar0Uwd +7000W0006o_@XTPhuSs4_rtWfcL1000VDyLG062m00mW0G00002Y00000005qSVB0110s@F1 +800e0000W4204qj120206vtWWkDO5z40020yZE3@Fn000fsz@VeER3g_t00W1eBupGhF9CYc +1r@dGIj6i2l100800110000W0000X200WdXC8IV3_Jm00010000eG0X2y@l1tvP00020G002 +40000100W08086y70sb0021W8I_40WGG000004005P250G10Km008800HW08W0K0000WH010 +00A0W0000Gqsc1280040G0020200W4W0Y0010G028W04000110200W0000H1000108400C0W +00W2uIF30I0G0800000X0W21WbIDONU32HCXA0Kuur400O020W20800Gi_600020e0010010 +G12G00400Lnm0a0W0G104G050000C210000200004u9f4001G00G0W0001040808010G0080 +90iTi4Ft@0020YuxV0002W00WXZFIeo@70Z80qpU5Ga20EZtWO@PW000mSZ680200240mu@6 +000m8aH3QTqWMxP000GKeI68Y00OZB3svVZ8dn0000F500W@@hGc0W8410004101aT20W0G0 +G0Q43000010_HF3Vi@0011W3uDugU6_Ep304WNf@N10C3008Y8Z3RG9@9KFC600W4W000000 +21088003120400xAoms_6CvV2ntlHmxO0Wk0uFxS2yd100082000s8D1220Y0400W080qze1 +5@RmWyUysF6000eMP69040W@jR0C80180G004H0X001024H400W10000108WByR00200020G +ZLQGu_d00mHywV9000C304H40HeQq@FyOe11@B100O4X0000A60e8W0Y010O153cbB11000W +0H0W000000GK404Hjf6S9m3@xP0E00Wk@h0O60GGrLG4H0W80mC000nGAIewVF000320112B +Z418W208200000e4108200W2K0410080G12200W000K00W40G0005041WjtVuLyD000WzkU5 +BOQmaGF008920000f00W@@h000850m4WvSI0000M408000G000O80001G1W20W80wbrWktL1 +000dK10W_@b00@0mg@myzl10080G00118002GW8000W00121802G00Q4W0K00128000008Q0 +G00400180iQF30000ZNV300ngJeV200W24002m1W0I073m0W15XRGZC642P2nEb0810W4uD0 +200GsJL0220ux@A0GP0y@V5I080000CiIy3004000G000W0yHoAo7s001B0GK00ZOxXSsI00 +22KYu64xn30040020S140G8m_A8808q5S57Fz000045000G2508000Ssg1V@B1Gg140m4AHz +750Wm@pCg000OGOy6CTE900WNK000uWPg2WFC30L588GC1GWO2m4n489W80J0XWa0214342f +0a0W0W90X00FB@GPS6SPV2j@@0Wf1W@@h0W100030Z1MCuwE6S0000Wi0eB090007q5W10dV +10_P2m0@O0udXeTz3KlA0YsL0Cel0GxA18MNAO6yWXV0migf@p00Ey000G6Uu10DpC0AJ00O +g@A8600S3T5000G0280ich1@@7Y9d000an3g2mle0W7s9_Ct_x10WEW6LnG004Ga@jysECp@ +RGst68040Ov@D0xI0iVj4XZR000GXxIC0004GZ0CCcM5T6qIvlFaQg1ppk1510WEqh004002 +O000G003gnGWUOKY9CLTdmGSa00u1CJ6I40084SV85zpG7zFaJl1frbW000XG@hefB3AoYXr +@D0eZ2GpB90G0004000080000014002YTtWJmC8lE3YmtWhxP8JV60140qgV20100G10WyTc +1002G0040020WuNW4_tdXtQDeXE3osl2000ys000oe_1001GW008014G020W00G040000400 +40000100Zixl1rpQm@@R000W1004200401040040002C0040020W08002WhgDG004Gy@CCpE +30420MM@1008rdrd0004WfuD0800424082H20404004X0G0000051000100G30tnR0I00W7@ +OuxU300W0s8z9Hypm5qC4856WcL0Aud1G0000G000100i0l1Hw@Ge_Bbv66hzB1000sTOb8u +_4ErtWnqbOm3O_@dXbBD000GmVRO0014vJl70Guy6tl4ROQ000000802080000G48110000H +0400WlmDW800G8ApC@l1DTPm307aDx9u200Ugf200W0@BQW000200140080000H0W08AzoME +EVc5ja00Gd_BxOqvl100W0X000bxV20C00VjhbNzb00L100K4000GLZtZ14Z8YD_V0eH3GCy +CaOk1DMb04G405K06WY0A0008282G4Y04020400GG4jKy3W00W4um8wU9000m2K00uZ89W00 +W0108020030000G002090CUvt0001Y20402RwXqCWXK0mPY@U4B_300CLJ@d1W800800e0G8 +2000W40100100104104G000G2W001000a2GCS6W400W000G@QOCE1Cny72Wz1WtzPuMT3008 +10WG00M0020C40W1080X00G40004W8I00050010X0WW000Gcz87W0e1d6Qm@@L0804e3@700 +0WQQ00OZJ680000002W00020G3001080004g4t000eW00501WW000G0SGtV0000001HeaVC0 +120KAw300qw_fdXZwD008000200W050090000Y0040000e0001WK0G00W40G4010050000W2 +GlQsaps65vRGiu94tV2WzG0Iyd120G10000m0H1a3k100I023F1a5000a0N0G400G0010GGK +RE6y@VB00WCb100uWPc1WFyGgOg000Wpr00mU@IOc8@30HdfCcvF0yF0oegg4z3F8wChACS3 +KrV0uX70GLL1Tg@pap630700Y5@400xFpx@00m00008e00a140e3G6G7WEWE0T0DGw0QW05q +01w000i3q183C7G10YpIa8I1X000p0mCp0W7UWP000Fy00Xzd0Of3Wy_J000SG0@9akl1WGe +W8OGXWG1J0a22211a081uVG9800GLG50FF800W8000y4HBu100_tfht@ze3Q66k3300SlVtn +GGvC4NN8ZQ@mW6manlAWaP0IA0ZGy3P09X00W0Cxk7000010009U00e4tY_T7Z9XtOhTFcCF +100Pm80022ws0W000rFRmkC601082480mxx6S1k1BIP0000WNSnOe_4000G4Fk11aRmOz6K7 +U20408MapWYrOOYT323tWN@D0400Om@60iZ18IV30100W000402200000G0W00000050G000 +W00281aWW2G1W41@d0840WMzD000W41400000000O0000010W00G00IQw600G0w0T3008404 +001000mD06qyk10040048000G00001IqwCy0a1LmR0002eMyD00003V8002H00000W008100 +W0mG00000041400480G82C100G00AeG0G2H60W4000G0_ar01W115idmZ@60K0000020010m +Ow9PC9O00qu_QP8Xul1030WxI63W73m@@O0G041A088000asyye9EUwf_4t100Nr9X0G0019 +G0tzRme_68080Ox5y00q3j8Q500G00002UGpU5up0eN0WByhOQYnIaM5Q100pi91G2G82400 +8Y0H000200X08zO3cz1F00T9D@pmdd60008eUI30400W8G00000010WW9gheKQC2Gs9GOB0B +tHI8cOKcl1V2fLF_6OV008ZlJMZr3G2007BU50WxdOXn8JOLcYxdb@31Sl2m8HmaKz3h73pq +tL48b7xY@mspjSLl1O001cqiB00WPH@@002XG100a2c0a4C429184I2G2WW44uDNy38q1Gzq +F020100020020004040808080GaSh70Ga1I95iprUB6kP00u3t@D6V@R01G0WXuJ8ltAY2mW +N@JW040GVuIS6j4L2KHl0L000000d0Ga0OKij4bsRGxsC00080020IawCKSj1ntp000GW6yV +e5@4Q2uXK0e00000W83WxvSQBbe000mwS008byAgus00003@@dG4x600000030ma@90W1003 +02mbTvKJl4noA10WZw7pVe8S3wKdXIrb8jS6QmoW@ybeGV3c4tWkmD88462bzXLuI84T300W +0yXF3W2E0MT@1000G00G881004CH2xWd08000GG007sbGv@90G028n_7QVpW2zD00W020010 +W008RipGkxCi2N27pPmy_6SDV2000mi9000100u3U6804208W29Py4oT81000G000WW00W00 +8083NRY2NYSybe7V900K@ztl7He6200040W20H_RG0RN10Z1uq@AMX2iTDRfmOL0008MIk1P +Vp0H41W4oVOgxe004qStf7XzdGdxRKOAOWp302AkYqwXPJ1j000GmQ00e0@AW000q@E3juRG +E_6CaF3Y8204H40000YuP1j004ebxF680086x@Xl7sh_fF100mHJ00ePrPc_bD00ENbPZ112 +GWSvbef@7cPDXz5x2yB0Gi@L00G40210GI1U0002uqs4AtNbOuW1000UGpOCdy372VIiPFNq +lA0410ccVZacV850900W000018Xy7Ip8g8Zn000cfF00Wj@V8YV3Qyd1Y00000g8IwAXPlf2 +0WSqysI0000vtv4oOt000G02800cwsWlkDe0Q6cxTcFJR1uB3m8rFG04088R92rtWKznOLU3 +0002S@eAFUh2000QL200JSlqomK10ud_Dz4ktt004W0JUpGaz60004m020G8S6SFl4PqdmKx +F4pO2Hj@000G2G000dDQ0100WC0CO9U30101Sxk4W75400080001OXv400604jV2008G0e82 +SqS2000G1e000G00uXV3M3m0014G0GG0Yet00400RaRmkAXSTk42W00of@XgwD00005q30WM +@P0004q9y98000uDz4_gqWwxP00W0msz604G0uyU6o1mWYQPOVR98000CbV2jaR000GG1000 +00G2_3_1020000Wv@49XAvD0020Hjy6qwk1012G_QdXP6COkT30104000000015W10WY_DO2 +U9YspWUlb8WF30100K7@3hvN1Gd7XDzV0WC0mYyC01280000200GY3qDuZU36vtWjtJ00K0G +RNjyGbq08Mxprw@vuB2000cA00WpEhBQVL00SXqmy99il7mg6Wyg45H00mo@RWG0008I1020 +4el@7RnVC00ObSjQ801000140a3@UWd90EDV301B00020GG000000x@JUYXx7m100LrII0yR +KOFO00Ilhy8420I1080Wq200a0t@dgx1k10WOuym10000m0WKxt8GE9khSUm@70D_lqazK10 +00Je00Ghmvi6V2tmt50Wlv4@Bwl_k09h04wTBrC@GqCcvN00OEJFs2@XjuJOgU3008G4EU20 +200kXFXXp3P0TL00a8kezCZeMnr_6aZU2FmwH23j0yT1OZxM0002Dhu3ZrR0G0G00020000O +ozaAC200VdoJA5c5Qie2c10wCgkCkRXM00mY3@7QVH1RXHGY94Tz@B_V200Wpl@b0000rgv6 +0A00OPI21dP0y@V5410808K0000CCJH2N8e24G000W0WAkLhG_R10m9TisY2y91OmV900i00 +0G0l2I32Llbmmp70Gmn@@L0009000IG4uTDqEgtyJ2000wj6dvy@YUYK500pDTzlX000WvrJ +OUImIyV3GW905@N100WWAZ1J000Gwca0000Nx00GNvaCPF3dAy600pa1@L114G000002G00V +xRG4DI45U5FrAKUTRqCi7fWdmyz600204004GzzFKrl15m_3000GP000F_V204G020000G02 +kLt040C0lLm040100G00lmHrltb2_z1u@@P_st000G0000G0002001000220420WSSf2004a +Q10Wiqj12100002Wy@D000480008000mlhJ500lqaCdPTO30000H082000W2008aikx24p1m +vymSrF30880000Gc_F3Nj55000U3100nut200Wm1DJ00218b0104041K00YG000z1P2Jxx40 +0eiw_d1040W2080000W2000800WW2G020G048480085041002x9j@@n000e40000W05K0W40 +000G90b000082eK205208W2A0G20Ga56LU000_e0cfkDGG000e00YhpD010WGA06y@FL00S@ +_@76e000DpQ0GKGM00G00W0W00810H0800W0400WWEvCOaVd0Ve04ebD000402G100800000 +H3060W088Z@ha600qyVB00H0gZp0024W21M0W800A4000Y008W000m21Y02mGsuFA00mH5ra +31300G6C44AO88K2GGeW440XGupCK10000yA1mm@j00006100W2O289a5mI4OWb8m58HWB0N +0NWi0c0S1SYI2e4@m9A0004g000A_l50aP6000LKLL2QcP4ag088LLHG7Um@KLbPCp1@301d +nC2UuVKg000A8@_G4000008HASkSweDjSFhOQ@4IVV30W00XLQ6000Ui000DglnRcLqhk1nn +9KzK6KvU80084gWdgJGT2i10GX@yyJgA@epGcymm600u@VgwsNYSqPORtM00itK@@a@U@Vlv +@lx_@vs@@j@@lY@@@@BzviLKIUE00shdi_@8l@@_Vmk666WWA0J@lKKxv30mlTkVd_ipiWcd +q700mr@2102WOui@vhJ0yGHH1Dh5000Ud200hPyV@U@lFu@vB_@za@Nlv@rn1Vq@U0wh1eYr +@dez@8U@@Xu@RO_PvG00m14E100007E0000a32u00WmPEf0GHK0Ia0V50008cFF10W30f20J +_09000u8yw@@__@kp@Vxz@pk@@h@@@@@@38zDs300@@@@@@@@@@@@@@Vpz@ly@@@@@@3r@tm +z@Ba@Vu_gB1W1OS_@3t@@@@@@@@@@@@@@@@@@@@@@@@j_@@@@@@@@@@@@@@@@7q@@@@@@@@@ +@@@@@@@@@@@@@@@@@@Fq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@X@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@P60007o10W@@hG000m@@720GNu@@40800y@lbWT90_@t00008PFy@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@L0080u@VI000WWQ00u@@@@@7O0040@@J20006K200@@NNg +RCCyc700sw_WhYb3O00040400Xl1Ev@V6USZ14000fkP0080WK0Iu@V30008y@@391am@@60 +Iv0u@V60020yHm30WG0k4WX_0O000WmnTCKBm3TEm0000XKtIex090011Cdt6000Wb600y@F +3A0008000y@F30100YKm00G00d7y004002000Nybm9Vj8000umlJ00ukkI26R0YK4Ad80008 +H3C0QQ0y@V5G400IByaN_OOflAcPuX@@X1004do10W95s00H0mYXUCUn3vQin@@L4CG20020 +_R4300MjhriaW00W@@d1008u@@U0_y0OD4C41045sG84000UYaXr8h8cdDIbm0041000007d +43000GE200_@N2008H0000IA810200FQYHt4aSm99HVi100oxb9mG4Y0mJ160002OLJC0020 +0WO0u@@44800q1G2j6PmjHXyrf10G20_@V30xE0@@B100W0G0G00008040000Ha8_JC000G0 +W4102000eW4G0000Y200wuvXJU8104G40000W100rImGEJF8G00en6C05G40A0000W1mrJO0 +0W081A3001G1000vP090A004GJ5BIn01000000W40002t1300PN@@Z1200spPCGm20mVHU0S +000000AC0000002K080s9nZ@@V0002ny56aqw6WCR0_@l20G2004000100i9a10Y00_@7ZaW +C0080KFGL0400m081o@@XG0GA107W4M00W@@b0004lD10W9Sg00812000000m012i10W5004 +2R2100Y2817WKA@@750WmrLbsOIYD_@da8cCGI000W10Wzcs0080W30000i700GS0_@l2Gb0 +0n2Z1W90200GI12u100c00GC1O200Y28XeYnu@@A0u100000m300m@@U0600u@@F10S6FS53 +38@GJp6am53Xpz0010m2wI0W80W000XGwUehu4cgxa@@h0us3maHRygK5000G0020KXL2f9d +004Ga8Mm8YlVuC00Cz430100s4Z1C0001G9HtqOirI5rKRGa2y008zDSkP0002imc1020G00 +G0_@l10H04W80J0800uak400005Kd1200065JYzrC000e08Y0mLQIu@@A0930a4E300Y0Ivs +W_xCeO030044KZM20801M5l2040080000810W001urR30040W00000G00010W21C0G400280 +000008004000400040042000WG8W8K80180010W0800W00028000GG020044000CAd1O401g +3m000G02800ccp00m02NFO00A00OW00040WW01KCqe1GW0200000W08010G02010W000G080 +81080WG4G0080100YS3I8bE3000W0W00001W0000G1002G0002JtWrqD0A00060WHq080800 +0450800002004W000018W0DtP00G00003Z0000o9m008W28000000G0040m040m@@6O00800 +00000a08W0020000005ClW188000G010H0W0008001000802e001QcqWunD0000200040048 +Pa@mKV6W000eJ13000007000810mJIICnN20XP0_zZ100127dpWH00000q000O1ExnWspDu3 +G3sZdXbmCG10004O0041009bbGdx9KDF3Y000YZ9XF5JOMnD000mx302uL06YhtW_wV00O2G +kS600049Te4scBXB0JOpG3USq008208I40_zZ18100JFcmZ06i9G2J6Qm12Ci0F308_w_@FX +02CuwG3gCpWHpC000G000G4410100042aFXkxD0000100G4W80a044002000410u@V3gGB10 +0040G41000GSB838220_Ka100K0FnnGLbI0sR0ugK6000GKo83Y000000H45l100G0EQYXCc +C004100HC00W0Y6000UwFXh3V00I0mtZ9Kd03@@R0K0000080BQo0G00a40VGl00000mWr@U +00000042WK4O0004nsU60H04104X84H4008200Ga0wB810G4c040GW20400GG40120000025 +10000Y0C04H8000m000100Wl_C00HGukU9SfJ2lIcm4QI00Ge000200Y0WP2JWW00mVO6ifO +2c100H50100Y01000084120W80492010M800004020000P6HC1EmW20G4DKX0OWu5J30G40i +7e10002000O000A8dj400Y00WG0uMG300010W0000G4O396qWW12400Mez1GQ602820G0Y0a +Ie1H_P000OK00W60000C300KYZ100mY0W0GGa038EK30G0HW08Y000410O5WuqC0I00X000Y +XLC0H00000020a00000e4b0H0002G40A6a004495000G00W01y@F3v7O084WWJID00G00008 +gMGP0000AW100G000000908000G0a000208184HXG2G0100WKG0G0140H0myA900000K0810 +10WkNCuYr7M@810010008v0000W80010W108G0C00H40e80020oX0eW28W000W2WkKP00004 +G000X000000e080G1AG08qN600eQ20004KG00e0Z0G20400C00000020010G95800AW081o0 +2e0600ayf1WI4005K0004X00G12G00Wg1Ou@V3W1000002O7K300A000200A0Y000080B0GW +0K004m0W000082001a2WPGD020W00GGWOuO040WG3BCy@l10zS10Y0000006W20000000A08 +0WW00W0G0700040Y03000800WG0204W20A0A08wK30200G0000C0GW04000G01VbQ0000400 +0WFeQ0808WlMD8s13000G08IW000004W0241022020AEr0400800H8G040y@l100D00000W0 +W0WWG0GkhC0000Xe00280000a00T9RGye900G0a2I01000110G2x0P00S0004m0482G00A1G +100000004004I0o0009000I001W000018W48XmsC000GWG0O0004za0W1mU8qx6221Rj00X8 +000S004YeR0010aPK27fO0oa003Wa80f4000If0010000Sm@@90WuF78b000GYbO0C8HE3W4 +Bqx6221xj00X00WK0I0Aa912009X00G8000C002XeR08S73_@V300G60000KrC0IL00u@VU0 +s20iTO8txcG2l6a2S20002onn00050000GC000W0200800001000J028@Wa0G1_100o200GC +000S70WvEmFGCmU0O0P000w00045WhCD02YC040W0o0001oP00100aV0200WzIIc1b200040 +08_18000sBHk4000ax00Ct1_1e0s307W100OF000u000G7004N0T0T0P1m0q100e3000K000 +W10001030602080C000OSSc1JR72020G0C002800f203000gw@@400W0_@l400U8oqRZ@UDm +000u6W600C0000C0140000G1A00040W0G00G0006e00050Cm000W20GL0C_w6@@d3WY7WCPz +OLKUQ9jbCdJ8Wv7000maVe1vonplq6KXBC@@xH0pC00mpC4OCUICa85SgFy4Y8M206C09zzJ +wq6KSi400200100040Wu7S322tWdmD00W0GVzg000WRtO3A6t0G040fAl18W0W3PJO8@AUAt +WU0C040080X0G0210FFb000W0G040nZd0804WyrDuVz70000z@T2HyR00W5U00400000h6t0 +01000020114008e0200050W0eEuCO6I3010G02800500mI19yeb180A800G101W0uxT6008W +00W1fwL34100aYk1W006MqEXDDDOvK3IKs30210xed0004gnqJ0XG00004000O0@@d080420 +000b0OmE06y@F304010820KCU201800040jWD32000g6BX1CyuhQ60080000WIi0000000eW +00rtd0W01abqD08002002WmqD8bG30280zdk101000400iGl10C0G1400KXk1ze4LhdYMUWy +9Fy@n5@Nyn@3dyVmB@@Rp@z_4RUiFakV200ocoS@70008JRpJIQUy@l1WO70AprZRLh8uk70 +002aml1rhvKJ_9eO00eSyGczBXasxgBV6G000iLU200QzUPG8000GfKRm2w6aKc1W00W0W40 +KXl10808wIF110G008080A4080002800mIt94wi1lTRmdt9S@j1W8B0G000Kgi1Y00W0004i +AW15gRmFu60000i8D3_@t004G0Zip0W000080G002W000400Y02G0080W0200018688G0400 +GAG4W00008WG0020O2058518D@h104001G94W0010101222480m00DkQ0e8W000a00002@1E +1000Sm70000K8A000G0AXe2000000280000I01Xe824004X02W0G5W06002400000H80001H +EZC00WA01K80W0204021O8aW0003zeW1004000WX2KWK4800YG8940002Y050000L801W02S +004H01G03C0050W2I80800G4GW0X04050000100020u0040G01000G2YzpJ00Ww@S_9W20G0 +00000801100W60G41Y0000G80080202GW85CG480GZP6aek1FRRmTl6000u0D00Gtz600W09 +9S3Qe@10000G050_@F100059S_GD_60000Sc@4UUt00@90400GmZ0000120F40Kh_9G0I408 +00010X000810800AXrWwwJOVV3000GWX001A02002061000e000Kn8W0408400W80Y002000 +0G4002W8UZi1D_@0400WW0D83V3gwt0400GJoR00O6Wa@J0000h50000G403_pGpr6qhe4vr +RGqx6KIf7jyRGRz6040Y0200030008000@PR000GW7WDOsU3W00G0000400WuOy6Sth1VUR0 +0W0H0G0008a16Dd1Y0WO00WH000400800200mqV9000GuY2308000100G0000W00oV4OW004 +008000WG000H0gyE10G405SR0410WCkJehw400484av3JwQmgy90000C@R30FD0iFw3Fwd00 +0100200HVRGtt602000G10000W210010X002TF100400002040000Y04082OOrC0010umQ30 +0C040830C20wicXCzD0000Knr9Clj1fvRW_10040000800WC000H00ObR30W04quC3W00008 +00206000GW0G0W1W000L@RW40004000W000s3t0000000184nW000X0G4004000041W80G40 +GY808800Wm00GX@6G40euoV30404X000epV300G8200W004000O2WKrD8r23001000eFPcV3 +m808200Y2W0450GaG1W0100808000Cwl1800G00040WW1H4000i0C08W82u8G845G19008S6 +V30008010802K0000W301405KO008G40410820a1W8H000aO@V3gbt0044H0eAH00640W01e +YV3Qzs0HW00Y1000100002Y000G400080fC0010001HH001YulV30008820000800A00120G +C0080ssm0000008A000028200G0G011W00040000201G0000100W000004600000808W0010 +H9W00D1000808000G04f0H040200GK06eY08044b4WeK00GKG0G200400528101000W0W442 +000g00010000a20bb108ae80002yCV2000OCK000041G20400Y00H0G02000W80082000Y80 +00YA0H0O408g8004I12G2000W8G00010G400H04G00q5G200W80G442H02G080W8080W1W80 +000G48I1002WHW04012800080W1G002024a020000G0200100820044000000wbR30200Lhl +1040000O0004Ge0z400e@2a10G10000010W10O004GG20500e030bL00W20WG6O80C002O00 +8G0GKW4GK09e00018410m211W00KA00e00O0a2W1200m002001G0000W84W0q0FD00W00W04 +1G0AC0224400000C2G00004K0810800W0W0G0008W0OhV300m202980001903000W0000WH_ +@t0GA54020G4GW000GG0040004012W4S000W00WW0Ca20A020OGY00W00017W82m00000708 +00000100W0000600020A0046e3W00120X1WAW00Ga2G0O01L041mx@60040eE630080000Y0 +0201I0050100TWR0GaW400040WG08020G80000e0mJh6002K02e0040008840l@RWc0W0G02 +0G400408000001W080440210048W4104K0010G0G0440K0H0411W020Gg008a800089GL@68 +Iq8054080G456XO0GW04469101051rtGWG8H108402102001mg6060RjGlR884id2042WG0m +10G0OB107mcKW50042x0100G000O0002PI0W1GA4qV312XTL2ssWq@J00nv1Y20000W30820 +080W0W88I00020SWU10GeOx50410X2WH0G4q7006XDlGK00GG0Y2W1WWW38B00084l0W800a +0420YW8X0080m10M_t00SX100WKe2200WQ0OZU6Usm0000000W2AytWHlb004Hqd_6e000a0 +000mJ601000Y_F0y@V0uX7omCp4j@18QP2Gq_3WeTu1@xF0cnCgyW7Y_1F4jg08wCbHqPAZ@ +LbA@3WCcP6HNLLYEJ0I1m0@dP6_70Lym3HxV0Wcg000nF00WEWV0k0T0m1S100o200OE00WS +700vEmFWLmU0u0k000x1008700GS100O2m5e38908WE00Wa0004300C@000_1_1W0s3010HS +s60000mOW001200YEWC4T0T0w0w0K0q1e08AG1GS70WvEmFnTmUWw0@050x1A0C7K1Aw02Kq +1eZe3G7G7WEW68T0DGY2A04P00O_1oa703nYdmvpden60000S7H04WXqb00G00010000XjXt +R000800001CG000mFC0mF0OKT900W200W482O308G00G14u@@4000Vm000GLtH4018Wkue2m +m0Gyss800G020WmSH6ydj1T1bm@kLy8F6VlLHPO600400220000026700N6PGz_6SR_3jmRm +r@60W000000Own60014104Gmd@6001400040W8W000021nbGPzgCqk495a020W08G0000qKs +9p01000zQZ100WXPmPOMTLA_Ucr_h0SV0m_UOy8U5jURW0001C2m06002G000012G020804W +W110W88014QmoWEaC001004000Q4H4W2Y00401000Wgw73Mdt0024000WG104020G2uzD300 +00AW80ekR34G00088004280000IR00e000a40K0001m00G400004341000m000808202u@53 +04H0Dol1020I2wt0G00G020GwUmWIqD00208080WLtD000G029GmMyDW00000140G0e20002 +H80C000e038G00000G00X8002G0000020018000m000084G40001100AAW0000001W080W00 +0000048cqa1000080200G0O0200W820110K10W0400M410020054000O0H0G1W0W00003804 +0YG1000800C00000W0000HWW22G0X08Yc0220W0T_R01G04060020040G080W100000XWK00 +84G8041040220040W000002500W002608W808j1c1020I010H8000K81W0020100000802G0 +000gS00K0WW000K0001200G4m000a26X020e00081C10u8G000A0G0I0182840A04WG20890 +100W8I001G0W40G0W00G000W000W8002Ge040mmq7600000084GRS6000004uW3e010W0000 +10WG000044eOZU300I0afV2000U6gt08020rDQ000101H10W0000000Jz000000240000W05 +0020cro00804O000Qjt040020W00cvFXi4C00002040W4xD088e004W00WmG5qdmf@60H410 +0202m0000200I000Myd10410H3cGeyF00G083h4_@t000QE5xdG5u9000004GO6O00008002 +2006uF100W00GG00G000008m004W0900W008G400A3p00000d9Q04000f0000A0000H00204 +000WW800mEzC8Aa4Art0000841000W8Yq_V21oPGu@9KYX1Yl00Azt0G00GnVcmaz9apl100 +100m0000C1u_@4a90000G810820041000G0m40HG000004100004G40CW800410108000041 +000WOi@CW80000041W00020G4xoRm8BFqEQ2ToP00010000So400W006CUl1d_R00G00010A +08W80G4000HYuwV30400E_l108018200C7l1HcQ0Y00XL_D00u0Gc_60080ukV300040000Q +2660008sgl1BJQmXVIiEF340000O4000uP3020mJzC00000W0O010004Ga5820000H0qWg10 +W020K0WW808wol4_@t0W0040600Rqp0000Y00010480WBY008000H0000122414YG404TIG2 +00H0W8Z000I00002W800382809wm0600WCAO8SH30Jz00G00G10100004W001000W0002009 +201pG0Y6W82108c10000840WY0WG39028W80G42008H0800G8014002800100e8L8020W810 +0G4140820009228400G41G2H0W8H8010302Y0004100G80oJ81W00Y00W8g0pW@MIuVI3Iyq +0Y000000Y0G041WW41000ib1W1400C400W6@t0Y00008A0W2mH1WWZ0CH000K400000m0000 +8G002G02C0G400e0400BGe00W0B811X00Z430G00L1W8106W00GH020W04K2W40000081800 +080WKG00210008101B30008a00810a0G0900082002000W80X3Q0810Wy@DW40WX410045L6 +0020824G1050G00000WtUGWGYW0W00IK020W008G11102W1GC00982f0000149a0A0e0G0WG +e00G00100HA00G08a008040yFh10GG0820001000024mCk60W8000410mA40X040018000X2 +00m0G10100a2CY42021AG0600G20008400300Wk4Du@V3000W10X8eiC30e8G5Wh1W2000XN +0102020880GC1040WH00842k910008C5A2GWWW10000140000e40000404082M00G0092O3e +0000000000KKM464hh14X0204011200000540K00W00G4038W000GG130000110H08000WW0 +10442G001GG00m@@9001X10000W070G00001200440002G1000Ym180G00422O10G0001W00 +0040G0G80011h_PGMk60200054108m1000002210000102G001C1mEn600048gO380Q0a@h1 +jDQmUn6040GeVM3G0W8G080000K4002qeWD041GmrJ6y@V20WW8000000L0090WmVC600010 +0mj440100G05GW0002082500000Xmbn9080G2000102YWCYP0400000YHG0Wm0GO8_@t00G0 +40W000048A400W000hQ084ip2080WG0m0043N2884su202XWG0m1084gl104GY38w62000C0 +0X0eht4000qx6221Rh0GWS600O1003mEG000X0FiA1WGmMA0G00296030m0i94Gg100maM0W +0042800010R90u0sP1ir00m0l50G0100W000G4H200O_t40010G200WG4T30800CC1W_q10T +OARwz4_tKIif90yUN1ub@@FJWS90000Mo00W020W4vC0080000O0308YW00T820582ep00Ye +00g20020000WZ0ukZ0mNpmT00Wx_1WlaD0U000300010019_o000WO50WuEWBWLGM043N20G +y30Wu7mFnFmU0C0@000w00045000w00Gq1q100ep@@90004t10Gk3yZO5i708WB00GI000W1 +00mi5000O500vEWBWHmU0e0c000P10086000O00my3W1n5030E8RE38E00Wu20Wv7W1H7030 +4WEnoP0000G100W203GD060qDW1nmPGGOX0001m90se60400S20jm620u4W@@b0031etJ0Gx +KmS00WlS700y@F3RLQW3800021000m00001SzS2U000@iqW@@T20008Q00WmkhOlt4kl6Z@@ +9f7RFckx400NaLSd0400000H0rSR00Y8W@s2fl0CcBtc@@t0Cq0m@@QTbk7@@V2000OD000t +kR0080W85I0024Ha2Uqzi1jOu1A00YWjDW02010WW6002000X00G41020W0000GPP6S_i1tr +d0G1800200200G1H000802G100W000000RhXZR0208W0sD0100n2K9aa7392RG4_6qXl1baR +m5_6080G00000090WbyV8FP30420W02020G1100200011e001G100X00G4X010g0W0500006 +0c000002800W0G00008GG050W8000G0G00W00000WM60482500Ge00400220G04H400G84W4 +0AY8040I4KrZ1hjdG216000201800200008100080G0001010vgJ30042itl100200e40WY0 +000000C4GGK0GWq1GG0801020YG006082840410084K080820CG000W30u00000000OG4GW0 +0W01Gm1600110Oe00hjP0JG821W400XG0W40W010844G008W0042000000HH00000G0A04Gt +@600002A10000000KG080W0Utt0020020G040C08004000A0W2GWf2n00004G0010008J9R0 +0G014000LlRm@@600G0vq@4wVrWb1C00W3qm_6K6l100W0m0000X00005MOkYRa_l1000K00 +08K@V2FTi1004ihtO009000G1WuoJ0000OX@900H0H00W8010WRxDOmU301308000eJO3wJs +0O200ldd040000W2Y0024X8000WG00002mIBa0002y@@7001G00C0Ovi4AtF1O000000Y0G0 +080040GHG0Y8I004G8h@p0060000Gqo2002CF1080G4100kUsWT7CG000000803100JkRG5v +600041YW0GGARaxz3@NPmYX60H00Okf4k4tW@tCW8W9GKdCyKl1m0000000008b60G8oMm6y +9E39iz000000006@VomMxI4sf70000lTtWXtDOPU301W0Cjf4W020Q2q00004twR0ee1Wu0D +eGU36gpWSR8PkpDsBG22m804X0YG2104_d18400800W0008X00G404X800008GeWG002W82W +10Wm414G000W0410000W00WY10000DZ10G410A000Y40200W0YG44GGGB9q_l10W8YK00000 +00Wm000X00WPhD8el42fW400080X404500Kjl100WW000m0022euR30000G4H010G04Y0000 +5W8402G04G0iol1A1000000000r601000eG400010a80008080WX83K30100001420084000 +O2H4041W0M2rWVyD0404X018Ygzb0000rj@60200eB@4004090000008b014202WKY002000 +0020Q00800HW2000400H000000100W2012001G4I404K0808HK0G0W001000Ow0A0W4WW00G +810000800000Idz9000a2000GW09820G4e0000a2WHwD8EuDO20000Y0gFV6040m104000WG +27022002O00881000r7l1rrQ0G0000G0W0000G20000003ZY10282CW002W00W000meO00G1 +4000C2C00W80400_XbXhOD8G03000W109Y88V3ko0ZY_I0040qpiCijf1008W4C0020G0Y02 +eyD@6000K0G8HmZd6C@l14222001010G40W00000KK880000O0004_J0G4240004142W00GZ +tR008G0AW6aK04010100G10eqV30W0GX0m10G09mpjUacl1@YmGDlC02400208nJk6CVd102 +G06kb140000008Y2m00W004050_@m0W01980000G2W40a1000G81000040W080IYsCy9e10G +200008CDb17HN10W5W8mDOW53s@t0mM302XjM00148406002HlR0e0ar2i00WKmM80CrY180 +WQ0000W1Oh9LE3Y2817mEB00W0P6ib0WGO7B080040W8nJ085000W25080002W024m00m0zk +XWGmMB04E_3TMT20km00KG4K1008Y20K4z3Cq104m40e300m604fJ0GKZ0We6100000JbiV2 +00WpB7t0080180000GL0yFE30eB4000PGEH00HC0W210W4hF104J000c0C100I200syF10N0 +0C@0k0_1P1W3y300e300mS000m800YL0J08Wi0m0G000W000820foP0k8@We0C8xD30BQ0aD +d1u2m0i700a9Z1HfR0mF00040612a0000S70WvEmF01mU04Rj1NDtoItv000WWd00Gt_6OqH +0000y360C01082vyv100800400_2A0bF00qKWPH3WMVuCKTMB00Cb_QR3G0G0rZPGdwH57@9 +XzR0el6Wn6Ih9ER000mgJ008EFCYKmWFsCOJzP000G0210000GGcA90G0000G0npx6K2N2LQ +RGQw6yol1fYRW820W87C000sGAn684W08aB3_ggY1rJG000Gvy9qgk180000004G00001400 +002Wgrn00010084WjZP0000100080I0G00O00104q4l1WW8a00001128000ZGlz90Ou4uzI3 +G010W0100G40000000G0G800aG0000WW0W0000W080010022801G001200G0e0KfX6004001 +00W00800080FyR002098100hqd00XG0000040m0Ugt0e0100010X101y@V200YGW0W00B028 +VU300003HA0000EW0G0G0pH000G040W0000WMT1000000442008004G0GCVV20G2465BX9wD +000101m0000W0a1G0000WG000X000004G00G008I020W02000001qGnZYg0600u@V300H880 +00exUC00W81002000440G210W00P@R0008WGzDed730040W00100K0HFYIyT@3v9Y104820W +80G4G4ANsWw@D0W40000200200G4000800qBi10400010005G00Y08m0W600000m21W104W9 +jDuDcMI3z1000H0We1oHWX@@P0001nXw6yOk1lTRm_z60004fEC30W000W001HH4mhK6W00W +0001022a200GiM300000CapS200402jyazemeGqAE2LYsCVW000G_z60024eZx4sxt000Nov +6m00000W0W8030020CaxtgOc5F_QrWGuJ8Hz4g3d180009VO000020412Y00900000kD1004 +0005108200000YcBL54000XBeoTb6W0G000YGKbz600001100000GWAUD00K00001000GC00 +0g008W04H410a80HGG4WKa0000GW30C2800m40C304180G408IG0G4G0yNQ80002l8d10W80 +00H00WW00HQ08pkA0i8414H0210WGEX6004100442008Y8xD0HX0000005200810YGK0aG02 +80008200202301044000yt300KSLO36Zt00100L8_mSnL4KD3ZpdG_kO00e2G204000H000W +2Dvd0100G84000120woE1000K4e808080GY41W0G929W2AA040200004w001W00a00YeW000 +100WA01warZFwD00I0001WmUSVW0G00084XBcte@D3cbt000080W010802Szw30100450WX0 +001000Mrr60000m8000884049080I4200K0044W2000L5XRaTe10300000G4PV2K020000WK +Bh7008W440WafV20G0080001W064100mN@6080000GG008GiQ_D000G0A000444000qJ100e +0004240200001G0G0W200008002YW0201nf3LG0000004C101WYWV00X9GZlX4IQ20G00000 +G001G0G000000G00800200UpsW9PD0080H5i90MK28kS300300042W00000G1WKdP8vu766E +XKtP00G0000WegXt0ar00G0b10G00zTd000WCIG00GI4004004873PZ@W1Od500GGY0aI000 +895040100W3008a91060fG0G10000GetuD808b0W3G2B00mX@60WP0000808B104G23vWB10 +0830_U20yb8i300aID30000bv10000cn350000uEY0uBs0mTD1WVIZgUL3VV57wA30_zL0yJ +0004j260Gb00000_1WOrJuIDgGS10Ga1mu2w400002004n000i2a100a502000ozsWinD0N4 +NGsu9GS000uL2000C00G_1m0a1i705G6008CS300000a108rHCS000a8k1B_R000c000m0O2 +0023d4P0000uXg0uX0q9z3Uj00rD00KqU58200763c0NtupEg00ySyaj7pTPmntCq@l1JQBH +fT6ae_67Z@mswCKzz3W004AzF10200WCH026t0800W0000W02000010084mdv901W4euM6EE +@XczJ0040oXujC2l7f6p0000GK100nm5Oa2IKsV2048000u1Ful12W000200Cdc10002e000 +Cnk10W0AW000zWV24010kM9XVtP000W080G00C000G00UStWgsP04040800YO0Iu2030G000 +48004040040000G4010041000W0001G418W00001400W0Qzr0G3D00000024GC4l1W0G0410 +08G009ET608W0082000eGm7n64tF30010000G10100004ulP64NT280G0Yk@10W01rtdmjJ6 +0G00400200OW802010W00G010000001OG018004G0H800WGW04000WI400000W04G0G8WO2G +0HG0W20004WWW2W0004W01010014WWG0G0000120WuvIGG0GWW1000100010044404cl100n +000020182en6300080O00ehu4o8mWMzD0W0080W010000008e00C0880402824C210800220 +W0000OGA03400WObp60200000TF00000444W0280K080101001004040WW00W080Uzs0K014 +0001800e0100ecQ34024r7N202000502Ckl10801AykYp@D00GGmaxCCKc1dJpmPq6W00Guy +D34O080m0GG0000Wj10000000X0002O000W08Gm08000CG11t@RWW08Wo@D0G10000GWw_D0 +0K0GD26avF3000U8a00akh1@_VY800010000100soYX82b0000gL18WW2buWW4UZcXN0OeFa +G_@F4040GXvR000200G11020Y00I400200013Gl@C89000019vkHLiaE35YdGMv900418FkS +QuF1W0W840600208I0H000W00m00WmdOOhV60Uu0yiv6bWdmPhK100W0044mxv90W80ybK90 +0W00000Mn00mJeUyal100W80004G2008JlG2zz4004Y820W90H2G4H8200G400200G2H0W00 +0WI042w300cT00W0yZ@3000GAyFXVuD00824000000IHZunmTg@G0G0091020010000H420H +WX8Y82000800G6xC000g00000ug401000xxY10m1WvwDOrU380W00800000G0008Yjz9v8PI +85P00280WKG00eW20W850qG10428G0104W000LbL6000WcR000WW0WSeDuT_70W00aMZ1PbR +mz_602008C3XIfE10G482000180W084000040G008000040e8108W0000AhV300Oq300W0G0 +G4Y03WCZb08008000gewJ0X08GfR64R76rU0psE90em00400200o048W000O008000000200 +010010G1000SP10X10yd@30WW404a004098S93W00010W000082080e4mJutsSgEd14004x4 +bGQ_60I00zc96W080000WGs00GKU94_F3G001W0W2O000G00W0085G2W00LqR0100W2_DuIX +V008r000GIB0GG2S9O0sQ1zIGWGON4004021W1000m20060RX000YsGWK20009M0W0041E3X +RbG3k901O18hS9Ykcd4uIG3@V27gw40_@K0yJ0Anl2GSA0RYw10K1000m3U0GL1000000Ta3 +0000wEY4kB200400C@0G0S19mb0p100cV000c0@0C19102O200a400WO000aA3000000Gy30 +000itzYFSNl140000WA000G1004J000k0C100o2002eBXom7hW93kUF4500WJi_000O000O0 +fcNqRiX08Y1O5jPIkUcm_VuHQ3Ujb4000Oq604UyyXtrD0100G5TU4jVBpaPmwlIaQR80000 +00466yUWTR62Om404000DSp000IWqkD0110Gvw51000vPT6E6_1001000W0X0100G000G001 +800WZ@D040100W0000480008z700Kmj1pk3J_mF00140000nj6Iqm_6W00001W021000G800 +W00WJxDOYU30100iyc1210000qJBa200G00WW00WvoD00W0W002W9yJ83V3Y8FX3rDOBV6AK +qWxpDebOC6rdX2RV08WG800003600rfR0804WipD00GG20002000D02000N104V@3zt72000 +u4vPOcT3W002G0000428mf_d0000ifg400W00021eL33kfd10W00nYRWK9a10031DHb00000 +0804RzdGOe9aL13hNindtjq@T20m00m800yA@3FLd000j10G00000WO200Cs@CtmzpdsO4Rd +100f0sSs0nIB100G0_Jd18000lSRGot6i7U8jwZn6i@yy53A8C0wK9110G4000W28F101009 +WxH076qiPN010000OMVml1nmpm6O8TDlAG00Lknd100WW000M1GG2S9l1W9HM85200086f3v +408028200O@U3oKFaKva8M_MwitWdtb85U301008001000058141G8I0G0G4Z2rWzyDG420G +BHa0002e7mSsbF100100W01_zN2085000021000008GbKO20080W0kDuXR3ohvX7x311I0mo +R6apVB0205o6F10220fONny_60i501100mHu9CL6C00G210002C00uqPR00G0blj1DvPmi@C +0004a00000800000Kk5071CY8120W00008J000WY0A45n0Ys@40e004224sWKelPDuDe70W0 +00O0G000000WA890Y2004e01000060w3E30240Ky@9G008g2tWrAd1a00WG81WobhObS3008 +10GW00B00WetdUIm8I004004200GW000G2Gqn6aAD9000GIbJY8lpn030eC00W6YP000KGrv +6WP000000W160000W1C000AlYD0J00000csytWOtC8rV6K0000Wr02323000w90n2uEW5WLW +V0ZWi02041Gcw9q2l1Pe6IgSLiY_C00OWBzd100mN0000m@p2uB000qm101y@C2_70_NLLm@ +y0mRl1SkU6000@azU5L1T20G0eNmEfmr7swd1000Os100M6Rcej3fSS6M4dXS0O0080mw66a +zj1HOd00G0Wd@P00GlHIxFy1V5@t2Jdlmibk1tlZ1Ws6Wktqg0z4YKuXPqDObQ36oNY5iD8Q +S6m300ye_37qBHtev0e00uNh7EL@104200000G040aJF60040000iTBF3BLBn7zFC9k1p7NH +RyF0100e4oA0WW0Cg8C0z40gfNYKKD8qT3oaBXKQDenU6wUFXksm00WWqJPIO4008IX70W00 +4Z@3Lzp0000Ew200X@dGI_I00C0W000GDv6yOk100000G01SL69J9II@pX00W000G7VozI00 +00SMwAscMYRyDeFV380005z@3000K6osWp@nOLN6oGNYNvJ0Oz3mDaaCAbAHCBnBROq_x3DH +@mLQ9000Yrh00GjfNDTT8lPoG3tIKwU200odIwMY3VVe2FFoWt38200XflHcwFWG00O@_A0E +W0C6xL04000010Y802yhz4wtNY97JOvo7001000W00820Gsq6WH00O@092fGe_zD0810Go2R +000WfS160GC041H500am2vtWr4lY0G10100WkMh000040W80W45K0420GKK000800490GR@L +0Q00ObR3404000080K00mdzFSwHE00I0000W000Ge4RC001G204C280G0010WmrVuEV6000W +nC0000G100004020000G0UkdXsnD8TRR_xp00W00xyZ106040080022010WWW0C0a00024K0 +exIh00WV100000011NyR0020000208080ko9100020002000Ay@FC00240000G0W8vqyDWG0 +H001002040W00840G2vA@m@090680uqm4800G08008BW700G0a1VBXz@0C10WfYDeav72Rp0 +8b209WR010f50S0II100_@t00W40rDd0008Mj2G9FiQGU_60400m085oHzCa2W1G2402vo60 +Cm00CG0_uV6u0000urN10E0Cvh1dQJ5084000W082008200SKA6000oJ0tWAmD00a3GDNL0M +N00000000P00000a102000049k1Pho0008f4SDeiSO0005O6008vEXWA00KJEOlU@30WTYnt +W9s_4kqOcKyJ0010m8vg0oH0uQ_JgWt0G008HuEJ9Hsa5M2k6006S@aVS3vGuGICFXHuDuM_ +J00ad6GECLsRG408a0MExIZn34I00Ot@muM_Jza4pD8DxD00400200u_43G04041k10408MB +J200000uT0IG@XCkVO7S6E3Zd5nh00W0Oq_6Caz6000eGB00qyUBH1WIlk9qly6lfRmvv904 +00200100G0WzlJuPT30Fu0aiU2b1mGarOKq1FDkP30004y300nAdJXrv0200OC_4MOsWC@t0 +0Hq@RtgSZE3DXNa000W@q91Of3mArganoFPzRm3@90008OPA3YJF4S100tiNnd3X00K084UR +waqWUCC0G02GwYX008_vzV9000YSwj49hpm6T6SNuC5zp0800WvlC8KY7MyN2Wt30tzNnJ1C +4gV2rydmAyv0H000014Ggy6000Y00W0W00000850XzuXr10WLPEPK838100a@VE00W200041 +4G000Y2000100060200GUwF400xo7@h2Y0402G4O000ekP1Z8FFX0m106G0GA0000K0W7wd4 +mwC0J@N1mW0WmzV011080G0W8EOucNO000Go80002W000008W28208228000G500eV3F000W +uF00OPSC0040SeF3zxbmsY9yhFCRtRW88A00020SWeG00W0m10YuYgG0GWI5kL8@@R000e40 +00IQ1010000asTEKL500G6@3W8Vm3HdfgYEJP0TcoeA00GLLzql7WFE0gf73Pk3000W824mZ +@@R1008Y1000383e0G6G1W8W20H600YE0P000w0A0d_xH_nC4n@34000E8v10ew0vWdGSz21 +000q@V0mFIR4@l1002qIyt6000y000CYQdj0xUBhx7wTt3000Ow200YH@XUqheCz46UGez5o +i2V6QnNYctz0ST0GYxFqcU5zkdm7fjigHBTjBnRy6000W1A004004800a0hkBX008Wo1ovrR +601m0aQz392R0020W6vb850900KTCYz60A8061FXXvJ0000H7_6040WurUI00W0Tlc4ZuZHU +uCC0V20ZS002000400wIR36xd10004xYa0G0000004Flp0000YIm310G0GryIa5l10100oC@ +X9@tGq00m0@O0108uT_AIJpWgS91W08GONva@V200oJwid10200L1DJ4RBTvV52810Y25fwy +Rv8TL000ma9c7hMhIFs6KCc4dfB40WmpmzU8RwAkZdaKzVuO@4Uiyas_t0CS3GFxC0012utl +P0004000410a0mPxF00Y00100G2rsaz03xzd008000G400H00UWVcwWn0020mvxOaslA00UC +_ZdXS_DOd1RYzN2000KdBM40H1W@G4wbV3QcMYJLD83hV000W@TJ2rlOGg_yC_l40GY0IAOf +d7u9L@40000bvV2BxRmD_6W100eJRU02O0iHk4thmp0wC00y0000SYx00Wmlv1000jn10W@@ +J00E0000WH400Wh@F30_0000mF_2mNJD@108205fy300ktRZV8XSFUHsZy0o9lD53lkY4i31 +000YX00WSj99Rjz00m1sZE6Jx8qWSRd3l4q400gu3ZsvPeIOX000mqvT20W01gjd10e00xip +GfxF000v7001mTx6S_l1pgp0000eUuC8aP60001yNj4Vi@00e0Wasb00008000mxrPezS9_u +dXJvJ0452mVk6i3D3pzdme_90204OPN3ops00040hqN1000mbjDOt_A01G0acW100018000W +000e1V3cenWlqD0040GH_9iml1000OvF0WqbW1dIRGb_6iYV20X00wwtWr_DG00010000821 +0Dk_mhz9Knl1j@RG3@Fqol1ry@mpga00GESyz4cV730W004240c4d1W004hd3ptCI0H04X80 +00H01WOwPuZyA0Yr0K@_9Zi5L7vd00020@0200G0WZzh000Wua@6W0KG0G000001aw@D0Y82 +mSSN1H008cR9IKrZI6D0H100000000065wTruyCSyV2Bqp0Wz6Wh@J8NyAAwtWYgD000WowW +@4CF30W8Y001W0400uex400H1qtc10000G200a8T2s500_k7ZM7CG0H40204000OW8400080 +0adJ2brtoHJOasl1l_pmwyI0007@gS308004GG5XzR04G0810GG04GG4H40000Y8EGO00020 +08000a9GmvFG9000820m0@FG00091y70so0y@l70G408100G100040Q6000018100W08_Ep6 +2020Xzp000WWK0UuuU3Y2u1000aS0002LU30000W20m0G800000o90008001W1002380_gqc +FJJuZy40003S@Q800Oj@@V304C0HDR00201WRG6O1W008WW4TzCrgN100LW8@b00HWGw@I0Q +t18QtG000408WA0W000Y80G8000004WMxbddqDe@V3I1uX6oh0004FO10Wgan8gL30004J00 +00e20eI000Wt204000wMS6000004H40000eW20O9_4S000m81c100mKEwC0004Z00000O2ey +@J00G0NMrfbxz3000G800WG0H08yx7I0N2GC10pGdmrxIGj30000WHEu6000G210ec3G000e +30HSz6S10WkyD000KrheLGl1Y10pi30rl100420WX0q000uV_1OZTX00W0yMl4NsN100PZgm +732GGmEuFatV2dKJ2uq7WBSn001WmGrsCZB9x@dG8CX00004v00mzvO80108fWYW01GaGh4L +ig20WMe4wJxa@DUJ_XhuV0C42Gyl2TAl1DOpGNzC0A000000O4EFql43nF7YK10YapDuxw76 +MF1010GNepG7w60280eMCFImFXfsDehT9000Hy@@900Ygo0tWLrO8uz7EtJYbuhuvQCMvt00 +G10VfjHs3U02y1OVULshF1004GPF8HFa@lFARvqdGCHj0wz0OUrq6Tt6000mY100wXri1@DO +FyPgoMefujf9@M0X30iihPfjyG6Ej8L008ZNa6Rt30880@wQ300EnAUGQjoqQwz@AI8P1yA0 +0yKjgsI7N7808FS1HAG2YYYe5A1mBX41HWP02yW0aETM800WW1X7Xc20200G030W0d300TSZ +7000S500GAG0WuF001_A1200Gm1030008000H0002000a@E08HI1mWmmZ20WT@Svmqzl4hDc +000WHc000zO@VbPT900G0W10G709auVB00Oh3dVC04810X8G480004Y41100W090W5@RP6ne +0GE400S00000G306872u00Wm9892019I200004aW0000WCrJ9Mzt3C3007m@V1_@dwJZ00MT +YdZJw0w3000001W0aK0fVgx400GWNnZQ0Sa2b7ZYVnuQ_GIyF100xerqZnOzdqQVE95e2Wl6 +WT_ofU@G2gtWS_@10006Q00Wv@Z2040m3z6COR20014oe5couJ00G1Og@j01028IT36ytWsz +b8Xt72qdXzrD8L@7Uxd4W9C0zrBnt@9CBl100080080q0W1000W00G4aww60100w8810400n +zRmko68000A8O9UlB40008i20GEhlYtqb0001002008080N2l1001cHAHwa@4000K5x@6p4d +G2@6Knl100084002ivA6HAp0W01WflB2iN1mg@R00a0exQ382080000000WOIda0G80eLT3a +800KQdG00Wu8C00qwl700e80048yYn6@@@0Z00020800400wXsWce9viVF004oaw@600X000 +04LOl13@f2000G00Y8B2mmjx@02i0Or@G800100000004q@@a0W900W82004200080800840 +00222W8OlVi000qw@6K000GW08202G00410080W@vDuZiGG2GG0H000006rdc510WH8r@D00 +10200Y08410G82XusDO4@7_@N200WK002C0004HA5000082eW2W4gP8qVR0Zl0aJu94800_@ +tWe2y00W0003400008f@R00AWWI_@1000VYqOyUF3W008180W4qn92020W800000a020m100 +08G020xf_300efPw31044GI@9SxO2rCi10g002000402W6t8XObu10000055WRy3100G4090 +WCPF10SA0200mwiI0e000008a0wv1000bK00We@910CGKScRyAW400000WY2aZVH00iwo_V3 +0014000pytT00W510070W@10W0@910aT000g0O0416300W000880089@V09@0SJj70G08000 +O000450082100WQR8Xeg00qG00F_mt_G5000W@R600C9rVI5oZ@@D00@T7014W1un30mHtOh +jCLlAZwBHgvLCul1D5v1ew0WKq9Px8FcgbdMa210004Z10Wu4AAVWPcv_400n6f3GrwOL4OV +EWfP02m@Xh_J020WmqRFSvl1lWR02820W0000G00000AK8DOC500whcaDtD00G04000000X0 +W0208000KiL87Szp@@600W8A5@DW0000000_dv70G000e0018280001eO@PeYxGYPF7mz20n +k@GYaR0000wT_4siCXdX2Pn@V000mGRG088@4gsh2H000rbBnd_IS6d1lcJ502UqwbCe3Z46 +Sl20822J4c0000ZO_Dul09_2dA0000WS600002ivA983W440080H0GexVCstpWcifoE00mav +d00W800O8o_aLymM8@@p300ZU2H00TPW1000ZGAC8KI3W020GeO000004200400089Po0Y00 +Wf7k20000mJ00000H020X68S38000G400K10aG00201WG2028YuEb0008200200G201sJLIg +6a3b13JMHgM6qxl100a0850002e0eTK90082y@@L00WlsEDX5@zOqP3402WabP5800080804 +i@LWHI0AVTZpqCe6O3W00202004000000X000020104sQzXxRGQX09KB00yJS2G200_@@1H0 +00bOR00002009920G0kNr0001GXOMnnhRy@VE00oV0G00080O8HtD0080001G08W400000j0 +007VJIS@E1oU1Ont4yCG0y@@6_7G000W1A0c@tFsC30cv@4lV8WS307804nP8f_FJuZ6GW00 +uRICG0000Wa00001000C00OK1m0W0C6004zh40G00000Yy85L002Q_@t300mB00000me0IL0 +000000@38200WLg200_@d70900@@t20a6WY9Ef9lV_etWKjn85oD000m7Bi1RsRmfz6KOx6D +2N1000YOnCepOIAEl20002DDk10WxkuhJepHCIns00008@xk110GWi_r2W13mbSmyMsL9fk1 +k10W@@zW420Gzt900009GV6kEIhv@P000AmEXdiUO2018W_@tWkhJe2Im06u048e70GG0A3X +1W020FOoGJ1Z100WWF00mJZg008000G0nRpFCg9O002B_@76H000000C4000ap3LZ@NXWm2W +k@X1100000Y02m10baQ6000UA100roto@t9izfGbsV20WWgyxX1G0000o0001400008pTrCG +e80jxC3Y0Y4W058fO9HYBNX900eh@M00ha0002W41WW2000I000DvE600mltOj1K0W0249W8 +VD30U0mJ@p00G00020O_8c100W8idG_@@10824BDR00010Y100b8FsKi6yqVB0400000G800 +0egySEE76Wq00xZC3000I10000a10omnCc300Fz3300u0141Win60DC00qVzO002aVw764L0 +00G0g000g0004DeRp01u0iqVB00WLf20000pg2014GXuuLNkJP2dpy@C00Wfl31ZZKWyek@1 +00DSjLgCZvLB@728N1WjyX140018W0WFCAAjtJu400aQE93vd00008004Grq6oG@B10OCjR7 +mY3XS00Gqw400A_@aM2DhgV900SBkAXPLny@RG46VaA000CYE2F0UA0tzt2040WKK1BmU9S6 +00KSLB0100wxLeD_R10WztU@j0G05esAv0FG0SulA0WW8XW00qGoRPAsYI0000m0016ZnLM@ +3Se0OfmMC00000P0eRDZJYV900q9Lm5rA@6002G8303AytWE0C8pV300A0q776G000oapW81 +I00000GE3WMyn0W00Ou_I0G40CJVF0G0000100008W040Wh_D0010000802000LsbGCsj000 +0LP00GbPdKRD3rChIYv9ajN2naBHD0F4fG29oR00Wkqopn0001mpwIi2G5X0kqQWL0ST1uug +M00100004u3dYYYdXSyPeA@4Apt0000u4100YnB40010hsp0W00Wpy_PYqDIuN200nZH_rIK +_6G000u3_PkxUZb0V8tT3k3C10S90fcxHqW9CuV2LxRGozjKSFF000Gz300aVvOByp302vp1 +p3104100o0Wcus3ya1mb@saK0R1aQpTzmaX6F00kugyF40G400W80Iwy10G00f0c6u96WD@t +00Y0GOi6K_F3800000024iVBrWQ0H40WuCjHb00GYYa000WAwJ60200K3@CNfQ300ro5MdvY +N36WK50002Non3081W@@z0004qoI90H00O@VRUUoWazp1L00mFAU00G0004XGv@I00W8u@VL +K20000408iDR00aAliy9G0I0ktrWvgI88kq01B0y@l70O70000HO60LDz@7cV060008XjP30 +002I100te620K1000A000O2A7Z1Y00GRSt80c1WFid7004u@@6020GuVVF0000Dwt6bonmV@ +FivF3LVQ3021W1zD8QN62Lk200AgfzQJXli1SD18szGA5sZEIdvFS9IBcXllJuzR3000GOI0 +0eKFFEeFXCwpP_rAYoc402008000008j_@@38W00MDJYsmP00G000a0Wm1R9Uz7kvsW@zP8@ +v7cvtWnbI0aW1mS@F8000e2S6s_sWolP0800Gu56KsCOdoR0000KU1003XVILujNs_9fvdWO +v0W@@z00H0W800W@wgBmO3000WcVi7jrRGtnE5yy60010IzN200R30020EL7Z8pb3200mlxI +0kc0W000GxcRykc1L2xK_NRiT939XP0000UR208nmv100GaOuTQ2@D0500CfR2Zvd0009020 +10vw72084WtJYwHEL0w5WKEtLFCEpdV6y8A3XcP0W40Wx@t0400002210001vPk48G1Wna99 +AW400W8EHi1XlNnRc6SjyI80000000X890e0EC000XW4008AW70sL4H2208gSF0G00000OeO +Vy000G0D00u@VFS2000Wl10Wl2m@@2T3N8nkP00O2aplP00WjsgSUC@l14100ozTZ6s@VXUK +100cDVy9@Hj7mM3W2SdCfW7q000KvaAvpFpER210OXhwPO4020Ce@6RQI5WY6W@@t040Gmsy +9SLjDlYB4000Ck300T_jHH@94SU27__GBsErlV500mdZNtZXwae7Ev00N0y5891D5rK@g000 +YLu00mB7UKXQW00k0749gFLd70006B00Wz@z0800GlUEzjFC002EoYXaVUz3Sf1GKFPcSL2I +200YXxjL@XvJT3_@tZLuMAqVR01E0SAU875u7000WjxmOvV64204CawU00iy2zt300mQbR78 +m26Wmm31008JT64ky_INdRGKz60020O_SX00OZqOACPj_pTpU46U5WPT0I7mfa2ufuxA0000 +XP00ugwMQd9mryBwiRFcvV60w60H5aMMxs000WBBiGIAl20004nrlHOvO8000e5sP00ePDvj +D0080w27ZpkZ2Gh0mM_T1W40OZVX0C00KwlM00W8s3qcv@P00nnH_f@30Y0OFPX000GJE008 +fWkguN800jgBAq508QWrs52mI2Gl@Q100aw@VX0000Z500u@VjYyk800CJtFhLgy6002GOe@ +V00@0aBaM9rQ0030We21Bp@P_@t081000G0Ga00108K0ueVU00Kh51kM9cRW_x7050009zp3 +WP7Wjor207000003000u5z@3F00WAm@@RrY0TV0y1jALNpJZ@C0400eiu4EyF4000WU200QC +E48000LNlHQSsqgS29V720W1okMAAqxk0x70q1T80G00_5sckmV8zQ9Umc40008K300AMFa1 +apPkqY00iWyWk7NedGOup4fG8@@V28u6WRzzebS326sWePcvEUX0000oI00utznMe_@4k@@W +y@BO@@1w@FW@@hoNkf_p1io3GDpET5w@Lf_Vo_25gU8G400_@76GWG00000wHEXMfnufhJ00 +C@rLT8nmpGhw645V8by@GI1@0MQ1OSjG_@7600e0HmPmWh@q@l10008ZNF4W000Rno0800Wz +OzulU30A00rD630200cid1000G20004000qoG2000WQyt00802TL@00WFcW@@1011mZ_CSQE +3@cRmBt9yYB3pdNnSkO0i10u0zMEst002WW0G00wctWTmJ0100800000G20TTR0G00008000 +1W0wMtWe4Iu@V3G4200040eXT6UThY85CG700GV_g00W48CS30GG0irW1000G00I0aul1nlp +GcmCy1l7TndGRy60012ez@700Ol@LVNZyN4m80WuOzeNP3W8000G00em13onNb@@B20046Z1 +0WfodfM1s008SVnhAFPam3w3lilJ000OG800KuuIjMLKx@F00GPx@@D0002yck10G4000900 +0209wT3EVtWEbn0000008We6TiPy@A0Pi0y@l7Pm_GAkaG4000G00mSN81000Ka00GCvjCcV +822W00030WW0000WOu@@510Gm@@@G0W20Sij10220_@d44010pwBKz@C06M18uzGYJtW_qF1 +0G2041Wm1tTQrPI40000040e103UnMka22100W70000@N5WE000000003m000080L00WAhtG +Y0J0000WOyN24m1mh7X0004fqV3000Wq1W1lKx1LL1000m@RzlKjVorlD3TtRmYuU00Oiff_ +YEsd110G000004001S2U2Jc@mtmCG1Y08FW74024K7G2@@R0u50Wz4F1200Gy0Cq5U508000 +W01aKW10010wAtWint00080610W@@J8F03QEmWazPW300mmCd4F03bcp300WXAit008GGx@F +00eRu@@b6Vt002002808QTt002G00GG0oLtW4uDOmz40A00K1a1fd@0000G0010Fld00100W +rA0zOgI2z6qOl10021IZtWOwD00W2GWy6G380G80W04G0104G1G0W000010002G004W00100 +G080W00G010002210040020ajlD0180GBs6WW000100W020042007ed0000MT0003NOGEwFa +Wl1jap0W00WDCCegS600010G00G00GmH69040f008G008004eW00KG0W01G0018014G08G00 +001000W050008021004002040W00000a0Exs0G00080000004W000EJ_4004000GjFsR3gzs +WBzP8xR3A@E1000W00010100a5W10008G00100000420300W00W0C000208000WA0000002G +WY6tb000Wmw@C0600uwk4_@t08W00V_R00G0WJ@P0O60mdxm4tV5V_@0W28000400W20001W +nC000000820010X0WO0001000W00GyTW7Qmt3X3005c75G000000O00000G4010300W8000I +aI08c0000002X20000G8028n00Ws_F10XZSowE52l1Y0000G00SVl1V4P000020080Y020gt +V6GbB0xy6501W080008804X0G0Q000002002G000a0000820a0004W8AZpM0000BC00ehUd0 +0002W124Y1G01H4HGHg8K4H4H128GnPY128420898G0G40Y459W0OS6gA00O53rt900G0020 +801000We0000400208a8I00G0W001IWA88H4IW0Y10010460M0iYyN50GD0h@JrFS6000a20 +O20000W60C00I1GA09ydgA000WJ4mWPFeAiDCEZKb@@D000cHi7KTeR20qW0000200320008 +G8i600G0a110m@@j0w91OaYeMRDXKYDO8R30020KKi10400oMDXa2E9aYe020000c0vZO300 +1We6G00Je0YQ000CX208000I181e0009YV20WQfiol20lK00083Lz0WcfA0Tu30A@00qr2CZ +y3eRHWGLc1mxG4WT@@lAt_Om@@E1G000m4W003010S06000C000W3000K000k3m0G1W10f0a +G_ng8700u@Vdi1Wx820rd0RSD1UO67KrC0yXP0egL1GLp0WNBWPKD37Ta0cfA0TqH0wQ00u@ +@J_MnW5IjCnx40@m0az0L7XRmi_900004000n6rCq1W1pxlHmsC4AeAN_tocgjSwF6@JR00W +XdfWZ2001mmQFSXk104006ScXK0Cu@VI0zC0y@FL0G0100W00m0486_7_dDXe0Du@VI000Wh +Q00OP4UAEt00G010020wobXQpD0041000WWcpD08W0Gky600002001GS_6SSl1J9PGOw6008 +08o33IGF100I0@@dJbwCysm3NjdW010004045YamSU600010W0WHpz6Syl116OGK_6040018 +00msxC02y0OSBUYgt000W4400OokdXi7C0W88m4o2100WEMOFAZsuZ36lnX@Niu@4F_@ma@B +Sv@1R_Fmd@@Bw@J@dfgrMQ5lYs_PWa00mPYgK@z3vlzJfRd00WGEkkeEK_a4_L1qQ1G83v4t +j77gbmFxC800Wu0QC4W00iSE3J_RGZo60M008_Od41020800g@D300G8y@l1028000GW00W0 +u1S304H45hl1W028QfrWBtt00mIJg5@yFN2@@R000G40W04zlP00140GG0W9tR00102G0100 +4100140010WG4H0nFv608G0OWT3IXtWryD00W0GIt64Rk1Wc80c6zdcRPOH3dwWx@Dy_F3m@ +lGy@A8@V2p@Z0z@7K@l1s@NmzjfwtORVIOE00aacJdcXnZu6ygF31JdGcqOaQk100IsUIhY4 +gzeew780008000eVx7gNl20W00XUpGiw6aqE6vjd0OV2Wa2c1080G8URarL51wXH_vX000WH +H00mpy2jaU2pLRGxs6aYj4dcR0000qxyDu909EucXPzJ00GWU8UU49G5@@B1120WUzPeOz40 +40008008kU6kNtWL0I0420Gr@900888Cy4okd1WLC0HwRpzXF000W0100400000201HA8nXv +600G082T60C00000092E32Ft0W400008GwFt00030vmdW100WGpjvm@7008100808wX46_t0 +0080htBn@09CQn900KNE7qZPCEX080Gyuy30022n00m@@sS439fk@@fzD200W7P00mKhcbvV +E00UP2fGKG0B0XtQJihjazmFe200_@VcaSEv@@Y0040_@lDO000_@@@@@V0@00Gwjm000000 +49m@@@@@@30W20wo063000TD3p@@J2W008HQ9Ue6ZyiJG400GZt9000au@@400mF_Ca4jq1J +HtFG00GOPQ6WG00CVD9D1amDr6010G8FW40dq0Cdj4HWp0008WXoDe7S3WG00yRj14010W00 +0CcG2000W0004K8_30G000004qXj19542041W3lDeD030a00SGc1000Or200ixz3H8aGvs9i +@j1t4O0400WKrDW00Gmt16apD3G0000G00W0108UT9oH3ZWv210WVw6SvqIt3did00800001 +004082LF18040vYd0050mYqP0W00wexCG000ePi40000201G0W000GV7WDwX10200100000e +000G000W02000Cbz7oEF1002GBOR0W21WGxO0800mlT980009xk7ER@1000aC300_@F11020 +H7kHTU6KeN5jlbGm_6W00WeyU308WGCgd1e008YK8XAsO00GG0004WMyPOmE3Ipd100Tyfvp +mX_94eV2Z_dGX794GG2@@d0804Y0_b8y_400W08000Oq_4248XQHI8xX7AtdXi7C0uu2Gs3s +0004eA13shKeYBz0000k900Wjq9S4nD0Gat_v_@Tslw2ea00O0OTLC00u7St@XG0006D530G +B0@@t5e00W@@z00aI40000I151I008sq533100@@J5008aYLL1000200W84110@@N10WHu@@ +l200Wu@@g0qW00000OeI6y@l4WPB0_n2oGSbmu00GBBQ100WCisG008000040i50W00lbBdh +00Wjpupgy@lq0c00Qic40GC100W3W00003008qQ30800yVD3000Gv500y@@@RXcJbtC0Co0O +YZegAtWoeUuUSI6Et0G000PZp0000A9100@9UIbuaKeA395eo@@IaHd1rMmmEljaK09j3PpE +i64wk4WcI0YamfUuVuGU6saFXL0g8RUC000GhP008DQFkHdaLzhOcl4IrF41000Fkl100BZ6 +NfQEU3g0qWLzJuNV3oWtW_5V0000G1UIi@V2WoM0otEa3XbO3tJ6wcaOT31000Fn10Whl@lw +y@dU@@ex@@v@@hw@@@@j@RUC0Jr0Ke_@3o@@CYKL8_33TJI_n9e700u2AawScXLpJeAS3wkd +XfiJu@@J004fs2NB8000k3xavrJut0Lw_730sC0rNgLRu90W00eGS6w0mWK0Ou@VFa900KN7 +9NiRmsx6S7x900KK2TNYX7yezS3oFFXrkD00W64G00WypD8oR3wycXjqVuQjGsLt0W400Xnd +mch6awg4XsdW020aNsV0800mG@F0Uz0u@@S6ikYnlDuKV300WKS@l1F0OmOyCaal10002804 +0q3E30008IK@1000m8700AIuafZX1007KPWjSPV2Zsd00020004000mFFkFXJ0CO603AmlYb +yVesWb217lCpFvu@G000nbdg1lx2sSwy00WcFrSxdbvdmnbmC00GQLlDcFC00OeB2NBY0003 +_N4003W@@ZY8000082Wy_v48G4nYC9y@VH00oNdeFgeiZ20e3m@@E10810Y0GOOSEjlF6fXl +D000892C1Bfu48e2WdUYYgg0000u@S0NQ56d6EUZPpo100fTXxaiRDIRnRm3Rm0u40uRx7US +3cy0aeTwDwLtWMgR1000Zh10WCqOuzELQTNYpyyuqbS00i4t2_FJOZ1000aOlbO1_4gosWCp +DODR3sp_XBjP08H2mTwET7@6hoxHmtO000WKf00Gosgq4@3LfNnsz94a_3veBHyu6C3l1lhl +1009nsrzuvSR00G0SuU5Z@dGmxIK8W4x@d08x5Wb_Lv9_7YXRujq@FB_@lo@@@@@@@@@@@@@ +@@@@@@@B4VlglL1yC3m@@V200Wti00mAu6CxDFvj6ry@C00eC8MjSIPRcjrnu9TF0KE0a1CL +40000W04qDx3TrNHSy90044u@V3G0044l_3y600AVtW306e103cX@XwwJO5_AUoVZ8xJuVT6 +UoR600@BZylH3_U000G8oVC0W000006W000nq5FSVV5fyRGB@90W20eV@7ubV00004002001 +00W306e103QdlYv_bOJMOsstZExn0000O856qMjDHZwnqzCac_9trdmI_C000gvJ@7UktWEy +P87@4YxVZvztuGU9UnuXRV31mY2mIrO0W40ezTCcUFaIXP0004mXud4HS50008zE00SOUTNB +_mKoL010000200002d@MoPc@A0220yr@38008000Xy8k1Fam0002WRnb0800m5gCKol1W4G0 +Yp@12000G004000080108kV30022000200W0000CWReJ0040mUvIa2G2H1amMyL0020evK6A +ds02000hodmfAC0000sP00mvy94F030G002KdXqCa8jy44000aiQ2HclnleI4wP59VA10WOX +AGn8LWJ_lVCWzD0TlBttc@driG@N3pMs60aP08LWGY6eYjjhOaQg000Wg200eZMFsoFG00Qd +Hu_Ju@Z1sv1000002H200000W8206kz@eyLCC73IpnWE0IG006GA0K45090C0000OQ7AG2xp +RW0W00060W2004ovn0G000H3q2038G402H09G00084H420001I0H8041681f0GHK0a0a0100 +G_Z09044100000GG400aAWG09G8H401Ha40000441100009H440Ha0a4b205H1G2HI0000Xp +Wa80448S2000GGS0Gg05DBz@nQ@@hu@tg_@ho@Vg_@@@Vl000G5000im5d00000Wls@@leWD +G0k2xp@@B50mcy_WY2s_0ecvV_@@@@@D00WcE00200880@@@V2W2f900OMKy_@@4001i0000 +0042y@@@ng_@hiAYKR18vs@ZKu@YZR400qAx00Wrb@FTw@Ft_@on@VSz@3d@@lz@@@@@RR@@ +rw@Fj@@lJ@@wu@VE@@@@@@@@@@@@@@@@@@@@@@@@hY@@fy@@@@@hp@@@@@Fy@@Bm@@@@@@@@ +@Nt@@iy@@@@@B_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@R100WA0000002m@@S20O340 +000W00W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@pVaG90p7 +0y@@@@@FpQYI00G_y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ilE@9T9cJ@10400 +0W104000b1W1@@d000YWY0Iu@@40ej0y@lJb3O002000G000W10_@t00W1G0000Fet050000 +4000W00q0d1001000050000020000G0W@@b0000HS19y@FI0100Q0mWG2Cu@V380W000G010 +00000G10008000400080W0000W0020800e00h5Om61L00OOw@VdY6m00001h8m0000ay2Cu@ +@400080020eEV90082azW40rV0_@VC001000C0_78X@@D0840mK4I00Y04041G9WC0000LO0 +0m@@W1002010G40000000Y@v9HM4Ly@F308A__@NEH000@@x1410Wl2O0i41m_Wc1W400400 +00000Y000D4OGl660100eun40W80qKe1XaOmX690300u@Vm0002X004nW082000H00I40820 +kKm002000400000Gy@V2W00W00L082Y0uVZ4020000etu@@n000m4DX400a06Sv100G024W8 +_@t02000tFc0WP2W@@7Z4283H000001000W42eS3001201042U9X0QC00007610W@@130006 +0040000KfU42W0804100W2404204LgI200G6@@VC0800400010Y3_1G2FZKnGE60004GO000 +002m@@J0mW0m@@Z10GX0AW0W100Wa2OuQK9000081040W41GOD9050000004210W@@1300GF +9884i9200200cA8X@@h0ab0WG8X10801000200G4H200000000Y_yfRP3004m4JCy@@300W2 +0u10SH43@@R0e31W@@73008k300O5u7008B0cR9XUUDuZf70S10Gq1u283e300Gc@@D0000k +v00W@@b30108000G800000W7_@@XdfC8S160000@@F@ZSbGZNpSbL2W0000000o100Oj46M3 +Bg@lgu@@M000C@@VZbcbmtr6iUj10K00w1uX5eB20200GH0WxlCu5Q304G00202Ae930G001 +4W0u@@4400100GK0W200000GW01000000220W4000080GCI6WR00eQQCkaeb@@J040W10100 +00010800cUp0H40041X0400G000000G1000008100010G40m000000W0042G0080G00000W4 +W2y@l1G02X40010W0G0000000BrhsC0004W008W@@B2G00200G00W004000G0000008W8008 +180W8wC0W00000O2W02400210500000020OW060G00800000113GG49d10QK00W00G0A0000 +00Wi6WpuCO_73IseYmlX9DD30S000000Y0000001004000Y400C0ey1U2Fnz000QWs9C84G3 +0K000010O7G3000mOK00uUF3MZBXspaeSlY_@F1Y000@@VYG0004000002000W0W80080l4c +lpW0qhOOFLoqB100G0000400046yd18I4000200H100Y041080WcmIu@V30001jNV2DspWGs +I40G40Leb00008G00WZ1Yn3Bjys830WG0QTaX0xm000W20GamVuJ00G000G000004_300o7X +g@@Pu@932_d1H0G000000001c_@3000H00W0yIP28000080000mHu0n422a101003naJXaC0 +00K4000200eG00GC0000G400qaf10G0YsTK2000G4C2H4202iSd1000Y100u00000yy30G00 +H00008040ICq0a0020002IEGYgBK98X70m4000G08SI3410000HYGW4XOTb6GA00ezV60W00 +100WG00W00002W000xRQ0000Ef6108200GK40W000G40000020008GtUm310001400002210 +010WeW02G2000GA0a40W000810G8X00G000000020810a040000G1008yqp4400490H200G5 +X082048HK0048110000udl1K3gupWB1D000800W4WT_O8tpM00KW00000GXG40G0WpRC00W0 +08a304H4O00G0W5KWy@l103049004X800Ox8602080040008I6000GW120zfQ00V6WuFDeNk +4000400G000X0m9h64Y739mT21000008W200000c00000G2G0411000430G4H4E6r0800000 +G10300000482C60W0002082m0089800040WC8200020000WoL000088080W0800000e00000 +004GODD60W11OoJR0000W00WerD30W08002001H0000K02W0H00W0oFX1020GJr_000X2000 +402040400X0002000mr16040G8u034000040G08000GX0W@@j100G69800892002WG0m00G0 +OB107mcKe@6442xS10X0000u00400b002001qB012XiHklbXy0C0IX00W8840C004Y_R0m1i +Z500GO50GLiF0W1G28a9Hq06aDd7Z0cpYCCy7d4s920000du4008or440008200000804000 +00GL12un@@a0004n000C2a1O4a40EW900mU000o100cV004@0_1G0s3W10100G7000e000nF +004J0NLzo0000K300nAG7oTGM0u0@000x10047000Kq000040002080G08002W000a4000O0 +Y6m0o000I200gsYgLAOu@@4000p0m3L9BE90TD0CpL29Kap@@CFHX77oX1004b6Whu@@yxpo +Zchn00009K10W85ewiQ9IacXbTguPQ6_6d100iAd8@m@MvC6D30028004W020WedR301000A +00GWG0mBS60000C1S60001KXk1000W10G000W020042000YVqD0K000WT0YqKD0100Gnl602 +08eGz4A3BdxTCOvw4000020W8fD3CQ3B10050040008W000Q0uZW40000OH0000W2opqLqXK +2zm330008W008BsPGNu9qTk1rnR0W10aZrbOK_7o38100nBXpRmBx6q8W1b5Yq@@@tDp@Rxy +VsG@Vjq@LJz@qM@7Ds@9NtrkPmqYT5000eMEoiq@b8Bs4wwcXxkb0020000vZGMLfZVd00I0 +y0D9G0000kP0CDdDTcrId0d0008uWV90000AU00u@VdG00G8800G00000086000G80020WG0 +80006G000000G1000000W80080002Q6y4I2E1G1010040008G0000G000200000X2000y0X0 +0G88000G02G5r603000G05042080100PORG5oOagE64400000000C2018804G0010G00900I +pt0H00202WW0000GW00G0WC2800W@PD0Y000020015G0002K00280W800001W08001402000 +00BN0060GvhP30G40011008G000G021800bzdGmh940190GW00G000W00W840WI3W0Y8W04W +098G00WAW000082860G0G100HW0C0028cA0wKQ30002H000W00882e10W8088G0G8A000m04 +0A000281500006B080eo000QW0000020G00414000GC00504G00004mZk6iut9@@R0001YWy +DuN@400G0qZ@6THp000WWNfPuRS300G_yiU2A00W000100001800WW00X6@P0001oGZdi2h1 +0G4YExt04100820000Y00JK01Wc1mEr90041ecVC0W90aNl100W0000a00000Kn2G9j6KHk1 +8208U5m0082G9z9HWzd004W8A@400800W40W82804IHW2cD00000600WkyhOMV300010000S +nW4000nZF008Ax70W800080el_7oQ0Z@@b04G000000008HtgRmi@6iYV2fFW1002WVNb060 +00400000ofJjRm7_60001u5V38400ioG20008dLa7000WLhR0218J0008020000m0yDh4400 +06DFXQsJuGU30TM08000WW00mDp94wj10008IerWW9h8Z@D0G403048a88I40004000Y0GH4 +1W00O619623G8YG400G1m0000K800yN@3JOOmV36O4048x1300m000T00000a_1G800G5FPR +0000L00A100W80W00W8Y1W800Gty6WK00u9NL0G0200W0000Wuzx60400GCH0WW2GOGXI441 +004a200000fS03_JzXguJ00e2ms@64Ai100Q@1GW000W0eG3300000X800Y092S00WZtJ000 +4maHaqpS20G40WG20G00Q204b0f2W0G004RIR000GA4H6000We810G68F302000GK04xF30G +05000YG290CQU30lLH1103000009W02XG00P1O00aY0D1I0000809G900GaG000G95g00W00 +0G21000av_D0800qdx6001000W42182A002OJqp00W4YX@Duf43c_t0CH800W8m06000B082 +0009k110n070W2Am04G00G009Dd4400000W0G30a0103anzRX004006000020040200010W0 +00083mu@688804000a04000020Hxp000Dez@J0200020G00000G4G1000W06G000m_l10400 +0080EGCIyt00002Wm0G0001W2e0m00W0000008WI0WWGEsN54019400a0G48imk102500100 +4dl1YW00000W15G0u75CY0r0G2108200e00000a8W0000GY50W41008G4004a00K00G0020G +a08W0eK040004002W00200n2Edy@l100oI0G8aW1040100020G8aT30E0fM0ID000cQ104GW +G01WajD0Rh0m@@CG0GA1050aK00Wo@D01X0GK06u0sS1004eOf@6442xO10Xoys0WK20E0fK +Gl4484s5100H000OWe1C0Ii0Gw_d00014000GYtC000W2WVg20pD4@000__30oxV330OW200 +020@1001_z8pG6tC4JJ2Vx3300k008w0u2q1e307G700mU000p1000600C@0C8_1Oaij1000 +oRyd170000G7000v0000300020C4@080m1PSd0GG500G_10Gy3u7W1OF0E0600Gmt90u2y38 +3a50EGcukD8BwMaV00800coK00Hn@60A0@c20ck4_100ytJHAu1150GP0m000WgocdXUSDei +u4IDNejuu9q@A00mn7ONNFuRGi79a_l1@UbGSq9q0W1TdRGi@I0Me0eNDUgut61G00NJpGhI +U0000b500GJTN5f030800k9AaikCOsS900mtq2ELTpR0040000WI002W00002K00SKF3sLt0 +2e0040GG008W0O0000000010K0030208200GW00G12002WW00ej@J0KE2aW90001W0W08W00 +e012G12280410080YWG0H5004002041eYS3oapWQo31040G0K68eI209G40O00WKuC00G010 +G80104G08004G000W00OE160G018000G80WeG2100W202800W0GW8W00Ge004000QkEG008g +2W1C08002a010000031G012A4A00000802gNS3gaAX@@9H00028000G0W44G0D0010240W09 +4008W10G00I8G010OW0W004010001002W00801006ep0q804080Q00HqW20WXC08002G0W21 +1400g004000e009r8011I0G0e002aOG2W00416002818W80Y00e0GG0014G00G0W000Ga2di +bV2LzP000QWOuJO6U68m00G00002000G10WyzD0041000WWorD000AmywC0QG20000250H80 +040800000m0880G1208aG0000D001sPm6xjCca15kPGGy60040uTf40050aPV20104dhNYW@ +JG4Y000G00W000000Gf801C@F3jSQ00G400W800004FcpWOuQvAV68202agk10300WW00y@v +3HQz00000YW0040002lp000GGxEP002L500GcdoR0420W@@DG400000W880G4000000HHaql +1dKe2000Yo@Ou5D3YKZX2@L102820000G8F0030040G00400010010YG400105zz0410WScK +fci4U_p0W040@@R0006GHW80@@@mXO6q_V2fac00820000H00100W0G000W2x00GAU9KD93T +ud008200G4HNxh202400A40Y0004100820G0100020W804100AG0W800yMV20W0G0W85dLt3 +0OG0Yjt041004H4WK804000000WdA00O600500080WG100200WG0W110W8Y000820G00H410 +04X8000H4PYi6EvPM3w_t00W80002G0G40100860451041WXPP8sV3ECB12000Pob008200W +800G0W828wG4000y_2WG1001K30Z@R00Y8mi_D0045n6i6W8000H10mghg00e0G00GW00802 +0I0000081008000G0I094000Y050Dto00W00000HW000M5p00a00000400X0SAg1000f05Y2 +10000000dO100G00e2W898I600G04W480W4000H0We400000KQ0081G2G0rreOy3B6840400 +88G0400000600040G48G0W0_@tWd2OGe0W8000808I00W80_ob100YX5wQ00mW8GG4000q50 +0002I0G00W10W002G12O000Y000W108G00G100040000K0200a000aW03@@x105100044W80 +20001041000C000000GW0006a0_@@10G1KNhomVm60220e6E3020G010q040008gK1080000 +4W0800004C0820408860006001W04G480000800600004G0A@@V2020eTMD04W0GkU900G00 +8000W020000A@@d0000bzLDW080GImF4Mg12001YAq0O318O000880002Y002W0GTo688080 +G0W000020002EXW0_@l5GM202XK40W048408000HD9000a80PaW00WK8008008Zj400i00WG +O78000200030008I0000020WI0000W00400X0010W0OL3G3WzM0001H7GA100W4B0G024207 +00XesD0G0ISWUIGG8OB40040X0W1000m2006Wz90Rv@@A8W00000G84Q6ArrWcROu@V300cg +000W0Km00L010L000WmpP0uXP0000OvC6Yec110W000G0QnrW@@F1n400G7W9YVWE000@000 +800004000g10WO5e3008B0Y2W100S1OIa1a500KCB3N1O00EWB00GM000p100GAN000W100n +F03YVmU0O0@000w00045000k30WO5u7nA8B0K0N00Wi00003m@@g000rd00000cVWlVD0W04 +mspg000He3g700m@4f0320000GtH0mp1u@@zALM2GQF0D@1Jr164QUBLENngrIKlh13Ly000 +0AM200JRBqP0paGT5080000W4y@@300cet8VcyPzuJrJ6aVZfFU0yn1mB2py0FC0002cxc10 +8040020wXF10200000W0041y@V200884H002a001X000000QY9008A20K080S5j101001AG0 +K7l10200040055l10040@@@40W02000C00000A4Gu6K300CX00002400aG48W@LDuX@4wut0 +C40000W001I0A2020000WG3021W02G01000I0e00000GB30010020K28W8440101000050W0 +c040804000400400X0Y00W00P00YrmD87n4Y_V34G00@zR0140m9zD04K0010C40085trO01 +0W0G00WZaR0040000138000020GW3W49W2380841006W4W40QaW00000WQN10W013W15X008 +804W0W020O4O1m2008000W0Y0000G0Y80000W8028W46_9xyRm0m6Srl1DZpmRuCqS@38080 +clt00800000Am100Sil1000ei20G0800etQ3k@t0002004000400S7k1020010G00000C8_4 +cvcamtD00Y0mmv6SzV50G40Y3F10a000W0000P0C9U27_c000ZK8I6G820WG40Y49l14W008 +W00K@a1vbdmyr6KojA@@d000280GK872MHgs94AS220W0000084W0040W808G400080Ga000 +008saHK0200G0020004298082000G24esw7QhFXghQ9op4UocaYyJ000G0140W5wP0000j_0 +00W000GK00410WSKl1DfY4Y00W2xDuO3C000HUsF30060000H00800410G_z9G20000GZSu@ +60WC0000000YW880000G40W40081008g_400085_i15nh208X8000800e0800LLpV58220wx +d10300O601W400e800WG0000800041400HY00000yh0WI5W884b8YG40010HeAH8270emC0G +000OmIwP00O1G1ojSuN280OGG402Kj06c10HgutW8YD00W01H41Ga84000HX0I40a0k1000m +1@CG0KY00086W84XGA3000G81G42000K1e6T6K100ywl1c000EGM50000IG2e000GkxS5R6Q +0000A410X0W0945WW02000K2u00481W8100A4Y0G0G5_k100AU00G0002I00201000G4850G +a081G0I010K200a09G2A0088aG2010a084800800G_Qg007000000m001X002ZeN1WGmK000 +0820547000Gm092D300G000e40OY0100W8W000210005000k@10000W00W8W080002012000 +80e02W1WI900005OC810aXKW1C00G8000W0em@@D0042Gw_d000ZG000GFB6q8V5W08W0W00 +0WG0KW30000240304W00244015el10120W0081000Lm00130001020nHd008076iG004000a +8WW0000mW805000W000002000G09000OcRLW101Spt60040G0000204OvC3W290iBl1W0040 +008S0m00004002000Omv303UGtW3qC08000GXW000804G0Ww8t00W28040GwcFXH09100420 +00040RD0O0sZyk2m2002XjG000484068HS3mEBmg6021xi0020840C0040oI001a2100G8x2 +WQ0090m00x9P020f68C0IQX_RGG8in504ABE3o5tWK1CurR36td4xE200OYQL1W@x40LzIOF +UYF1003Wsz00Tf4V100_sL00mo@9mq30q_308NPuOlcnlkPWl03W@PE07FxQX0004h0008tD +3Yy630J008k0cG_1Pb3U2000YL00Yx0k861P1W1O200e3000K0000O3000K008g1m0G1W10E +0302Zp008_10Gy3udu7OF0E8Ny40C10WO2O200aqvqfH0004000084500Y000800y0W0q@w3 +xmjtCyC0000bm00GZvQ1m008aWMQDh200Zv37LHMUNbwkD0c50sWNhWVW9I19000W0000Qq8 +3I5mctzn0G01Y00042148200IX08004W0etz42olYvQC0040000404000fUQ0G1GWCzD00GI +500cWfvD0G4000060W2W20G2002G000W00G00uYE6yt_3hkk1000112202004W00084W0000 +W1K290X0G08O010G01CxY1ZRP0228414G0v6Pm2N60040m00002001XG00g800020H4Zc10h +8K404X80GeG816000C204G0040000GX8e06m0m0WWW8abvDOCS3UcdXSum002G280G0WCW00 +G0Q0Q00G01a15YG104C0C4048G00005G0000W00G000804G118W00G000G0900008004G020 +G50G00003KG008110011a00O000000Gm11oG0A8041B1Y8H0WG2C0G6WC00I02P0a01m2O01 +GG83000000202yWF35H62G010000G01000000B40000W0mJsj000G00100W10WOzD000tq4F +6iBt30G8080000010QyR3_XtWOlP8c@D0100isV20X8m0WW220002020Gt@LK9U2nydmLqCa +Dk1WuU0_wtWMQDuPS3W801ssl1vmP0p2068W4000800W10SMfA0O4000000W0YuMU3cBtWHB +n000201100e000G4W0Yxc10W1000W8v604qRJ200200W001040ORy4Ce8YaVM2VPg2060W1z +D8zl4kNnZXyD04G000W0WqzDeCV6004hVBB3G000W00YKqk108Y84X100000I40W02W10H00 +0BAwnJXCKle100W410H800410041000WoYvn0Y000010Xx_gesU30uw0S_c1b@RW800WIvCe +5U300G000C82000010W80040HsJ200WH00mmftR00Y04gYa8gW0HG440G4H0u_G36w@1041Y +000051Y00X840Y00e80O2H00YB_d00810000i4402gfp001400G0000X0040820Y6X800000 +0mq2H4DwCpWG0W02410600WnNOusJF0004WP00W82009008W000G000ozsWXLh00100020G0 +410ZsdG8k9820000mFB0G5008100002800004H41I49WP082100028Y84X20iYg1Ac5P410m +Kod1Rxx100GA409G080H4100i0j10WG00044G4040000L9GO00e000G2W4000001403008XO +000801KG0mz@60KOb02CX40081I08008208548YG00G81000G2000Y00000090I10410W000 +988I21002G20k0q30020K860m40W100I8j430020080Wy_5FY@t0000002G000HWX0000G20 +0W0WmMgDGoW101C00005Oa10006HW08000X000G60060004040MSt00054040m002O00YG0O +00meD64xF64001084G120108002022W4mD00u0m5t6irF6lyR032G000W000GKctt0400800 +80008RIG4400002104008030A80000W00y40G000IGWWS3CGG00HW06GW00GG0DOt_X0K880 +0H00000aHzJeHV66S@X2WV00G2Ho@60Y00e7E300000SS000G000440A0005YRGRu6020014 +W01200WfiJ0G401W00Ygz310qV322XTg0WG0080S0040WQ0000mGORX00G0080maG0faOmD0 +0X0W3000m2006WjG000n0Rwl91E30G80u0080irWW1Oh54T310006oXq00G08g150mKBqd5d +142000m10KkV800WFv0008aTo0W@V40p5WRlJOZiP0044Cyl1000tW000G4eX004JmvD900z +400002Y900CI00C100Us@40O_100y3u701OF0604000C000Y2009mPGOuCyIE38010002000 +Y10002004@080C1s302wTp04300WW90IWp0_1S1s3W3Y4F1WE004T0T0O0w0W3m000WHMS9q +Cd1Bfso@hU00Kp00041eW10AA00A000@Yj21200HmM1004008m_60W0emJ31m@c3WE3GPSGk +QUHbycGkqRiNl1D_x1uw2WOum8ZQ3Iyxdsv42000Xs00WAurQ9vGYKW4006Cf2WHa2s0004X +2004000GWY00lBP00000W200tOB10K0Wz@D0W08000GWVfDu8Q300X0101KuJQ3wXo000008 +00G0200s_k1rld0020W@_De1K3QbtWVwJ8nUC00G0yFl12248000G0000H0W0000W0W00001 +W2YhtWpmP0010000W00G00LMRW020XfcDOMy4000Gm6000A100G00014G01vR08014008020 +00skF1WG00TzgoG_6020Au@V30144000000021000YPUDeZa4cmt02010p@p0H4000GW4010 +08X00i@l1008T100KG00W08W00A00028000G0G01400408O0j4000H0W0W8IX7Qg7300WG60 +8G00000O0X0G048G00000040600_qNY6JJ0008W00O00880LEOmZ@60022uN1300000WE0O@ +S3MlpWqBg8uQO00240H41eCS3c9ZXeyj1000781000808Lcy00200004Y41H0_LS60005pmO +G_v946QEbYR00YpH1G00Xj@001001400vHaGLumKNZ10400gWtWHvQ9o@A0qU0aLU2tUA100 +0mhrX10412002YjLP00m0G1vv000100006D02eXyV0080W80084010HppmqvgW84110C10Y0 +1We@D0o00WY10Wdqj16000000000YZ0X008010apF32000G8GWWO0000000W80WuBW182G20 +51020004X80cUudZ3D0S7B0G000W00H1@R00004000W0G4a8W08G4Y8AV53_ve5005020000 +00I8HaG00c5beW4XbTd10420049g6zD00003qb008e0000400800010WW0000G000GX80000 +00W40200000H1G@@j000W500000032I80H004G0WX000c08d@MIet00020PqR000aDW1W000 +00800GG4040H01onw60W6100000m8WGXG400100ojaX@@310YG0202G044I00080e00004Wh +sJLseu11W0002000Qp20W0000W40G40W7FI08008H0W001GG40K0Qyt0800GV7r20m00G040 +LXR0400Yqai100044000000CW000010280W00400mfv60502OJV3010GKIk111tIwt600288 +2y4mUA0CdzC0G0GK2070fK0001qDgbW0XGY03UO000YCI0m0GI4OL30XXTM001000060Wi0I +u@VI000P0mtJ0Wd6YT000tV30bON40q2000000C@00000ecQ08@V30mG0wrK0Kw9y400uRV0 +00LXF3nFWh8mJ000GU0vp0W308FW4m300Gy30001u7H6020GWC00Wa0000dBk1baRGM09ik6 +sRon9004WVqt80xG0G02qbD3m700o5tZCxpvmzDY9Fa@tP00W_HLu4kXk7WyK0YK8g_sD0W0 +4HKd6KolAl9dGzo641l1000mf90000000108mez600004200GJy6000400001000mPvDObyD +_@d1W014LzdmGC6ar_CzaRmIq6008EVLS30201S1N2DZR010GaJnDeVGF_@N2W0403eR00W0 +81008TUEJtt9024000000GzKW1vCW04001002000GW8000W00020200H0090000200hAQmJ@ +9Cdl700G0gwt00206vBEJq@6yXD3000WC600al96F_NHRZX004G0WW00H00WowD85rM0G08a +Sl400e1Nxd108006G00_@@720G0G0004400CAl1rzBaun7WBoV8uoSMziY2mJOvGLAlI2o20 +05@R0GG000008000GEAu7H004phQmQT2Twl100o93zd141G4v2A40100WG400200EmmWhOn8 +g@A0810aZl4WW206_t00029000YG4002420yLMU0W8AH8Xg00YG000G40100fP0JahLykV2D +zR0HOY001W8vto38GaC00000GAY040G0490ulrMgxq00800Fqp00W8m9jV8vHR_hdXX_D080 +G081I0W100brg20004000f1oRmszC0iO18sz700X2i8PE1jc0W0AC20000100PG00i6jA0GW +WYPFXqzJ00004k00WgYAwaf7000GW80G8rQOW00G800Y00G0m5@C00W8lXdJYXh5ir20Xjn0 +M00WGBFH90000O002000PypWmw5WS@P8XS3m000K6TE0O20zU20wqGs400ydv00WoHXnX700 +00u@B@V0k00ms@6ur6000XyaX0yFF1OkfMp3000O50WvXjn0047WHBLX008Y14100830H_d0 +000pPlPm0G000W0WyHufFk@ZXZg5qH20mpGh0KbqUfFRsIa2XWP008n5dIMdd4Yh00mHQU_Y +2si0PuVgklFdhbb0000j_W0WIC@V4q@3Hz@FO@l3t@t0_@Ca@@2w@hm_@9m@F2THVMsWc652 +iF0m9SV200WLW00mYRBzQU5NXo3000000X3vvtOwx6yo_3WWO06_dmypt0000ZK00W@@XCG@ +700C7F0YY@xZ10K3W9F@Foq@VSz@6R@Vnt@JC_@3d@lmw@7y_@0ppFnCUw_@1000SFXVr_NR +CuFC00gRIOGKmSF0FFerQT@4DV2M000Q@V_8wI8I1a0uo046_@Vf@VNy@lb@@@@@@@@@@@@@ +@@@@@@@@@@@@@d3G00W5lP0F00mkrHDRT29NtIiuFqCU200ewJiBp@@J0mq3Ga2TboNEDZd0 +000mysAQ0GCYbmW@@L1020o@@I00GmEh29w@6fGtH2aZ2m@@H1W108_xA2f8dywlAx1R0W00 +zzl400kzpU8g@@D000WOU481O_0OryF100noU00eUz@dd@J0G40@zN4000YdCMw@V90204Su +lG000q@@@A000a000GUZzX@@j10m3m@@Q1010PTMX000GZ200u@Vj0GG0yhZG00QI_goi@@v +1q41GjhibJyC000uO100y@lM40000G4H0G11u@VU004EaK0O4100EVw70u10@@@@@@SA040u +@@J4G00y@V8W0P0g57ZSnV8MyhIAW4G300@@750GWW@@N20GWv1THbPM20000BaFaxuseij4 +08i043NKXmXH2Is0000aC00m@@H1G00uG19Yt7cwxI00mbNWzQjNN80010U2aaOuqABz@lY@ +@gy@@@@sRSH54000000YQnr0000qY300_@FjSS2P166oqD100Sy@@@V@o0tqy9004000m5z@ +VK8FyWGAG1XYYq2A1u5X4X8WP01yW0IDTM400Wm0X7HZ20200GW10WWpZ2u4d300W800_@t9 +00U100e280G_30WWNb010080W30600400W80001000o@30a80W0000100UK0daK0LdLBnclI +8g6DftN6M@53900ZC10000K@z@@@@@@@@@@@@@@9E@@Xr0lCl100eRcXYjG@XXEW_00000G0 +80hcdPI1M28108HwtMpCaV@F1Ci3m86_bK09O10021x@1@B2GB1m@@I04G0uf05100mvI008 +CKLIJfE00tL1aILbfE1e51u@V902G0qVz@xV@V_v@V@_@rt@@y@@dicwj@@00WPdZm@l8z@7 +Y@@Wy@F6k@xBa4J200zJd0002WSrB2G10GuwOy1z30G006Y6ZKpJ000Io@@Iq37F00040000 +c0@35gdGFyI8008eI_G0o_0Kvz3TAKK2WB100W7300GjWHzD@@Tx@@@@@do_@dq@V9@@@@@@ +@@@@@@@F0@CjNdmjnI000WXU00GJM600K0O6B3_@Ne5bVu6S6AukYofz00WbV@y6qX56@b7L +jwIG0000W00Gvw6yLM5WDQ0000W0002eG1aItFA000GU000sO_@1@B2OY1m@@Oq@WJfcp3n1 +0Wg_5A1VQdCnW__H2440Gqh94Odb00Wev500y@V200W84100SboFG400QRt90068zc0spyJ2 +0Y08sZe0A00Cll4L5q80WZj9TO0G4HqTF8LhpIWdM0Eza12800VFKqIgB100W7T00m@@600H +10041I0h55MT5@@d300doiYO00110m00WwCGg@8a0s50qv23JsYqa2B100Waj80m@@CW100u +g1X00W1A000003Cm@@510eNu@V30001A000G0F000d100680@@@300H000004100_@l80V10 +l2a000G0Y0004100Udz@@@RyqC6sKc700000GQ0EPsW81_fgVQJpcXcu_10G8Pa2s@HjJ000 +eC400y@VKvMu400Qu@@@@@@@7O@@0w@@V@@@@@@@@@@@@@@@@@@@@lUx7g1@X@@L1mc0m@@@ +Na_3XfRGRwm00eDx@@@@@@@As@VY_@Zu@@FM@lZs@tuz@CY@@Yv@he_@9k@F6k@@yN80G00v +zd300ssOuCJ000GCSv0081Oh_@pw@@Vk@ldy@tP@@Sw@@c@@@@@@@@@@@@@@@@@@@@lFSN@@ +N500T8@@pMFSs0wU1u@@q0W01y@lDu400_@@@@@@FU@@@o@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@Au@V2@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dA0004@@t2 +W00G0080@@Z100RR00A0d2mGM0RaKmL0000108004008fWD0300yUG2000G_@@@@@t6i90G1 +55LC@@00q410G0yi@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@y00W0sRxXcuK10040080W@ +@D000Gm@@60yi18RE3wchba28Pwj4IA061000P7m0000UP200954r@@eEcd1f8OGUUCyaG2N +9mmkU900G00008mYUF0_m0eLF30200z@l10200w3Z48000@@l1090WH1D0100m1X9CDH2P3c +0000X91Iegm7gBq00Y00000WW800yO860000ZBCd33C0884mh1XW0008IX7MpmWv2J00W0n@ +@9alc10040QOBXFso1001mEY90000Ax19oQ813000R3K100200100008100000my0OK032N4 +ZsumuL26_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dg4jO0090GfMUqRrC0004EtY1000W +m010YsIYSlgOLE3A5Z1000G8202kdh2000G0010UYo60G02@@p000GWa1UuG0I_@F100jwLZ +ln@@pKcc1@@@0W04Weja8c0CcIpWlqO0ad10600WFrg000GmjQUaKm68000k0q0000W00080 +20W20041W000W020010G004052GWSek120000000100040801000002W4Fib00W001000000 +GP800y@V22G00gbZ1W200@@R302G010G000144001000YOkG30801200000140030WB2D000 +102G2080032000G2000100000G0W00010030100G000z@l1008x100090G0u0H3000eqUj1T +oR000100008Xnto0Y6G0600020000G00800WW800W8004001G21000144G2882WW01G01400 +0W0W0Q0G0040101W018040G0000G0801G08G04000000W0Mad1WL400044ysl1801200706v +V20060010000808U@P0W000006ekH3Emp000W0N@pmFV90100OuH3QYq080W02000W000CuN +2000W8D00qg@O00G40WW0C@N200008G00080W1H41mEWFSyl400_ZMP9jHCD0444X8W42G08 +0zXeYWA6W@@730G80010Wl7UG000KWd6idY7000e9B00y@FO000Y2et04100fL_ml@a00ubE +f0p0281G8082GW0000G4Y03800014000001ZG4G0GwXX0ch0u@@n0G42G000010802008400 +0600WW100A080K800W000WN631500m@@Z58h1pYQ010WWFQD000a0000g@@31003tRglL2JB +@@R00K0W@@73110mrG90008G000m8l64Ga4nUKnAFvaDCC00041000q0W1z3RGPHd00eXv@@ +n000riuY100100004q1W1010000JWqG290UE0_@VCm0O_20GtXmFl0bZE22@030Fw80wE0@@ +h2900WOfD30WE08080O0m0W000010004000W000W90Xag210Gim@@uv80@W10g9DE200C@0@ +@x18W0WEklAAvJ_@NYMDIOIv40E00KoR81z_J1u60001ykP3_37ZOnJur760G0000egBM6j_ +@t6000p1f@0m12Wa12fS0U0000000OufP60200MHNB000OK100465L@D@00W000001002100 +00_yN200W00028Kxj1XoRmntI0G0000WpPMrRiFl4h6g2028eNwV00G04800WEoD04000W02 +000a0020WW42409000G0000008A00I000W0K030002Acy40SP088W2epy4008W00G0PVU302 +0W00W0w@VR0800W011u@@400042040W802A10000210G00WEqm0H40YG0G2HG0K010W00000 +0We08G1000G0Hm00CtY10002000mo4044420mN@9028004G000W00000m00200140illDBI6 +ITxF4vE6040000aVFV2F1@V20G4G22020814p0m08000G44410809200e04I0lW0y@@O4X40 +0W8W0CH020eG4000exzDW000004HaQp21004AQ00WRcO30000000imzDOJpJo7vgrznubK60 +280aTn9W9A0ceVC8geGG40IeAY400C000W0200G01004G1048A00qRo3xyLXZ10WGA638100 +6X00Y0400W4Y0011J0W01H801CW808Y82Pz7200nzOuI3098LCS9000I86ELYKmiOugG000m +CKdW700u@Vm0006SwQ2nmD30W8t@@D3004GCS6W04186EO0XY0y@@O0f6001Je002000W0GC +Sm000Wsm00m@@W10060Wv700FF0pVU0UUW0qT40w7O0K_00mCegy@lP0C000_1O43dDWW00_ +@VC50ecq0G7vGz00WEwOdh40ex80mKJnF00WQg1W@@@V_AO0000_dz6ZnX1mp6Wrk3PTDX_@ +7rPgD0G00oq2Uy@l400_CNada@uXfiyA6TG2G000RpQGvi9SeY1jhRmFy90qc1200GOfyI04 +000G00K@0jaii7W08W0400alk1W00004001800yYT3G000a1l1K00080G0atU2JjR0000Qx2 +22nxR040000040LXRGuFg4fm90WGW01W0Exj1000W000G000X0003He_6010G0010000e000 +03040GIwtW8sD0000000hUmG00e0000012akf1tXR020100120PcBHdjpK8t9Dql1060WrUJ +300We0100c98420WW0WP0SulAW700g5EjZrJW8G0KU@g0Wu699LsAyt000604m006yN5G890 +3D@sh5p4qyO5zd00W1800004120WH13yslA000l3nbD3C000WP000o00AOOEtVL0zO0isxR0 +GA0Av66i100lz8Nokj000OD@OvIzGb@@D0003mGpl1HG0G00GmLng000W0q00GZqlX0Gg180 +m4K00WU@F9Hwhcz73VO000GWVm0WV1A0rFtVL0XC0q56R2000S06000C000W3GUomiOlP0O7 +H0001ex80Kz50OmUg4fmLxT@JpqF0_D00400G7Opy7jAfXp0200WUuCu@V6G040S9k1RcRGu +r6C6V2000me400iRk1000800H0UP_IR8BnLzL00020400IxdCyXs300qn@@t040200020W88 +0ymjGG000IxSZUuCu@@Ak_C10100lVo0Oa3WxXnu4_S020044j4Ncd00a00000WNgR000820 +00G040GcAW100A0n@d000500004u28G_@t00Y20zpL1W00W5piHG00Gnw90000101G3W0200 +04W008GW02008W0wVV3048004202001000A000K0200000G0apk1hXR00WwRW020jzRmU@Fi +Rf120002hp600W4zGd0400000A004L8Uzt000818488Att0300W062802400G000440009W0 +000mtnP000000G1C00000E3m0204OMU3cnd100200100010200W000G0o0Wmypk40002khp0 +W80Wj@dmz@6KyD31qA1000MF3085Xcmnc@NCw@3h_Vmi@@hx@z2@@ko@dBz@tQ@Vt_N100W0 +00200G0meetOw@D000mX600egRCgbjeAeJ000WW001WSeP8NVC2HBXNfD00GsNPp60W00uru +eIAW100010IW0MpkbghJ0mU2mKTHD5k4vSRmmr6yiU8xa_00004N3007AOmu3EDzk10K0000 +40020040G00004nH0Cu9U300W20G01u@@40WW02100OEU32imW5vD002000Wg@B1CelS3020 +0yBl1tM4IVwR0000180GmswCqZT20002sBtWjvJ000C200000420l1OG@RC8000eLT30ah0q +2t30140_RF10008zRF30W0mosJ00021004WPzJ00100040W9tP00L00000022040000110Ii +nl14000cDt008W00008eD02i4830028010GSoV2BaRGs3yCJl1002000W1czm60G00Bob1G0 +00Bod000890000WG00wZtWvtLv8URgdx@vz_FUm@VNy@s9@@gVj00Okz@@6pswnE@f1Et1OQ +Pj6Dz@GNX44u0m0qaqVKE1s_vwt94SmF0005_@VinYPuyS9oANYn0U0aF3GrQQz@l1000ao3 +lY33meOz70000g800OdG_V9qZp2hOZm7gitWGAUetU60200y2OK@oTISxC0000aC00my7xr@ +u33TRGv@F008hFx1y6CH5Gq00PFin@R@Fe_@1o@@V_@@@@@@@@@@@@@@@@@@@@DtHLWeDWm1 +0Y9ehxjVG004mwum000W5C00m1vCaojJ0800_Yc100G0TXho@W900GXzvk7I40c@@L9XJ6Av +ibi7wgWjY000W1B00O5UR2edXLmVu@Vd00K3qRt6vvvHrrf1gX1eJzJguUii7sO@RR_odX3z +D8Hy@BK@@1v@FG@@@@@@@@@@@@@@@@@@@@F6kR7IxdAnD00W1mevlzqsC03O0_@tiOuMTtx7 +Qts000200001EucXrsD88Q300qJ_bNHpYt58G0Wzqzu0knkJNYzxJ08000000OU300@@l1G0 +0e1rv9xXJoMtWVDm000KuDY5zA_@jg@@gy@dg@@@@@@@@@@@@@@@@@@@@t7kD5Y@0010m@@h +00mOmwnISRCRxGJ28B0Wkl6x@@R9000K8k13Fa0G00a@@h00WEmAq6a5G2JBtrPwL0404eBS +3AQdXIvP0Gn2GJVIystChgp000WW3zbOL@Do28aSuJOhV6MxdX41m8xz7oVd10400z4AHaz6 +y@l45td0G40Wa3g00k@riyCKYl1VyzmryI4dl1b_p0040WU_p1G0GGi@U0NF0evxCV@t0000 +i1fOGxbUahI5VJcmwayy@V200Y0s_7300OsFWgoSdEL3gA09Q0_sGboDPO_I92N0ZG_@1000 +k@10WhMlQ3VRQ_@14400008nk@@48008hiQGxf6y9Q2Jam0W00WtMj1100KcgLaag108G02i +TZy1IuHMCcazX48j100a000GWmNh00W00000KH100vcgInfFiEg4Hac3000eRcm00mhUVi8z +@@@@@BA00lc6c340000830Wdat0if1m@A90000SuaSIS_700W0000We800y@l4000m8600iQ +530G00EkIh@@h0Y8000020I424YWGa@@l200tR000000X8100G42G4100G2X0H48490_@@@3 +it8nOCmF00y@FU1Om0000YECUe7330O0000GQ9F0C2q8XADC850900W145W4jRq2009G0000 +0G42Q0mWE0C0000000X8000008G2I1m0Gg30@@B102a0WG48240002HYW000GW40GA0IW0H8 +0816OA0I8mS800u02E1000W370000a31S00GuC50Aa31007HI00000S44000GE8mJ08I20y@ +l100WW00000089YW08Y4000W6PEf281EXa804a8I0000GG220000a4nHA0IW811W890PA0I4 +DG27J@Vns@FC_@1h@@ly@th@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@l8@@9w@@@@F800WuEV +36qtWYihu@VL00Kb@@VK94@mP@LqaSBWqT0UPbgIiN20008g00WoEZ20004001mNdJ0401m0 +zy00e_Em_kQbFXi@D8zV3wi560090Bx750214002CtjR0009G00GCBpBX000WBDE1000Fn10 +W@@ZYWG008W2W5_D04W000W0WAvJ8MU30402CplA00YHQolBG0008000G200aFk4vYh2mZ4W +bRZ2080800000WG0G00008480300000408W000000001GoL66000GU600wxt910005gdW0G0 +0G00G00004010X100Ob_42Ql504cxfL7r2@600383001GT_L4TFCWOV0Qs6C04000100cwdX +bxR1000CX10W6wT20G106W000003O60D020O000qH000AW404Z208paR01W0aVKy300C0200 +0W8W200008083I0XW220000082808000G1000104000G440042WS@L1K_3mc@E10Im000GC0 +0e4000000WIGI0EG30L20K20G40W@@J0300mmxg000WWj00m@@E980000X0msy600032002e +6800G4Y0nUam@@m0007@@@e0410qWlJW070_ZAgtEQPrVIW100a@VNC000muBWo0008xXS00 +4Gi_lJ00WQPjs0mwirIdPhbMRrAjsQr000w000S100QbrP36hpcBTcDNQjLjqQhL7vlAWC40 +M@dA0W00XcX40008v300@@x4W00000400G0G2DRZO@R100bQcxp308000001040XGpG20000 +e00Wl2wAO09E52600nqnzJrHA900W18C53U0a70x507XHLDX60G000m0300X0W80Pe5EO000 +0hP00u@@y1008004001820400000G02022ILCXJ0X10R3m@@H1028y@V3005000000120000 +W00100nFQ0040el_W1500mGFH5Qc18B0801000800gEJ600O0y@FC00oJ6JPiTFJ8ZI3Mhaa +@@P04U1m@@H1W20000006AM0J10004e0C31002W000200O00W45Dep73_@@4000qm600_@t9 +A0000051C0000000me4mI9f6WW1W9zJ300e0W0G0u@@M00WU6QMK00002DB1bGG0qG90f401 +y@FFWGT02DhBY12GW80200498100OViSKF00SEwL@@Z400QZfPbx@VO0qk04Qs@Xcnv@@@@@ +@@@@@@@@@@@@@@@@VdC@lfp@P2z@bI@N9r@JQzVaO@@es@Doz@YU@d8u@7A_VXa@Fev@1Y_@ +Vg@t7x@xv_VUm@Vdy@rH@@Ss@77_@lf@VRy@lc@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ln00G000000W00u@@400 +28a6090000W000a1G20020000G2K40u@V3000G010GOL060100y@V5D2Omc0600W08VW7A18 +10002008022G2400000000014004000280001W@@JG400040W8002020044004CTW100KdG1 +0001000400mz16y@l1800400G0yWG2X8O00G00040008102I810W0002006Ku1G001000G00 +00002404001040WR2C8d03YLW140002800Y78100G0000200Z00G00082WmO290AEP0008m@ +@60820OcX40W00WGWG8fW780008000m00GmR36W000W000HC26y@lA3Gmm03F0080eg16008 +000G000009wX0Wu7C00W0G439y@VE000W00010002008800000X0G0K00GYfm0000G0W05eG +G0800G0040000H140020G4G000m00101804010G40100G08044G08W02nG00md06008r1030 +W040Ws2C0e40040000002020000002100OPX4000880408_260020yW13DPO000W1400380n +2000CW0420180028000W01OWH2cem00KG0080288000004030W0G0W05K008CW000Y024000 +004802000GO02400G004W000G00008P100GG008G01005800AWW400000010G04000000G00 +40eq13kwmWJDI8fZ400Z000080Y00040000001008YG528804G0GC401O0108420O04W2000 +04K0I10W00003C00KW00K0231000m03mW00060Wm0640K80H004W0a8W000A04200G2I0b02 +88004200W80000Cx1W02O0080800WX400ApY020000W02W0000000GW00100W100W@@DG004 +mi4I0100OB43ECm00W0A8000G00CKuX1G006oLm00001000W001000a08X43ssm0480G000W +0W00100O8N3302000900020W0500W@@D00mrE0G000808@@@0GG0WVJIemY4W004CUY19TaW +00W400000W4065n0000W0420wrmWRJC020020004400aW020_@F100043aO000GWnEI8833U +jW1000mhXO00F3W@@D8CX42On0G00W2002Ihm00W00xLO0WGOW@@V021004G001000000Ga0 +064WI2day00000000AW0GG0480KxH5200000002000680000W0G2040@@d00082P000Xja00 +00X@FC0028GJ8UinY1rdO0008W8MOu@V36D91900040000020K9Y1C1C0000004001800ofB +C0040000a00G0aZHC8A06000P7GJ2TiO00C0C0400G00W00C00010W9W10800a96OOcW4Ue9 +1W0W00m0m6Dn0Y000TmmmDDCKR23RvO0010X@QU0010020001080@@p0GU0W98O0100mMD90 +080iN23YpnWW4I0W00004000G0G400029G210000020e0G0iOY10G410211000v200480400 +WC2C000080C000010G001040WoRC010G020A0000540G04200W3W65C0000W0K000W000W02 +000001W0000200000W000e10Z00005m202W0084B0CAC002004800K010000806000K04000 +W8000O0O2OF83K0G501060C0X00G040Gq00G80Uvn002000Y004G0G04100800108288pC00 +40005G00002AH73W0G0010000050001102w0004K101K08b1gC33040008W000mynGH6080G +0W00G@D60a1000408Ga281H08000004G40G000G00000GW1WC8d830013002A0040G629W08 +0uy83U2oWDRC010O80aA0G17W8XK06kn00C60000I_@N260WK2HAi0A8W0000000G200O800 +0G81000Qy0y@@300201YK19hiGCL0300f00WH08L09000G0G0a2GmXrf064iJ2Dcm0040000 +2G841002eXG004ig93K400W0000022mWI9apZ18aG4008W0X08WH51muC645W10008f800y@ +V20W80000G2G420802000Gm_dCG00000100G880hCb000W80004W4G00080GW00OBd4_yWX@ +@n0005000000060lJjHcI6SOY1200000KqUKr6@@R0400uMZ4200800G2WDZCuj46MDf22G0 +0006Gm00WCZb10QQ06G130003G00000I4ScK2@@JItMF00OK00WL0wZ1Wx4muQB3Y281001O +@@@0000EX000@@p00W1WK1aOf09Y2m05GVEH18XLhjMQLRjqPpSfpchnWDNJj000T000k000 +MjsQfMjrIhDpbMRk60sQDjkQjH1GYrQ5000HOf2ap@@6aAW47dvn1Mp0y_18l1I2JBa@hE9q +h4A4pW3Ta8mjA4B00y@F6BT4o@@I34808Mk4AgpWDEgOl490730iqX7jkPme2g000G110001 +20XfwOuiE3oXpW0zC000G8440W9_C0WKW0024010HW@@R0408WP_O0000FR8G10200fX8nbO +6y@F9bdbGVV6W048G15G040G000018001U0o02K004e01001200081810020e008008W0000 +148002W0G0W02050W000W41G00eW00202040G28WxlC00WXteW6W002G0000008010000900 +cvp00G003oPGSV6Cbd1HvbGfCFixd100048W25G1560010002010CG0j2OW10O034006O000 +020G00G0080W12G11000G0110013880601J0W100016G00GG4401CSOW1400100000YN5000 +20040118017lO0000G4000PwPm@X9S7M2D6OGKULKde1000Kg0n3GW8000008000kkO20420 +00W00080i1H6osB1000W2706sV1Zo5J8Q6FM0CXA6J00W0GLI6Cba1dIP00000G040l6QGaX +64nO20001008102240000KJMF040000W4PSV6CfO20C08oWq008084I00gDvX@@F1090GnQC +W00O0100GzJ6KtL2JLQ0800X@@D0040404001400000W80W0SxJ200004B80qae1dJa0202W +G5JepH30G80iroCtnb000000081000G00044QM2LqbmFR90000100402000e000400JYFp00 +008000OS2004Q63000000Y000000GI2Gg0Ci8e1@@RpKc600K00100KvZISLv3000100X2K4 +Q2800000m15lv3XPO0Y40WJXyu@VF0a00Suf100WG8082e0002n0AW00X201q8W1000W0WUs +b10400E3nWmFD0GC0W102G0K18u0A0C2C0000W0C0G480000KA0J2QmDf6W004000GW00G80 +3WG24028C8Z060000o02002mXKR12W004W0000K100W001I0005G00WG502YnGpI0I01mWX6 +aKc1200Wm0m800000Z084W008502450Q00008000eW200Mum04080008400GC0OG4000K00X +W4WWC200G000m0rqX1@@R3W040c0WK28AiW99acFc1Hbbm@@9yag102008L0O1BW89fi4C00 +008I0000pr@@F04014W08bWYIA012fP1ym@@m0008200022W41002W0900o7B10G80XcPGOW +C04Y80200205GWe0a0GN3m@@F022G00W08GGW06500fsQ0086WK1UuEZSYkDXgKh00040040 +00001B_PmHN90N00Od9pUlrW7VJuEgAsir0008020W00008800400I00001@@@b00003004Y +yMcvIWAYA3Zaog8qi423c1mC50Xcjnx8p4QMK00001ogrcVs9@@@@@@@@@@@@@@@@@@@@@@t +lyVzD@F@p@n7z@xJ@tUr@hVzVwP@tmSNRZRmpgISik1xN@mqq9y6F3WQR0JFdXHvD0080mwg +9qCV2HLdGhhFm0008AV6W0480W000W01G5hC0042Oqu44020yMl1080WwwtW2IV86z7000Wn +F2G0005Gj@6qVl10A040001000G00C4000W00Y1000G00040020200G0Y8000060000n0000 +0200IGW0004083060408100101KwS2bycm6z6GW008KV30001i5i10040W04000G4OwxAcTc +1003Z0X000100SQl10O00000G80208nTCc9@XIzb00008000X1Th8yvJkTL2m2A2hpB10400 +80W080W2W00080WeW000Kpy6800040H0000A000WG9ERW0G200G00g0e0080300010208020 +0H080GxuRmj_6iHP20804X000SzW1a0800040000GG0GW000001002008G1008G0100080Oq +@C0000X100W8K00G0G400106As00G000205Ins088W0pzR0000ayiD00000G09Wp_J008000 +0801W0008m0gkE140G1000G100C00082X0042920CIOH00GY00000220240H000Y0C1018W0 +0Avt000020602G000W0080100004WW1QDeoR300WV20IG000X02GW00040O281002KaSW1e0 +G01050W0080010022e0K0000e82G115WW08G080022e05G0028020010WX00G000W024004O +00W80082A0e2200m0002000WWA004KW00X05500882W0200140828000G010042uoJ340000 +000H0142W1801eG000W04O0800000W10Gcz60MY1G40C080C00WG0W0m01O000WW60WW0W10 +0111K0G8018020100G00C00G2G08200G010GGGW000200ae000W040G00005C021000G0H00 +0X20WFiJOqS308000G00404008G000W0W0080010G0000a4o000W000G00G0200000W101uZ +_Dq100W300010mn_z6000e8NQ30080CHj10001000200a0000804G202W000G060002S8Y1J +YO0040008820000800O4_@304000800qjj1RmRGqiC0100O8_4A6tW9kP89R300KBTQk1lap +W00000G040020WH00atT27YOmBzRizV20000NJnWWiP000WG5A6CtF3DU@0020W0lD8MU300 +0Y00082000W01200100BcRmJr9W00W0000HK46iGl100100040CWF340004G000Z0098M36Q +t0W00G@@d0102WjpJ0018GXx60030R7T3G420qU@3hfR02000000280032ns00002000WP60 +0i2E300040804000020102000WKiPefS3Ybn04008LYd0G0X020Y9H1mmywC0G00WW00GJv6 +CkV20002000GSlk1pvdm@v6aVj400qJwJ@11808HmRW000Wfmn00G0GL@C0005y@z70W0000 +608yQ3G0G12004020E000I0A0m0W1050G001W0G00W0m9x900100G40a0100X00W40100010 +Q0O001004G0085000WdG08000kmX1d_Rmiu6G882W6001i0O6m000000G100020G0040W000 +W002000G000Y0WG140W881WGm08KKC0616001000K00040040000804000G0000800WH0WWK +00mW000Y25iO0G00041104K000000Y020Ap03W0040101G108W200020K1G00000G10W0X00 +A00000IA2080002m080aYj10G860C8100W240214GAI0010000AW800001W00000018040W0 +0000G400A8080X00W021a021GG008800001800O1W2ot@1GO80a26uW5YWyQD30f106Gc100 +0G80230WK00keGiFT6008W000W5200nfz90020OzO38L0P9BG895R60090Kfq382WR0WKn8B +eGDA09ssF14100W1I0100W04128xv4000Gq4k1H1m00W080Y000101W0224YC3GG0000KuDu +F3040001W411001e00044CWyiVOr960040WG000802WG0GmajJ0W00G8s2TCr3000002N0ay +l7BvRm0qR00220000000A00000G0e0EIUfY@D00003g00Wc@z0821000WWLkn000CIRw601G +42004GyG94Tz3o100Ykc1G100H1WH8sCCdl100kVJq@1u000f2amKCFijl1bxRGos900u0uX +V6010h6Tz31OdGqrX45G5Q5000H0hcdTKbe55F10WY@huDX4_5dXynaeU@78W00KrEIxnd00 +0odW@n040220000WW02XpdGwy64tZ10800FetW6VOuKyb6kd10X60RqnpCxparFC000u2x9X +e@V00224012000e016PmMK6KP437ubGg@L4Id12102_@tWk_Ve0B3Iau400nFZ@@00200200 +0G400010000880082008000m80Xob0204W1vCWA200100GWe0000W0800420088_Z4EodXre +I86k4000G000W0344W000WvdC0148mpS9yOc10001G014Kld10FA000044WY10W028010010 +8uYA38W00X01000Y0118O004020W0084040004G5HGW080WNqC010K0080404210WWGG084q +vY1Z7Pmx@6G0040200000802000Jtb0009000Y26W01Ajp00002GO00wjBXj0D0014W02010 +000000ezE000W00G030000001WG0G0W10G0100000016mB0680W602300140080m000100m0 +e0848021WW000000020a10W000O2400244mwS6CNr3XwDJd@F00G2y8mAW10000000WG000m +0200000G30kRC10811Z6Q0M80040000X100800W00a0408OBY60000gkB3000W000GH0G0m@ +@6S0b100000090002100000W0GWA8J001010800m00095cW00W0000G600007K0S8e1nDQ00 +00Yb6D8XH34100iAf1JWP0041Xc2b08K0mk7ICfM2000204000W0Cugn404104XO58080UfC +X@3D00100002000GCE600_Mi20488NDmGSa9aPG2bOQ000a00009bDMHLc6iTb1BEcGKP9yi +u3Tfn000GWe0O00mG200400180zRQ0G00004048000W00010K00020GeP60400Aqi46AC102 +000002Ilm00O2O0020028008G0usH60008S0m0LTQ010WWy7V0008mST9W000G00002G0m49 +JuJp40mV0iK930008G0G001000a0000W000018@@N10400080884000HW000W08A0980G0yg +e108000mG10W280W2000O000E0C0H004080G04000008000a@@CG10G000G0C00K20G00084 +01070C0W004040821000OPD001000PtJ300G2000H0W0W000GP00060HGi0mCmaGc1402002 +00S5b11RQ0202K500046W04002eA0c1C000002axJD040W000G002000900G530dVd10O0G0 +W200000G0084808aoDDG100mJf6008O0m00008W000G1V@P0G80010800C0000KLdVc10102 +IIp0W8082Y00G400YLX0GG40oHP60G0W2G00000444040K0G000G000W204C000021G8Ye0A +O0020000W120020802WW0P00002212L0850W3A4ZAZ100A8@@@0m04I00WK02Ei0880ybA30 +10007T0K_w300001WK12BeY19W0GeP900W899j700W0840aI0I1501Xqe0UudK600C0W0000 +8080H0G04100zkcGTfC4Qc18004008GG0108rK60022000W0500m_iC00O82H000GWG0Y0WW +A200YAxXGpO044X0001K8422CA002DJbbaKPgs400u5zv4C7ZW100002W10J2aJtlO00000y +L2m@@X0004wq49AszXEWCOj6900C0aAc1HbPmom6Cwa4H1amlR64503000enC00a5m6jnym3 +d94506Xcb@@@@@@@@@@@@@@@@@@@@@@VcC@Vfp@L2z@aI@79r@FQzVZO@leMrXhcGErOy1m3 +h08HPuFm200u@yG8000q8G5X3yG2v60W00K00090W001000G0082As0400000G0E6r001101 +oJotz9000klItJMus04000HxdGvvCiMl7hxd00G0WmHDejtM01@0io090006oNtWL5tOy_40 +I000O00401000400020WvzRG7z9qqv33aZHy@600G00000Xs10Ww_p1W20mA_IGW000020W0 +4G000W02001000W050000080a0282140001W0W2A000G001e0020000W20K0000I0z@l1001 +014G088W04000mko6010000mioidCqQP81ycmwl6q_V2008W0G0000002001W088004G000W +04008010W8zS300100W08000080G0W6VDuMQ34800WG008PT3W0W1000802002080W1ZP0iY +1mUdR4qU2LXdml16i5F370C000W00G01LnQGio6WW20W000mLy60aG2000000224YW080080 +820000W0G00C000800GW80001000YCDi10G0000GW0WW1H008mwr90000kjP3gfFXVoPOzzA +cWd10100n1d042000W02000W8W0800100020018G080W0F7R000GXZGD0010006GXKTDeMN3 +08I0aLl10G0G0G002110u0a4_wt000KUhbIoj_9q8Y1Zs@Gs3CK2U2TyRm9@6qrl1024001W +0KdY7THOGk4F0C40u_LO_@F1GW0000080840W2a0eo_A00010030eq@40G00q7T2lyR00402 +0W000008U@FXJ1C8_V6000XH800enVL4W00yMj1400000011100On33Qes00400000G2ZsW_ +KU0240n@@6aIj1rURGB_6irl100030600000G10a020000WO3O0000408080G00009Na@g4h +j1zvR00G0W_lD00802010Wy1a8K2640000G08G200O1y60010OeR3cD8XSACujR30G20GWK0 +uFP60Nr0ClAFzxy00004000100G0m080O000000W00GG0W8420W8W100100272Gp0JHw6808 +00000HDC600002008W1W18800000Y0410a0B0c9A030GGG000W3j00GZ2g0040ffZ4G0GIG0 +000I0A20113C1m8W108001WKmE304000210Ge0W002008O02a85GG2G000000AWG0400G9y6 +00W0G102000O000400G0100W330W42G1I0W000005G202000G000GuEmML00WaW000030200 +0100G020C840004200K20411G04WGiD00G1G6DF0G0C10I5Cfm2YifP000GoECI0q4aI0Hf5 +08nie0U0Oc1mokg0081Ow@4040Gm00aI0Gb509XqGiD000CI_0I000W8RQ34016bDT28400o +cM24I40004e0200qGj1H1a0000k8Wd9FR3G4W800G0K8000130WehzeJa4_gm0000WdKZ100 +4XlyPO_U300y7aLyFpVp0000agjh0008qfz600W0020o0002WKhm0GGW40000C81W0000840 +0z@l10V606L_dvyD000W4000WoUC00G0Hz@900OK8bwDohc4000yE700261cy1y8bwec_7Z_ +@b8VWJYkEdMlIeFz40000swl10j10ASfe3yV8lU3IddXusJemz72TN20800Nwa00G00000O_ +3W0oBBX2uP0004G8y6Sqk10c00_QtWpsD000GG01FK8W4JwpGI1jigs300k81020iiV2Drp0 +0G0WzyD0W000000u7_DOdi40024q3F3dPOmN@C4LlAhy@0080XCaCOPV3G00004E08U4aAjd +1G0G10G00ee00000000W8Hi@C0q00W2G4W020088800W028010axl700005C00alq3Dt9HIT +O8800G0100a0005000FxR000W0GG2128024000Wa000804020018G0180424G1404G000150 +02041440G0040G83G01000X0G0Q60W0200W02200WC0COFF30G0000WzkRj7UNJ20400DjP0 +020080082010crZXt1J014000A000008m8028024O00Y000010880080128040102WXG0040 +0018C0240XA8060G12YW16m008021W00GW00000GW00q@N28W002Wp05000WQI0E@BXMuC8@ +F6w2q04040b0Q020G000814000Y0q0044008W100G0qOG2H9Q00G00G0000W02me00000000 +09nXY6000100001W000I004Jxbm@TC000W0480GeYIWH00OOYGc4qZM6C0008mIS600G0000 +CmpX64kb10G00021010000220m@@FG0010101G_X9CCe10101oGa1005yHSro@UI08GW00H4 +GePOaNO200W0800WiuN2R5_mkZ6Sye18000gQm000G05MQW0m5W@@X9bA3AK911Y00rFo0G0 +0WUpCOXb40G2000040040MePC08G00000KYc9000GG0G4000004018W104020c0C00000030 +014000OW600_@NbKwI0000040401000C0002DB1000Gv3OmadCSvP2W000808G43t3xOc00G +W0010000080W0000G0v@V6000SjSqC7nP0G20W@@D0010u4ZC04000830Gqe6O00KX0WC050 +0483m000WGnC0p06000020IQZ64cZ1TBQ05808300041804K001060oem0000G0W002W0000 +kJ0y@lA0006_yq00e004W0W801000YX00G04D260Z030W001c2p000800080G0W000G00004 +080100G01W0af0410040000G140040G0C000001o00bhX1G0004040G1000ZGG0g0WBG1000 +00e040G00W02000c810W@@FPLL30G106yf1202040040104rG004201G000G020000W0@@@3 +0202P30I90iqALC9U3a1004W04H08LKG1BG9fFK30200aFc1002F@@t6004WW20G8L0G1A09 +9Jj4C4000W608KM6000G0A0000W0C10012A00Xcj18W0200080A110WW51000000KKJh6GG0 +00Ot2G0Nv0X001mXaGePFKwO5ngcW000WB3DuxeG_@F1000O8400_@@dTQIOPK3gtj200m0@ +4a00W001W00FdU20000006d@@@pKm600X104000004000A0fYTIePI0k2eF_C3gsDXOXD0uA +1m@@saas3P1R0W00WGpy_@@@@@@@@@@@@@@@@@@@@@@Cc@Bpv@nW_FCf@@Yw@ki_VBi@pIZ@ +0pJOrxAs@hYnrD8_y40ZA0aF86P1amHhUqgw9LO@GwYLqZF3000Yw@t0000m6100oDMYotDO +7NCcPtcH18PDy408000061u@V300aTdQG8h_9K4z6qyC3fFZHYbFy@l10ZQ0MflYXkD08000 +00GWH1yup@A8000G100uxV300Y200020012a0028100G0000400010W0G00400080W000080 +04001C6W1220000000W002210mco6000Wbx4005280A4W20G00wZMYzwD87T9YlS30001800 +2G010W008028002O000G0104G20018cyi100G0K004W0000Z0G020aWVyD8BR3G000K7W11n +Rm@r600G3200004G0000028004MztWLzDeM@46zsZI@b008W00K808C02TfR05080A400021 +0WGG8Csl102X10G01000A008W04G0Wc2CG03C0008WI0C020C0020104004O0000000o_400 +3m066003Gm0d@d0200WuhJG00WmXwmiPV20G06kot000K008GG80GWazW1000W008001G200 +00oJz94VE37bp0000mKqDOVV3QNsWOKF9y@J0G0GiVS2m0202@t0000Wrld0000000I0H1O0 +00W00404013AYptW3@D00Wfu0h9Cll101101G20002W0m000aG2mazDeQZ7UnF408000G00h +@tWknDuHT30040skD3008000044Yl10X0W0W0008001C40mngC0400GiF3mr7FG0G0000010 +00XkiPOfWMY0tW5aJ0042000080G080403YkEXGiDW000mh@9qXl1G2000200G00C9AW4000 +G1Q00y6yA002W000885x4IAeb@@V00C000m0WvjPOyWM00ml43F30m00gYFXMKWfKS60G10a +nU2000Gm00009032Z0G3Y0P4m00W000OUOX100000Y100020Y00000A104O48K0506160010 +0iWk1Wf80020GW000002010000500G9jR0Y0eKO04X82WH030GaAG2HFSoox6800100WW000 +a107000WG21000144m0002Gsq604e008008W4080K0WrrR010103008042e0401f0W040004 +W80G005m000WOF000000m001mVw6000O0m0e0008G02WG088C2iE1W050RJFZ6000010G000 +faGMQ10IC9wQ3QRt0a0003np0000GG080aA0i458a4YT2H1O00Wxvi0a0008b0Y2AWI2ff2y +muHp000W1H40maw6GG018Rw4YksWLsJ0H0008W0G00080L0GIf_12200WxF0cTw1G042004G +1I80a5m3BEBKIt9KAq6H1amQE6Sxl19kOGqqRKJ59VeVIYv9yT56lfR00O0200000a10gxt0 +00bIFEjn@@68000UaWPoc_1001OfKh2000eK3O0K11GWrXqmVBHN75000GWiQj1M7rCzCaiE +61jR0800m4pJOHS6000G0080eCV300GE4VE6HkRmLv6q8KBf3n000G0000HzE9HEz6i4K2rn +dmRPC0002u8U30YP046a4@nR0040WMpoPP@40004004H8oV900I000000080nw@9afb47oR0 +000C@300Da7r8PC80008ljDALd1W000jnd000040020xyR00WuYEvbePC30018004000WWGr +JCiXp90G00UrB1G000G800ckt004140010002JaOV204m081WAKGH2000W0W24KeW12004e0 +08G9000q82040800WC0G400MuFXq0CeslJwCJ204020W024018002AucA3001W00081A4020 +2000G08010KGG00W00G010G06GW01000O002W00C000OG0b0004W12WG020840G000000100 +07X080140028Y0W001i3c1RRjHwUa0144W02004GG01G00GG100020001202G0002O080GW4 +4W0W0400H9G010W004800W034GG1000A00440W3000KW0q000OG10Cm020Y10OV9300iB3W1 +8m0084X4WWa6Ce_l4I0iYRpE9xX7wKq0G080X7Q00YGWwPI0W0Y040SWEnI000W0060W@5D0 +004W1080J000ZsP00m00O000fBcGiPa4ns600804000W0W0u@V30084Coa1Zua00W0WAkC8J +i42p910042reO000000804HAOWs10XZwg0002004200040D3MHcKjC8f10a40QRaXm7Vu073 +00G8aKu302000G8rbjN236cGUb64KG20000020GyG9CTHQm4c600006000OKPFi0f40K0000 +G080G00040OyPC0gw0eOH3Aeq0800000001008cVc1XcPGg0C4R7C00W409240000002W004 +GmN7V0140G0e9irf1800880010G008Qp4000mQO00u@V600m00048000W00I0aKFJ81GO080 +80800040000600W0002W0082I00460G583KKP6CqY10020K0000080000m000X009Q1004Y0 +G002090Eqi4004000Or0000005eW8EJ0K40aPO004D4W280G00G0P4O00W080G00a3ILXG00 +008000K00FYQ06064100W84Y01CG0X00040004W00G000m00800010080AuRK30820200000 +121I7281n08040004G20G001G000me002000000W0G102000H00WGiU60G041L0CC610YK1C +uEJL0000cFc4aA6eW50aaFc49Uo08Xm408L0Y2E03DB10C40000I0000PK00e743_@t00G0a +0m508L0G1BG99@C30200a5W1@@F3Y000GG0WG00G0P2201138qiD00400G4008WYGK0I00Oy +Amq7020411001eX8G_P6000Ag_L32Dxdn7D002G000WWyQt0008I306y@F3Wb50MCIbMS5I0 +020004W7Rn00m0mIg608W0e7H3Yzm0K100Zsgo@@paFs90065IA3300B49fzGM06aaM8XcDy +@@@@@@@@@@@@@@@@@@@@@@JC@tap@B1zVII@V4r@5Pz@GO@7as@@mzVFU@l3u@v8_@Da@NZv +@pW_VCg@@2x@ju_@Am@dYy@dG@V9s@F2_@Xe@@7y@tX@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -1426,1694 +3205,10 @@ m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tI_QF0@@@@@@@@@lG80000 -00200000008G706a205H1S2030WK1Yw@@LZK8XK0e8A0K000000e38LWe00000040u@V6w0m -WK0e8A0I00K0aAGK00000090y@F3T0OGA0K45m9f2G5044W@@Pe303I1WYe0E9L0g_@@@@@@ -@@@@@@@@@@@@@@G0O00KLa1T0a0030mK0e8AWJI5WA1I0882a0100WW8H80004824X0W4OWf -0GHK0daA0LWa804a890000GG220000I49804918J1WYe0E100000WaeK1Yw@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@7Z_ZPu@@V0q90y@lM0800oQs0 -00G0G80400000X008603w0m00WG0L0OGX0R000Wd20WGJ0647W1T0O00G80040000W0wWsWK -4g880628WXbhPG000mRu60044G020000GWa2IW000004002400GG00QEm300QR0GW0G00047 -G2P2aGI160010uJx7IAu120000G04288XEy@@@@@@@@@tl@lzy@NV@@qx@@y@@@@@@@@@@@@ -@@@@@Yt@Vu_@3_@@@@@@@@@@@@@@@f2004BD10W@@H2G04m@@K10mtx@VaEwSZ@@@1yT2GX2 -5z@lM0008m200y@FI0202_@dA00Xv@@B40004000015Gr@@600C0u@VX00082000W02000Y0 -W@@f2s00m@@21W2000002G000W10K@@750WFli7G20080022WpZNw@@40UE0aSCI010000QW -sz0Li100_@N8008000W8G000040Su@@e00y5_@lG00W@00008ZggIaFyWep4W@@Z24E0m@@2 -10G6000C0P050o0A0tKOm@@@@@lPHYRm@@g0s50eH0XIAWq@@@@9z@RY@@by@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@lgypV0080_@VEvEYnui680000200W00808002JmbGA06K7W1f0m -000001W00hCQmOj6a6W1G00440000gR0uin424eYfvI8LW70021yVx3H1m0008000W000W00 -G0241W1bqcGD06CO73T0OGA09010000080000100W00G04000WgO00O513240ZBCJ8Q06000 -0W048O9J6kaxVmpD0f1hoUmC49C34000Q5s0I000n2J5Q00WM4BwcP3cq0Z@@v10GCHi85Ts -RN0ON0oUmfBfrw@@@@@@@@@@@@@@73_@ma@l@@J0100CvFF00QfZf_@Nk@@bR81Yu0Cb_@Fa -fIr@R00O4BS_@100WKulG000Wn400Kt@@0200_@N80p80Lr@@K@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@S2000y@@b00GFa4s@@@N4000AF200@@@@eq@7gz@VY@Vdw@l9@@Pw@@@@@@@ -@@@@@@@@@@@@@@@@@4@@@@@@lt@Vx@@@@V50W002iN8a200@@@90Yr_az@@6@@@@V90002@@ -B4e91W@@r2008m@@2100W6R00m@@Q10G0u@VX00mCz@VN0WW0_@N80050@@@F04005000nPy -30WmX@@@70300000YwC42600m@@@@@@@@@@@@@@@@@@pl@Vyz@@_@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vxz@l_@@@@@@xw@tE@@hx390W@o@@T2G -00m@@H1yh1u@@b000GSs@@Lx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@3t@Vm@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@J2800Y000000000100@@x1o10 -W@@@@@@@@@@@@@@@@@@@@F4WLD0@@J20W0W@@n30007r00W@@h0008m@@12008100000Wq60 -0000002M9WXE0Cu@VCsBm000W0H14IcFX30000W00WG000000qm700sT8aP5U8IX4_@NB020 -0P3b00WX900000004_@dXE0C0010mQ0Fy@@3j1ym@@E1008j43302000sQ08dY4UJeY@@P00 -00If5Iy@@L08000W0000040000hA10000004400MJmZiFgeD06_@V6100W@@J200mz@@n300 -0nB3Fy@F60mH0_@7CI000@@N1400WQOm00G1GYBCO500u@@Gsneh@@@@@@@@@@@@@r2y0600 -00muRIe203218X@@J0W10OK0Fq4W4@@V50WC71I0882a0100WW8H800048200000GW804Y_@ -d1YG082CG2Y2W11IW880a0@@tC0G8a82WW8I200002YW0000Wa8S008u4IY0GGWWJ00000mn -LE600HI8AW7GaW0Ga40u@@@@@@@@@T23000000YVaEv@@w0WS0w10000mgMI1YI2E0u@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@sz@@@@@@@@@@@@@@@@JC_@I_r2470GMsRa103 -FI@Vs3@l8_@9g@@Xy@Ne@@@@@@@@@@@@@Fm@V3_@@@lq0004_@t6000WE100Q4Ge0281020m -@@s008FhH0X28WdQw@Vc@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@70mlw@@810 -20u@@h0_w0y@FI2000_@@@@@@@@@@@@@@9y@@@@@ln@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@Eu@V3@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Zx@lu@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FP000mG1000000W -000u@VF00G0y@FU00sM0000000Gu@VE1N10y@F90000JAOF3300@@JIs368000w@V98000y@ -V2000G_@FA00AqFF81008W75K40000eAWW@@bu6X7000000G0w9XJYK8A000ai200_@NbRDg -Oq09_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VU00eh@@7IQSX4V6O0qD0_@tZvma8vkC@ -@VF0011dhvnZ2Lq@s3Nm5r@@F0sE0eFFC00W0_@FX000O9200qYt3j5cmLURKh83FB2600Ol -8wyuPnF@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vo10yGdtrC@@@6uf2W@@j9GyA40204QcP@ -cJoc2L000G82vy1@_0y@@3W0282vsWu9IOWY700WWaIX105002gGYjAY2000C900W7CO0000 -unwI0G008UT3YkFXpxO0102m1_94qEL00wdwIN2m000Vmp0000uGrJ02000000G010820000 -0m0aVl1H0OG4w6i2W1Xyx4mD5W@@V0CG0mx_X4GYSfa@@9x@NI@@Zy@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@VZ000m5D00y@@Fn5260WTxQ4D000018002G0000008Y0m00014W0280040020 -087W4kKaXL5De703_LiBWk5G@@R000140028fgR0W0100000024W0280080020004G00aPwJ -8Tz400800000fE03oUu@mo@@hz@xg@@j_@@@@@@@@@@@@@@@@@@@@@@B50mRu@@@47U5@@x4 -mD6WXh_@@@Y00y_y@F9G000QV7ZEufw@@70120ycV2pWR0804Y@@V00G0ui_C000WuPV3AbB -XgmPOWVgq70046U20080000Wq2d1@@p0G2200420z@d0001W1vCudT3s6dXwnVeS@Y00uBLt -FI1srLFv@lp_@vq@@D@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@39uU5WAmW1040mDOi100WLf0 -0mIu946E6lbdmFu648d1RcdGOu6y2d136Om@@E10OV_@V3040W46U2nXR00014W0289mPGIu -900W000WGGWS6K7U2XXRGyu64k7L0h80QVx@jp@Fxz@lk@@g@@@@@@@@@@@@@@@@@@@@@mmH -Iy00m@@@0W00u@@k00yny@lGNNFs@@V2000XS00mas9afE35Xd0010WTrIuSx4Uu_1000008 -G000000088u@@e00yq@@@F4000_EFXKuYw@V308g0aIl700G0QMuXt5O040Wmaz9000G0000 -8100WszT20004t00Whyhe3U92rtWLzb85G3gpu@iq@@A_@ho@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@s10y4y@lD7d9n@@@@@le00UY@@tc9vau@@@@K@@Ev@VJ@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@Ja@@3z@F2z@VW@@6y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -Vx@lt@@7l@@m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@3400uBx10W@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@9400a32007Wm90000Sm1000WS807002EpXWK0e8AWD -0070y@V200o1_@F1u000f2aJ819KCW1@@@@@@@@@@@@@@@@@@@@@@@@@V0f@@@@@@@NA0800 -00004000Q0m04000f0GHK0Ly@F3v1O000mxK1_9QWG_@dp85I850AY28a21O0Sn1Gg055Im3 -G000_@FXE0C850AY2eY@@V02000mU1WK1_9aWAG200y@V2T0OGA0K45G5@@@0I000G0E0f2y -J81LGG00u@@4w0mWK0e8AWA_@@1220000C0I5ude12v@@@@@@@@@@@@@@@@7O0a0m@@x4000 -G10000C00w0W110O0f0GHK0IK906f2mJ81I0m0241WG4G240002H4X000GW4G420HW1IGA0K -45W4@@R000044100f0a00Y5_K1u9a090IWGY802Y89000088220000IY880Y8189A50AY2GY -@@JGG00GA0CaA0FH3in@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lF@@vx@@@@@@@@@@@ -@@@@@@@lD20000G00q10320W0I1GY@@fI004040000W00G8000021aCj70G00Q0mWK0eu@V3 -000Ge100u@Vd4008iFW148000W01aA_6G000G020CQW10W000004a2050008qC00y@@@3_@@ -@@@Vrz@Lb@@Kx@7L@@Fz@@@@@@@@@@@@@@@@toEs0@706dV98000z0unkzp0000Lq00GzTLS -blY00_@YxVfeyIu@@A0010y@lDWDI0MP0fD2a8PG60400y@F6020W_@V30008A200_@NbD1y -u@V300W0y@l1840408W40000004G000W0C08X8800W004008W000002WWWP0Cu@@G00Wv_@V -Kb9O000040C0G0A00U8q0040302e02Ve20020HAu1GU7W85SQUY4M_W11000010040020000 -G0002W000040820004G00Cp09c600_@FAG000W800w1OZE0S8DZGeF00Sz0L000000480040 -00u0mO6CSeY1fLamhAa0W0oiMKg00140W008pZ40400qdW1TY_0880W@@91WK1m@@E101001 -00002004002@@R00G0X08CuV46EfnWxP81000g_10W@@T2G0W0C001KW020880100008e0G0 -824000qjSa0006m@@a0006x@Vd0008I210000400a000400m000_aD100200000400G00000 -404Gui600808KsG0_n0y@lJ0G0S0G4000040090W48402080Fpa00ao4000W00Ae00a0000W -20G200010G100C300_@V3000O4100_@t9W80003000080000820002Y08aFIC00G10G020G1 -1000004200q0W13@un@@600GDFDZe040804G1000000O00000G0W100m00050908W2010000 -OG84000G000G00m10400321W@@31uD3m@@H1201XW00oEBF010400Y0100010140000040W0 -040000024W00Wtfy0000lx00W@@T2100001m10410040G000402000120W00000q2000H008 -G000010W01eG040820YhFOmxHU000V@@@e4jgg8wCpm@ggY7U000yFqV8b0NT1W@@j1081m@ -@E10G30e348G7G7WEWE05040GW0y@l1081WP000ZWi021C1a4J2892CGI4O2W8W0W900GG00 -m@@R000W3z00m@@E1I10002I0aIW08b0a0818hv912ggA0um3yF00OcP4KLL9e@cHG7U0WKL -bP0000uV00u@@D00yFSLkzLa@GCvIKRc7hIz00002H100Vg7L8x2z@F300CAsKGhCymOyTF_ -WN2WL00@@V50W0GG000lRbmFW6CMl100W023CXJxIW010W00000008DOn00001000Kg300c9 -YXevgOw_S0G00000OOIV3_qt01G002000C021800800400084010G0BDPW02000GG080000G -100W0A0010W0280100000C90001aol15eP0000mtBI0010W0W001000G0W000000002CcC9w -9pWOoa00001014000000K106KWXxwDm00Gmt@6080800400W4000GW2RtRmK260080000Gm_ -_60Wz00020mXW6G0H0ehF3G400y@F300W1Y8aaR2nevV3o_t00G020W00AYp080G0zwdmOa9 -G040G00K000W0C00100020W01000W1700GzXO00040040Kq0CiD83r3QGQPCi076pMPmQS90 -G0004G408202H4000008000180800000orY6aDf1F9m000HWEAU0G00GCZ6qpe40001lTC40 -00XvFc0804409000020wam00821W0204G41000W0400G@160000044G4100200040820G000 -yYH2N2aWmJ0Wxxg8VY7QopZ@5z000G00W00000G00010G00KTG200H010C00G00080WmyK6S -pd1tLc008000W80000ma800S8w3HXU2008X@@n0G04040004002ZZam9b6q6g1XGQ0201000 -20G0140G00y2e1008041G0ihf1000aZiC100uI00000W804Sf4@JIIXdOyuf10200050000W -00300C80000AO1000000WGG0006e88gX116400K006000I80O00004m4G4104001@@R04H50 -00GXr4O0el600000Y0006uq0000n000E000W000qw@VF0200e200OInAoTq08800m8G0W10G -0000mW002100080W84A028a801018000008A8O04G1200X9W8C00X0080810414GW002020c -aZ1000qG400ASvXjJtOej4_GT32000G4000WK0820B20I950Y0H00WK040400042A00G2100 -eW20b2000GA10000000a200W081GAI1G004108500SpX100481W0I1WG04000000GY@@D00G -1008000882000G10082I00eIK34H20yAw39ZQ0400mIQh0000200e00000010mG08004G80O -50000Ha5xC000GKcD600300800mO6600331HW024mAZfRI0900We210W020083000000gG1u -QL6GI000A9W0050mEi60000hAM90G80002200GWmvbO040Gv@V3m000G004W1HG000040W0W -0W00W4000008011G020m8180W000840W02204000080Am9080C400CG000A0050e0025G0W8 -ND0Q0em7m9Smg1201W000000C20m00GnbF02800200GWm6000mu@@A400200G0aHG41000G2 -0100G2WG0W10W000e010004eqPD0G00000WKGQ01040e08108G000Ga80000Ie004xWQ0240 -1000Y1CQ0007miQP05000040GW610000000b40000u413UvzXTRD0Q0Gm@@I0008100080U1 -0HW8W04C041j00Gm0qBq21414HZ000000014000MGGW0862w30000uB00004300000eN0800 -IVXUJG000y50041X0000WGKV308WKE0004e9G00000fG00040006000HsC0u0ay2zIWWGOt8 -00qLF900000a00WG85WUWD000H690O08D20@@B10c9IggIqKLbPSu1pO60cnCHNbgY_Fm3zV -080000yGGeG84I19eW3XG0JGL0OPQ08JpGrPcXbfH3pC30Mc60M6000000myC0000OsmY900 -0WIw00m@@90WM0u1W3YXZ700o0M0y383u7OFmFWVWhfz0V3W3q60@0D0S1k1e2o2m5W1018B -020G000G900Wn0p0d1H1W2S300C60008000O700mCWTWVWn0O0_100a10002000Yt0000000 -0c000o0I2038300OF000u000mF000JWV00Wa_@F1000m800000JWO00001o000I2000C0@@N -100GGPO60aMg70VOpWP17sm26kI5C3c1Ou2hKQHpm3x0000W0000mtI0uPO0OcPa600moqQf -@D3qYP0eQL00_7O600GLL100LpbGCSKX001OGwAUa_XPSDuMwG004DNDBL@M@GmN60200Aqf -4020000080800Hmq9aaz3jOp0uz5WeibOmq4s3FXtOJuIy4_BFXHrausy7cE7ZXxyOjy4000 -GJS00unyect_XkZD00020010mAiD80P30240aYj1tG8n@@C00ekAoFCwKWXFoJuSC3_BdXbs -h000018048201WW0000800000X0G00X0Y0000020A0800042080040000X00G000W8W04100 -800X04000800WhkD0400G0y90a41uuvDoIVZPCOujU600808400H800W001WW2C0801G6k60 -0G2080020004a000@@pW001XMsJ00W80400040GWhUb0000CM0008W040000W02AOlz4G000 -C6M2dEb020GWZgDG41GGfr9CU03x8O080W2008X04G21300200100m010100G03004000810 -20O00WGWu@@C000H0W00000020080Ryb0046WFQD0000000ucwxI0224mRw94jV240046uxX -dtD0008Ggs9aoF6400GlOd1141000W0w2pWJAC0W02080000GG0g000cNp00004GW28Ig@1G -X200004EPZXvvPeoU3o5CXOxD002GmCz9SFl1vwRm4zXiYs90G40gld1000aS200UuAXHC3f -oV60008204G1G21mCQ6ay@CR_B100204m00j@@00YRc9zLvgB6cVt0Y000000G02800WCI82 -VdcJW1mOE09Xnmp@UKLj1vkRGc_6000200aOIo9Xqzl4deWn9x600G0eG_4000m4vA3juRGX -fU00W02000Pl@6KHl1H@RGI1ga1@600400W00G4000Y00I_@900W22001W800W2kCeMj72ae -20080008001G00G002W0W0400H82800014xoOcAla0008mQh6ia13WwC0QfN500C0000G020 -0020G10040X0W14H8X0W4HC400G4H0OW@J0YK0W080e@@40500Cyc1plP00002000M000018 -00cIh1000mSD00Kpl1LDQ0e00W29s004004100oG80G4H119a0004130008000H000WXKGI1 -b604000GG1mq0Oaql108320010iql100crQRtW7TJuWS32ik2Y00004g2000020eAG124YG0 -0W5OD005000o8Zy7F10G00208G200Q40000400SY730002GW0402WW8X@40200080008w2mC -_60W0GSu3FOG440m0024X9202WG2E000000ee000W000W20OwP9iso92080_E23G040h3RGD -U6qFB3H4PmB@U4IJ20204000000K02a00040W4GW00fVpmGvIy@@340b20G00f5G08xD3EOr -WNpD0i10WGOlbNMD8bV30G8000fHi203MbtWMHC0f00m@@I00OB00WWkM0G00420600WGV40 -00IV9Wk4cwV90W@10000ebM0i@V2Wm20_@lbRvC0D00000000IRQ0aMQ00_7mI00Wbf1mM@d -u00000O0GSm6yuc1nkz0mDW900mQ0W00000110002000dA10000000Y000C11100Ow@@D00W -400WF02WRWi0m0_100Y20006000K100e2G500Oi7yZIB0000qIB0q20HAO000e5j000Xnnm@ -@U00Q0000miP0OPM2Wd23WbHJQ04fWAd_F3mE5WgWd92Tgcs73000i42006KAaeoD8hQ3keL -bNiXPxVC008Td3leWyB0YWEahtJO5PCYP6ZbmDe0R9oPlYOnDuNS30G00U@V2000e33FX3eE -vc_4sABXUnPO2ROAFFXrpDOiyA00eB4FcA00W04001800WG0040008014G000W0E1mWznDuO -S32kcXY@2POhAM2qW@@J08q0Ga2s000WfhT6M1FXuF89YV9G000W200exQ32Oy1000GjeRGI -Oa0000W0200240009004000GW02G000W028004GWDZDuySOgOy4002k@@pJG_6yDTE@_B101 -GarvIO@@404B0Sa6CbfO0000100G62G00002Oy_l108W00002qdIK000ucsnZ4cPuzR3oyC1 -0081028G0040SMe1200m0081CkwFLbN100ohqvcPdJ3I1t00000001W0m842000001IGI1@0 -00YuwJ90m60C9u9Nuy000G0100GG184800XYHa000322W8X41A02doxHuyUqY63v9c0000IB -200pCg20042000008080W800WW00WJ804W001100W0W9000H00048TeYIJz100zVT2620100 -410000G041000000K20O6000H0209W40GW800CUl108401028G40WyQOCAv@aCrD01000210 -Wz@J0yE3G6za000001006000A0G0H00000G140WW000ILm0v6800000P0W020aLvmeraSAVs -0n300l6i1W00WoKDW2GO0220848700C8mX0000208020G0v8WWinDeMfDU9UcT@V00WEqt@R -4xe100W06Lr0G208002001020180G2080401WpuJOztYgWBXU@VWSv0mysX04004G042OH0H -00O8000410W01W0WL00064RXQ10mW8q10410020G0G445200X0E000jKx4000Ut000zW3300 -mC0000cXv9bHfJA3pcKuXLg3mR6C7McoSwPmiaX7dTH7Fp00WPy1p8HI0b0W1AH0JGY0c0c0 -C191e3O2G9E7GG4SW6GOE8mGDWVXQ0T0n0w0Y0b1asC42WPk10000pS70x@d0OZ3Wbr3100K -bg4yF08OcoGm3Tm@PAZ@1m1@3011uV0U8X4ebM8842942GIG22WW44mun4jh5XUwk200Z0HZ -vnGLaK80CtxIIV@C0iE18fWbYc7f8kUm700mk0NjfdD7dB10WtlnVuv7@DEqF1000G5cRmQq -L0000gP@AQBd1WT70JDUrcp90001O0x4IstW85Q1000jy00WdY_9HHXspNY2yP0001xN88bZ -IrP7mUi3@@Qn@jUy@g9@dwo@dsyVfF@FQq@zOPp@@600GEw3g@JIj8000CE000QDFmhVz00m -OUywuj1l1bm@0801WCxb0iU0mF61MYl4jhp0000Mi100zTGu@@600WWuyV9008KaiFX9tdmi -z9iQE3WL20_@FG0W008W000028ytV20004UuF100Gis500IKWG00W84000000O00001002Gi -zC00OtBsK@oVsW@@D00P0000WWJ_b08P2GKg4Y42000000Y80002W01D@0000mCtZAQVO820 -0800W0008Y12800401402G00800WI08evJQUOC00bG0WG000KG9W00000050C308000W200M -fd1GEF0Jxw70m0Ww@D0M000e00W_@D000Wrm@CeL00e3OyEmt0e0102G0G8G001028W00820 -00800801Dn000afmY3K02W0000A2G0Y49H000000W4WeE@708q0CPrU084000Y00G0000m20 -012GX0B0G402pRtWRpJ0000uW6CS0TT0080000_xV000p00000e851000GL0ootW@@D00GSL -Erx1008400Gi2I285m5GICBWa8n09HYB004N0k00WADCu@V30nJ0CezU40000mX7uV0KnCp8 -e@1GGgM5W_300000L5nr3g3006kz@8gB2Ge1mBL@yCizjF@0002rlicvf_t0sJ0izmLXXpmY -v60200Ov0FkEl2000yM200237CG00000400000DQXD00O8krMnpzn0KK0GS@HDA_@Xg@@dy@ -tf@@@@@@@@@@@@@@@@@@@@300000G080040001WhGd00X0WK0O0400HXqXiZi104000E4GKB -j1zIRG7O8j8g1TBR00000010G0408I18Xa2y8vA9IcsZfhsxaR300G000GYk203wEtWhkV8s -jYE3N8GUF0THwnCsCymLEpBNn8t6K1V22200I3h2000Gc3W026Nb9xo9Dl4oubXjhn0000Oa -uC00G4Ax_Gs94c72Ue2_J0050y@F6Wt00gDWXX1yOeV62OJeCpbux@A000GvP00eP_YQ2dd@ -@z001Ap@@1200GCwVF0sV04dv@7N7orr9KrAm0WE0UOHbB5fY000mkDU0000q100mL@g0W60 -G00001W2WdcTwrKF00WdzeiA410008KWKExIRMRmqbX0kd18gOL00441om0Ob@eUrNYa2UmX -00mDCHzCaAO000I_F404e@@@V20Wi0002eO001IvV9000WK1000m@Lz7@9NxN704HWAW3100 -0eX00W@@F100I000O08000@qsuCp_j@V20fC0_@FgonU000010010001aT0m080420004ZlP -08W0000180010A2mWIeV0000iN10WSeL9yiJIx_XgwC8bk4MsAXljIOlP3s_7300uM@@R600 -0mPZP0002qNU9Cdd1000100e0KhT5WYM0IskbzZX9mx4sgtWJrP00W0IPpa000Wn500GUpI4 -ps31GRpgW9KnT2ZZ@G0R90420eaD60110aZ6300gJ1041qBd100G00800KJJ2Nxn0200WifD -ery4k3a40100000W080000W0eDS3sb@X02D0000n4XCqTD3ftP0Gq4W@@J0800400008040H -rRmMz9qMF9vs7IRT6azW7@wRGWUC00G000005r0082008z2w10G000W20bUpm9EEzyl700qi -NDdmPyn8UJ304o04Ut3r6jKhTyCQO2000u8700KSe19@dGa3iTnV2zxZ10G00000C@neIrzf -ztv3WRC0_JzX85UxKK3040WCje400080001000W7iG0GZK98000uCHgcsZ41000K000_@t00 -0G2N3PmWX6008X7404GaA60W80000010G0WF2bBvp700H000000G01W2000G10004MW00M00 -0e00G800m00000402000sFXjEbg0A0000K4H2o8WCY09000mG318W80GXWG6HY00W44HG820 -00C00u@@tcJrWcOCu@@40W08040009G20001T80000W0AQ9oW306000WGofjy@VH008Y0100 -0090OIJ600W00GW0K800WGX5G0W800C4000200GW00002000Wm@@P30uVmspXCeMW0H00000 -oKoy38000G0J09Xa0IY030001U0b8m88HWH0J0ZWa02041GOfUy@lP0GLL000gOcP4q3F88L -100GmnC4CpC8OcPOc@0m@000LL5mEPORmQI000G4Lu9@@dsWp6yuC300kqMjEm@@vCoC6gy_ -XhfP0000dB00Wab7B7xA01H00000G011mjyIyXj19cR000VeClJu3C3G008CxT2rPRGWs9iz -j10W04G0000028eJR6UV9dntC0K1000Ye2G400pYRG4068000G01000000G7M008a0000100 -020014G5068W02u8030001002A0044000800GG008W00G00W0080140020821K0000020200 -W000OWxJUTd10010000GAA@1080000010100ytk18100000mYF00G5400000000G8hkRGfzF -0X20G0200080010004W000000qea14040IR_70G200002408000G9000G4M0IWX@JeQ036Gt -000edTpR0100020004W0080100014W020W1000400180006fF120G0000200310W0200A002 -4O00000G0200G00K4H2TTJ5WIKWrcI00H00H00e3YU000WmywOG000000GmCxp0002000Gmk -y9aPO2rn@04040040000WO532000000004n1@F000W00H0q@@98G00OLI3QhFX1xDOD@4spt -00216@@330Y000840p_@0Y00WixJ000O000GaT_JuUU9_btWZyJ8o@400600W80G00000410 -80W0000804Y000300120mfbdyjV20200MYtWk@DuSU6cyt0mo10W0W8kECXQ7C0400G_7680 -00041000W000W1000X0Yqz10001200WU@s00W404G000220ym@900026mt0000YLuR0W0004 -001p_RG5x60X0100410000SVZ080G000044WW8000800024WMvD000800014000841000204 -030006W0G7860000000m200840CZ8080000W00400081I4000HGWI8pjhoHn600G00052G8t -90011O4s700WRG0041G400W010000Y0O4000W00WO420080002Wv6CW001000W40100l7p00 -W0WtiC0eZ00M0e210G408W80W01aZi700G0A2F12000Y0000W00810Y0XI9048GA00YK0080 -W0110A00W60009W2WWQD0ui4m@@6G2000012Wea00020Od@RW40800000a2W8410IHA0Ie0K -3aG00000K20H410Q0I00G4h7OW8000G2O2W82G0e20adP80040w0nWwqC080G40030W02002 -404580W0000WC10000GY00O00Wmous0f320000K000W10084C412m0G6b200@ia00004006O -004GG00WyiV20W0006O00eG000W900000005O00WGa1001CXpu@VF00OG0000yDD30000188 -J10000mY004000K000q060m1O41000C26420C03088G0100W0W000WbE0q108W0000080W00 -0040060005W6WrnP000e0004110003VQ0004000G0Y000a0613Ge800O1150008W030412G3 -046sc7VpQ040008H00I108G080W8840GA08ZW01080W0eI0wJtWg@IOzS30FB0yIl1K00080 -W0G001W200IMA9CMV2tmR00010410080001000W01G40K002WW80W8G000W80W4SwY1HuJY0 -0040m0H20001W30820040W0W88B40000UXU1GG8aR40000X0W00G0mM208WqM000nO3o2000 -0WN00WoxD0zb0020yb01IGe0020YWU10m8O@5YK3002070W444208002H@D0u0sz2zJWWO8r -B00KZu6WU00000Eo@@U000K1000W@18Ig2Gq_3Wez70@JLLcnCgCZPY6Um3jgX@N10WYVF00 -_E0WMq2yUm3pC30m@0000000mmcCymCP6cPuCCJcP6c7WVIF0@a27UfT0U0000Uu@@7000BZ -602Z7ym30iCjzc91030000G6W208050GC00WO0P0n0o0g3a1K0C6000C000m700090N0J040 -u0C100c300060002A100W8000p0Y0c163W3O600OE000OW00G600XCWC2@0P0o1_1K0s3e04 -EG18430086G6mTWC0u0@000x10007000_100K1u7O6OC000L00WW00WcGd100q20000Qf50Q -1002NW100WPC3Wg100Gh100OYF3CpCCR6Lu1F0e5j0ewf@Rnoq0WgLnIf5cbI3WqO90UcChG -j@@000Hv5r550K3msS2bMQTnxdsjta00GyUcJXoVDdcpD8xS3o4FXIob0ac1Gi@60W80ezO9 -I@FXYkJe4V90000E6V5X1B1800W3CJemU30GWG0202140018WW0K011e028UYF1H0008801w -mt0r300hpdmxsa000A0004W008W5cOG01000280W0G0RpPGHxCSPV2000W000WC4V2X38n1v -CaD7300EGAsFXEhCeq@A0002Sx@3000AsxEXWGj1G002G0W0W040868002G011a012e04G02 -WsoD00W000G0WkrD0Sp3miMCqyF9K0008W00yBE30G010002G0010128mP@g0880eH1CY@d1 -000Ca700Yveetnp100WO7z6aEc1rqRGo568G00060000YW_dhB250040000048WVihofjF02 -00000W400W40016020002804JM2WcU0_Idd@@DOxV30W8000G0OD_J_st00W004100Q@F108 -G000008W02KTV200G0000GBA00uWbY00G1Kid1psV20WW900W0m0W8UWd1GG0A040O0340yi -l12400000000GhDRu4QpV6m01004000002I000W808qVcjygI20828o@t0820B000K822C01 -000eX2000G400GD00000MA0CJUH00O0G0200GP08Pv4_@t300WKW000W400yKk1a200G8000 -0f4100W100HA00WIK20f850H014000007e00000G0000e_@F700G4W008190G02W0i8yP000 -Wilk1840002W00060W0W0008W0002800C31000000tQQ3C_@N5006W000005G01WC0emF6_@ -F44G000411002K0G05001X00084e100W10200002000285W0101001000GD0e008a0SE008O -12800000G00WCG4400G004300khN540018020kZr00W41Y0HGW00000W40080000G015g0G0 -00000GW300e89IYA6ZegDG800K1Im00gb0100uJc6000408b0W3Gg5q70000mN000484108s -D3m_B00WmI780000GAaFqIu@@M0I80iHc10002000GcGk19zp30PQ60iCD0000m3F0azV20V -H0Y@@70OU6000000089183GG26yQxg00G600020T00080m10000ISp0WA000L0g0_1Z5x63u -400M4t000GI@@R3mC000KWE0e0C080P1u40_@@J0mE0gpC00WPiJe@CmI0MoI0iCQI300OPQ -H@d00WU@q@@100oaP0mTg00000000uCpGm@0ue@e0sD0S6jMV83JKzOOR00eyRllXpfa3n0O -i0m2SmSlyInmBHAl64z@3_700g@lkUpD0WG0Gsv9022W8u@A00mhbiFU04000000LvT2tXdG -wXI0W61u@@wU6@XLkCeWS32d@1000qo200gylE000A0050000828008aP38800KHh11X_000 -e_uCnJW201G0000B20t@R08410G812000000288000uBR64030ixvUJkRGC59020W0H41mN@ -IiILB1mlqcy6W0400000Gpu604108g@A00CCbaAUA0041eW30900001001W040W01022001Y -021Km000004G4Wh@J0iq2mGAuH9GK20001bH400W84O10G08m8Wb00W088200OqfzVGv00Gt -ir10f0100mW40G000W0a20f800GHA0JWK0000g0090500G95U_F100fLXuY7G02000108240 -008WKyk1010GG200e400OI@70BR0isxU0G4102080000001Gnbc602G100WYm_mFO100eMuw -wht0020080800002ipl1NURGmyF000aE@OyGT00OG2T00H000504041P200HmmBq32004WZ0 -GRpF0as1Oevw0003Ro3mpqIBbX3FCPcfP6Uu9z0yJA3ubKuX1GutF0000nF00GZqr10G20O7 -4eG7mFXEWE0v0T0IWx1K023e04c30084O7012p00Wbwehn3X2Wl34a085244A18TG842G000 -0ud60m@00ALBi400YXZ10K30ZczVeR@@PNxHo9nZvFKOl1ZmbGG@L00OI@@jn_qt000029ZR -Gj_6akE61v91Wp5WbzxYG00m3y90020G0000G00WIuP8BT36XhY_@D0000lr10WzwsBLII00 -4xyhlVrtRm0_6qjD6WGI0QAikJzDuKF30G200020060WG4_L000Y3t00GTcu108800000A00 -aGUz00Guy59o1WW0uH@40104isU217Z1mJ7WHFn300084G8O0080GX2W00100P00u@zA000W -xC00OVht69n00W1060100001G100G0041004004I0HAG8002000W040000800G0G00004004 -0000G48K01W008qzF6WmM0Q7zD0028000200HW040q40805G0GJ006001420WX0qaF6i600Y -7LE2GH0LWR0mW040000G02000G0W100Ay@A00CJasBRY0000010000400480100200G0H_O0 -0W8Wh@t0Cs2G3Il1WW8o40020OW810WW0m10YXp0HGK8W002u000aDR5ByYqL@jeggYqV04D -LL9Q7Um@KLb@1m1@3WeP6pGVOu@L00O8EePs0o000W1a10083G104W60e68GGDWEWU0m06G7 -G58004Gx3h@d00E1W5fh3008810002I0I222Xa0a08181Su@Da300KrxRJocmoi6ydV8002X -3PcjKmVG800HKuRC@V2WR90gg5Z9nF40007o10Wa26xNz4UMd10480hhRG65R000000GyUyw -K10140002004Gm3hJW0W80G01002002140000011009k1L0@@0K8iP3udmQv64lj1VuJ2000 -2L300pb3syfC0060W0000I00W2tDuAw42mO300oA9xJ508W000100W02_AF1402001400100 -04G00000Gs3ISz@60EO0MClkK0eu@VC0@00afNTW100sz@400GOm300APQiAUUegU36@dXcc -C8y@A00CN6CZP@w@008000410@_V2eX2WyC73040mg_C0100ulE3QC7ZKpV0V00m@@T9O00G -K00G52C0000400G800GaeFP0010mi2O00eTw@Vj0004H0eOG0GW1040Y@@JW8W85e8G4G200 -a200__F1005000G0cp4ZWKkQ5y400080800OlA68080aHI5x7X1000Yqrw2100000W0i000N -ZQ00010001e000080X464l1PhP0c05WiFt00mlTWdW10019xV30010Kzl100010090qhk1rp -omjQO0yP1u@@h0G8000040ab0028b14911000100G08400002WhI0u0ip2raWWGG300yZh10 -0400m089400ey@A2a2ZRf9704J000O0C100a1008200Ga1000083Y9000S0J00Wz000Y3000 -t10000y3WIzD00910086Wn@h0ys3m6t@7oi1ToW100mWX@910mpq@@O020WOnVF_@NbSZD00 -00ngP9020We_V3W000aUS2DnbGo_F0uH1uofDwXZG000SS7002G8a@@5A8Q308G0Kyl102G0 -0e00Cxg1vHdGeq60000ikv700i5de46010GEbzd@@J080WGVTCiyV2G000pkE10200F89nku -602w1O4kkkVxaqnzW600mJlZ549F00COAXNhy9J8x13AVCX6uJ0200HGy90200Oi7C0ct0CO -@O002000Y0i7K8vrXHYqZ1G00W000G17v0Wuc_NTm0001qEP8H@x1en3WbmDx_FO2id1n300 -Dxtb00002000u000wrdam@h000iTt@W100Y1000200Oq0Mp10R0m@@Qj@c1002085400W000 -0G100W4WGYW1000H33CaqxLh6pWG00WZTW10GdVEuW100e00100K0WG00O01DnGk@g00s0em -LmW414000000a0020000002G0000000zhjA0008iF00y@lM0G0GK2070BKed4442s3100100 -0O00800b00200200mwnXy@l1002zJkLeQvw5070000OF000u000oT004Z0@00091W1000083 -0Ppg20002D000rmzV@R9awLE00000Z_0CNrO080040000W008203M@tWK0C88dG000mO1020 -0100G0000420HXdGW0FqfE9xBnp_wU00GQCqW4Q0mWa2c9IXYsYmZkkO8_TUAUlYvtVO3W6_ -YtWXt3100OpQ_2bibAFX1p@@60uQ1O@Os0O00qBB9nP2vc@X00GglJMXMvOZvG@FEr@VZz@s -S@VDu@JJ_@xjbR5r4wyt6000um600YXZ@cl@Vvy@JU@@Zx@luVZ100WAO00eMlSsjtC00RWd -fz@Re@tcw@hn_VQk@V6y@b9@@Oq@7cz@VX@VVl2Tqj1WX608010SsT20G012pV308083Q@GS -uCq1W35al4eB2W@@jvPV6EttWvzf20W00000Sb100tapG_ws4nk1Ti3JDxFivE6002AJsNKW -kC0lJ72040WZyv9Ow@pU8pzJh0020m8u@NxV800qVBm@dRv73ep1mrBaa@_@HAOS85Y200WL -J00mLFmy@@R00qs_ou@@@L700SUuuC41_60010MfLYy0Ev@@@@@@@@@@VM@w00SO30000006 -m@@90080e306__dG0O0000000IW00000W84000000YG082400_@FA002d0000W370000o11S -00GuC7400Ef0y0E10W@@D00u0GK09000WGI00000G4900000711000872440YaWS800u000@ -@@@@@@@@@R000600000080y@VE97WF00000ig1GI1V200a0700m@@rLTg1XWI20WHcWz@@@V -IU7C4000C4500_@@@uIB2mC1m@@Wj3i195mpoZTb2QH00O6@@Vi_I@1q22GQlYA900v@@F1G -is@@@@@@@@@@G20A00G00mjnS2000LdG1GsaIy@@@@@F38y1WBwJ8MdVUFNwH_L10m0E8100 -W020vl@V852X0000m40mKi@t4NB@@N18W0W@@f2010G60Cy@@3xfdmCBa000W0R00m89Iy@V -N36mmUCa00mJ9I1v_@76WN009f5r@@LiZl1rapGJv9CdV21ebG4QC0000IX00msSFqtJQ3BS -20WHnE1LvUee6pNY0uD82_70Az0yXdALqnpD_6azG5lvx1000Ox100Zu5ox52TF@@rx@@@@@ -@e@@@@@@@@@t4tgW960MW@@4y@VGw@lLSZ@En0005z@@O0G00ScnDAicaoGRX000GI1m0000 -PIR3AzIYWxCRZm090804iD60001I2q00100HPR000C@qiD00W0GTs5jf7CZ6Q000800400Jy -X1uF5WsvlAUlM0010G0008lS6ERtWusD0s00GYx9KvkAt3YnAXUW020u@V3G080ahF60002Y -t@100c1LplKYUaKkNBp_P0Ww5W1QpieV3000HHE00e3Zh2UCXZz@100OLEyY2_h0807mEsNb -_bb00800000My100@@lKPsIW800u@VL0m00S_F32400000000uMF5bkUzebDhJ87N38004q@ -l1WTP0M419G100v7zJUgCqfQ2000GAZva1Li100mm9DpS2E3G00000G@tDWA@@J20G1WOG@1 -10W00000004H5cd0WH6W@@l2100000084910@@h200e40000G0016yn00K2080040000Q400 -u@VI0004H0208UhG40GWSX@g00a10000G600OE0R8303y7W10100YKGbs1CecQCUzr080000 -600_@ds6f99u@A00G0G00000WVC00000801B6CPbv602000yw1Gku602009QyA0001afj7na -@GIwLi8L8200GU_73000q1I4II1XKtD3HUlK9u60001000NmcU9q4k1TXJrbqIG000O5DL03 -D2Shf1G0042rmlpxDOcYAI5YX_nye4VI2xEal@DePV3k@tW9kJ85W4Q@@1008WpzpmryUCu8 -9noJ5G@JW7LDuILF_27F0W80L0eGQvJ200GCXM34Q300J00eLzC10G00000ba00GyxV20OJD -lnbMkt90004pvR0O@7WAHp408000a20000i_70b000Yyy@a0GAe_@t000BCa200McRcFTP3i -G1IScTz@VE0WW00001XGI00000h20000004W0G00002W900u@V514G00000090000WTfNMD0 -2A0mt3A2002100001Wj40S0VG1000nZKx6221D800X00W6Ioy@@91000Z000m1C100s3000E -0002g000Wx000n0_1mqSpy@@@@@pPRuCq1k100A00000200W0W000004WmuIOU@@lGNbGkD0 -0005W10001000480Y0mWQu0x@@@tTv@SR_@sd@hDw@Pd_Fsg@Vzw@Mp_Vrj@Jjx@J@_lfUpk -Et0WV40BQpJf_W10G00000l300Wzd9fLwSEay@E@L4000dl00WQ7AQoV3EZmcX@z00GIKH6E -LBFLWVJ0AfUfaL@@Os@Bsz@XX@FOv@@b_@Uj@VNy@pL@@mck2m23m_@s0C00exUcpvw700jW -v@@VMO8fV00u@VX4000y@@@Wl70_@N80W00jy@@c_@@@@@@@@@@@@@@@@@@@@@@l1SI0ued@ -@@@@@@JB7BI000WGV00u@@F10O87ije0310AMUi@@nW000m@@X000WXU00m@@V2000z@VF0G -80y@VW07I0wq_a8uzu9U300W046ELi100YpVZxyOOuUF_st0W00Wjx7500pm7m@@@@@NU@@a -x@@u@@@@@@@@@@@@@@@@@@mn3000KLOa0000000lm1vdSnM2diXHJpUauC30W00Iqg50a50L -GB1W00WMeDuCTC0G00aX539IR0020WEftOBB6kIkYUsn00003X00WkgV0001Hvo9yHU2padm -Gv9K_E395am@@K10uHTDQaESmWEfLfmx7UesWYsDuhi70aK0SUd4xab00000H0G0dWR004W0 -0020480040000000X00G0W00WypO080104G0aphn0W00ms_C4ll4FLK104000008e100oqc1 -020000G008000100400YGQvF8000G010000WG00002WW0Q0m00GO08000G0X008008zVCIit -W9xP8r_700200040ewU36ld1800000MM23i2W00100400210igU200010000102G88S30400 -010000W804400X8G000W0408m00420881GpuH1Q51u@V600820000vlE3Mip0O0000002000 -800080020008G080000100G014G400uyECIfq9N200@@N101G0000H82101000004H4G00Om -w600020004a00400CG0pGOW400mvJyu@@V0GKEz@l400W8I@p0H000tld00800GGW80200a0 -001208000W0O00040Ha800000009G4W00010008mkzf20d0mvdL0042PHJ3W00000m0u203A -HXXF3D0410GvB60208e5K3MI9A000uc400_@d4910000000m8Y00W82004GjY6Shl1W004G0 -G000820001GP6gSxlA00W5@@l200W802000G4HG4Y000000Y8QG0G20000AKJ40G9c00GSGW -W024H50G80KL140210gOWmG0aeGg00W2D8800_@FAGQ90@@B108AO0002420Y_@t00WC0008 -000G4Xe00010000010040408010G100008001Ia00201000400S0G00ycHK0008TE00yQJ5f -hA1000H000040e80G4YGA100082e040WK0C00H0W82G02G00dLmm@@81085y@@J00800W204 -00022030W1080H00K00804A0G18250010W10OG04Ga206sa2L0tN0kza4080100000G88000 -0001HHp3681O10G00e0060000W0WG80W00DGZ1PS7b100Wjjt0010u@@6030200000I0LWsX -D0G10000241600WW01410G02208aO3AwMbElL10W0w@@LW600e306000C10Y2000m4708200 -8001W8GM00040S1H10001mXK0C00HGa00240u00@@75m07W@@b00J1eKJ1Gx8oKKLbxG40Lf -A0@700_7c3W804X8k30pKboAxEWdN4WMl841UHWdPYeW_mCqwHPbr3yC71HSF2G300Xu6bo0 -0WdinG0G0e0W001W10003000WW001300YE0T4T0w0o1q140C780GCG0We300H6G7YEWC0P0T -020w0404z@@e00yuy@@60uF0000guV00KLL18Ld1GPc1WEyWP000FuX@00W0iFz1CG2000H2 -d0000G0C0000A0_@@dsvD89D60bf00000ONB3_@Fa2VL9uU30G01a0N2h8fIVoC00222000c -K10Wkmh8H@7Irl20002PxZnps68W008Z@4MM@ablCO_@4W0Y000WCeByAImJYRlh0020mPKK -b9U2bYR00C0000004001_@NkIin8nw4EFt0000WU7W0cQ91000GG00W8000C4l1W000QXoWl -uJ00I0mmPC050000080G4800400280000018W009lT9YSpWRtV000GGnx6yMO20014ACq00W -01vldW000000o9ZyN1000YauD00W04018W24D0012GU@90041030200W0G10008000W10800 -00010WGMI9ybz6VVRGsK9yzT2jfP000100408znp08z5W26P0810mNu60GW0eOU30140ykl1 -0800G00K0008uGS3G008080000080008000m00G1400018W1GGW00GwuFqn66Fj9H4a6yQV2 -@sR00004s302bAzGO19ijl10060kmtWo@U0028WW004W0W00HW0wMFXVue20Wxtr5yCQc100 -18gmq000GcvmJbe44W@@R100O0A0000130004W0Xn0o0X004WH004W810W004088200C_eAx -zV20002_000L@F3400iKxD0284mpz68200ux0Owu@400nllcC3080110020040G400022H0Y -W100W08090WBYkHz_y0Wz0806O8000W0240010086G454mW4634HAW80412H0I0Y086mimeI -o00Gr@j0WO01000YO00OG04GW920HA8108IGG0Kn8a90G0104G0X0@@FA00VX@@3Z800000W -Ka202X8000A0W040000aA001000GAe00X0avAL0lC0_@lb1xC0HW0200e000002HK0f00414 -4W88N300006DJ5H4@Zq10W@@nu@tAw3s01G00J3RW00a020A00000G0100G400WGGm@@H100 -BiKlDIG630G4G08000010000W020010W4WUVJ01H0m@@H1Gv1uJbM_@t0008zY1W40qBmA00 -W00e000002G00000s0400885008Epe0F00y@VB0u330002uXP0q6R08z10GHU5WSzlN100BO -t_0000yEt1il@@Z200ayPsUyzi44C000G1s100q100OC0008500OCWAWGGK0G0Y000h10085 -W@@Z2473m@@j0004rP0000e@V020000W@1u_v1mg@pgu@dL@F3h_FW_I10fA00K3297F@mGD -U87008iL3000G000HOzx4YYcXamVOAwDkcAX@@t004400200000G08002rE10GG0@@R0480W -_pV00WzOquC00208fL3sK_110000204ovcX2pV00100W0000420DYRGtrUW4000000G8O9y@ -l1G210IisWDnPe2S30_P0Crk1O0000400X1000200mUx6a8N2000aY6sWAoIePT94000Mnz9 -0004oos000G0VpXHahF8I008fkGgfNbe2D8I_46btWOvDOe430100020Weq03_aF1000W@bO -0004YXxD00W2Hty60G0100G_Prz60000501800000I0WejbR00KG00204841280W00G0W8DU -30080W0805000ndz60W010m8A00142HW000CW001G0Cel10a20_qF10005@@R0204G000W0W -0080W0SlV5800G_@t008G000m000000m0000000086Ww5I000K02000820208WA0G00yhc10 -G0I00140148er2300121W0002C0000H0GGa80e4000H0W02100GG0005GW84GD3Q0K00W3@D -000000210G00000040C0000O0ukG3Yy@1020000u000W06fW1200W1C00C_l1000mi210KkU -24GX000420O20W0A1010O000008am0CmW08W010W100400400082WH09C04WG0W048020300 -00QW40084JW80W0GGC030008udsCew@AU1m0G00CBxdGU8OG000W00000GH0G00000SuNAq0 -000CnwR010HWGBIOo4300W00028e_S6Eut000020435100O0G4000004010000SWfzI5mg0W -_@D008m800O20200002800210HG0402100H600409CH00mO0810044G8O6J04011G6W01800 -0Ha9a108800m4Y00000H000000G2100xcx4008Qo000@@R0801000K0000G810iH600010C9 -861000W008009080W0100102090000I00000W0004H080W00O0K00400e000400WG10004K4 -0Wq@wH10OVBk@74600m4000O000Z00WTsJ0W011004C40000001040000200W0WGl@6auh11 -xR0m01WXuf2GW3mxzL00010020Knc9agl14040wyq00W200040WH00s3G2005200Y2qh7L00 -0uDE00y@l1OG100GC13000KC4h300W4WE00g0409100000000Gn1Wa0OAKI4WPcGK1GC2W22 -450AA2G61r0ue04G201208A00044m88080012XNx750W7kkeJ0e00018W0C300200cXCW40c -Y1Y64124201AnCpG086501032WO0020411000IK0A2W80080000G02G400HG023O022W0WCm -LKlEL0eA0sMdXRJOG200msr90002fax4820081f4020G800GA80GWG00080408X00WK2000I -WWyHeoQ00mT@C0060uWx4k4DXHkDW200000G00050000Y0289200040W000O0000580200W0 -0W0400e5kYUjz100hSFSZnIIC4gV20040sy8100X0001GAqr0000G0030000GyHXGx_A1Ok2 -W@@V0820G2K6040000002100WK0I00882010Wz9D000W62e4L0400nmQ000W84200001YEsF -A000ePXzGEu6K4k1f0y0Q00eK0I00a520G00iGzDeG02f002rRh18B60W00kaiVK006M2VZ1 -2y330yeZ0e_C0mp30WfcYVU157Ta8cv10T7H0Q760qv108Dru7c1mp68WTyF0re60pFzV300 -0xG400W0FC30Vj00gF0@@@JsRI0sf0u@V300W1000287S308000m000W1W1030ZK0e005e20 -0OE00WG000JD00WQ0@4K0w0W0q100C70BaIrkO6000000Qg10005FC3Wgl00zoK0w330aZEC -bCLvP3eoKc2mVm0WPQ00@W10k3HU6JwLV1ERX50u_w3mtd1Wx820BiBFSbHU500yAZ0wPc18 -gmD4000q_z3lGJ2000GQ200HC0pTr2rcT2BYJ2004qy@VeUS9g_sZPxUuvk7_@d10G020002 -0018qHE3@vI2un4WnrKfsOgEvF4000qG6002MFavuzuPR9MkScJHt00mGPny6G0100W00Gjt -IqE@3pXd0010002G056o0800020000W08UWeYpvD87W7YYG200108G00k1u10KA0@@d02W10 -0100FyR00004W0H0lup0W02400G0W100020G000A000010m00000G00080G4018G80K11001 -2e7_PuGz4sKtWJrPuhV3QGpWorP08040600eF@P00004M0GW5yJ0K00nN@9008W0G44GSz90 -0004WG000e0K000400800GW000G1W20000040002001G0114mG000fpG3Ejt900N7b6dGs3L -KW@69AOm8@98000a000GGpK10D18C@b0008aDRK00WGjC00y@FF20086xt0003YIK0GwmDgi -mOeqTRwotW2zD02000K00WRTIu8_bI1sWqvv1041Gg@901408ZRgC300aKWG20100GC805b0 -0055Go_H10udEkVU000210W0ez73G04K0W0h9idDstF7GW90pzBKo360Af4001GGdS94l_Is -600gxN86600ZXR0402WfzZ20mtpvu210009f030001kf8LWF60gx@740G0080W00W00040uf -M3UFYdo@b0000id10Wx_5210000008qB10000HIsFA00@onzp300mC00000WP00000uA0000 -Fm00Z4WczZ20@0mgW212nE00040x000H100Rp650002S000rzB4@y300anxS7muzFWPiNYDr -yl10WAwXrQPv@bg6G5mMA0z1aJ2PRaF9CdWk1000CX200958KNST108hi@JFURmfZFj1yo3G -TSIaHE39ndGZz6000Y8cS30040028YOfS300G5008WG10201W0YltCOyU3sVxXKpJe3zA004 -0ClV21eR00G000W02000eH001Kel10280gPp0GXGG000e040008G000010W000002802822t -dX54JObk40010WW10030WGgS6a683R0a0G000W000d_K1W000W000tAc000140W008000G01 -000eXeSP300002G0000G001W06488004O8000G020104008G000G0140410AVt0830W00001 -G00200106000G1000200G2001130A00KiYO36vs9mR20ZrdpJz900W40004002GWvwYQpc4E -ij80400zqQmgvH1WeEPAzY430000004008s6tK10g1OsxbcraA000uk500Edl80060zgaJwz -U00uRT5@Y4C00CXj12200QsFAW770tKN400W20K8e00L0YNdgCkVuGxbss7900_dnzZ40010 -100HnPI58O7Wy_HQHS3QsFA0004e100oxN80410vcR0W80XazZ20W@GS@B940G8NU3Yst9Gm -20pzN400u00000cQA0fA004GQKs200w93900WEXXJ500WmnvHYH00000W00U505i55Ow7Wyo -mxWkJ000GRB80OtDUcRibAtQi_lPUYV3WRA4R1IoQyTzb_60002000GG9008KT3oat000080 -04K0G00M2F30G00_SF10W20L@R000aWp@JWWG0nJy6020Wu5V322d1GG000420G0000080S0 -@4G010000404G00200WNyD000G1800G00004422cBF10G0000CP00100008OZS3UvtWplD04 -008080mPzJ8EE3G0404MV2W000000108040400G8z60W20ONy4gHc1800G0104000004W0uA -@440000W001G0Wmwu600W000e04002000GG011W63F1A000Wc20W00000K000804200XZwJe -jE3kZrWrwJ000WG_S90000vPT30G0W0090OQV3m8W0CWVK000OZcH2002g0010Fwd1080000 -0C2@tWi7IeyV60050CyHun6WTO3@@Ln@TTy@M9@dro@NryVLF@NHsd000en101i4zOjbZHFv -6aKU2TbN100ljcEneyQ6wlsW2ib0020Gc@dydD6ZhRGaw9CmU50uA02piejsr20003p1GWrs -ne9U6C000q6l4Jo7Ins60000G4G00000m1_bu9x4ovt00014PsdmWs900OZ20808004mXmD0 -28200000GW100400e040GG0Wu@V3000A01000480GotF0W80ekEF000400I0eI_A0010W000 -ON@4wbt04000BFdGvy6W0000yx0G6zg0W00vW@4Q0dXszs00O0W8000001WHAmGx@60102Oj -K30000200G4000000030204B@R00040040000G4000WgS000000u6wC8080CVz4IId100804 -0G0kZF1G0040000lqBXMFcPd@J00yF004W83_4W06046h1W000m000qzM20006W00049h4vH -mG8S@@Xt@T0_@6Y@d1v@Ptw7007u6rtOYNIwM@gw@P0u00m9qOiSB9xN9qNf@@nyX0400_ed -ae0EvWs@FscGW6601YCMsmX30O3Fv4vo5tWZntu2_4Q8t0GvF00000EFpW_0Uufy40002kME -6VfRmfvCiRkGh@@0000o2pCuExSorUc5tX10G9nvxyahV27xtIQ0gKtl1WaU0cjpWo1aus@4 -000KCzF6tuBHOu@tb_@Rn@VM_@@@@@@@@@@@@@@@@@@@@@@K1_x1u@@bkLy@@@@4ut1m@@BT -z9L000mb600yImafK@VsiN9L00eP@VU9daGn@@Jz@xa@@Dz@@@@@@@@@@@@@@@@@@@@0f_FG -g@J0i5f000@@@pl_@@@@@dz@V7v@ln_@Pq@@5@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@pzF -30VjVk@@NZ@@qy@@@@@Rq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@31GE400S01d0000mX3000 -0oX0E008Sc205H181Gu4W@@h0420m@@6000mfO03I5Gby1I00000E00W@@@l8@@dj@@O@@@@ -@@@@@@@@VuKc4_@F1000Cc300_@@@@@X1040m@@ImnY0u@@@@@@7W000000000i4z@leWDP0 -01004zndU000A@eY@@@@@VL000220000e910G0002200fPyVk7@4IX1DVam@@G6k63rhbm@@ -@@@@@@@7800e20100@@@@@@5n0W1u@@@@@l80qgT9x@Vi7@@@@@@@@@@@@@@@@@@@@@@eM65 -300M4@@VI000W@@p0GH0W@@@@@@@@@@@@@@ld_@tv@@@@@@@@@@@@@@@@@@@@Rt@@jy@@@@@ -Rf@@L_@@Nz@xb@@Tz@@@@@@@@@G@@@X@@xp@@@@91080m@@510eXv@@61080a_l40PA0_@@A -00W0@@N4000Om100@@h8000Y@@b00mCTqr@@@@@fc@@@@@@@@@@v@@@@@@@@@@@@@@@@@@@@ -@@@@@@s0Uw1u@@P0001y@VQ000um600SwcM@@N4004pd4iPnW6@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@n0000z@lG00OA33@@qyh8m_PG500Kl_@pp@Vy_@@ -@@@Ly@@@@@@@@@@@@@@@@@@@@@@F304004000@@p00W0v@@@@@@@tWZgDvv1ab1m@@QjSVH0 -00W1E00i1tLDupmA@y00WAUY_v105000008v43000GSnlG0008j100SwlJ80G0W000000G00 -O0004W00a00tPy300oqhCegnZ7opu70xF0@@75204G00900600004000100000OEby0000om -00m@@EX10G06000i00300K002120G100W00uFp4ouvX@@910Gao@@E100W04200820080202 -0G0010102000400GEby02T1u@Vd00200W48000W00Y000202G00009000101G2000210W@@d -1000eb10W@@TI0W00Y200040003WC0G010002P276U_uX@@910Guy@@H188000011001G0m0 -4Rkc0G04W@@p10U3m@@E1W0W0400000021G00VNO0K00000Q0pLPG706y@FCu100_@t94500 -04070G400G0010HGa0W044u0HW000xxXX@@tuc690Wm3z@VKYKLL4zX7u@@0mCpSWggAQpCJ -qEKLez700000KD8b310e10q124e3ep1030q1q1e1A3G104000860002aA75000@@x4250008 -882A42WK842WW4CB3U_@t0001E@@@@@@@@@V_bSP0400W@@dnw00m0tKbmjG@Zzm@@E1000S -oC9gDZ7GB10@@pM5Wp000WMx00m@@@lZ@@fs@@f@@@@@@@@@@@@@@@@@@@@@BOv@rRH@@R00 -WpukoMwXh4Q0mW@@H2WB0m@@V200WNp00Ga2Ez@@@Nx@@@@@l_v@fd_@vh@NUx@Z@_Vun@@z -y@TN@@statql10G002LUfHyZ2yB3GbrEzukzHAKAmp2WTm5AOFmyD00ihkJ@@7500Fp@@@10 -01m@@W1yl0O5Ud_@@@_r@VV_@pt@@@@@@@@@@@7O00Rtdx_@@@@@@@@@@@@@@_1yV0u@@bW0 -00y@VK0000v300y@@9RlB1000nBuD0080uSz60002u@@e00yZ@@@FhhPGzQ6CK0L00000fu0 -Cf7F810000000008uQl4g0mW0xTIs00mTzV2WeUEA3BJx@@@@fAaYI1UE04Ind0008EbK800 -0K@@t5000i@@v100GqJ1Z1SR0u@VU0W000000DjNmOD00Crxd00SlZcz70WK8000000034ao -@Q600_@@74J0000Wa_@@@@@BbS00m@@2jBi19NTr@@b22P08qybYKuAg200zRp3800000109 -Fq50Wdc@@dv8E3W0W000004W028800WquC014G8880W@@Z24l3G@sp080000080W000005G0 -2801020z2d1pSJ5000Un300rhZ4000180I0Lx7500li@@v1Y00m0IC000Yu@Vg4BC0y@@F22 -00000820800082O7064nLKA700Es7f00f2000000tu7@@9un4YV8XezZ20k0m@@v00049j_4 -00H8200240H0KI1G200000YGmU690H00uxHg00yvE2dG82W00G20001W2090u@@KbK0FTFO0 -00000W0HnQQmqpNPR00u@VUO200y_f19cO0GH8W@@Z20GSQGg@001000080Y001H0000004_ -cbAmd405ho30084a20000WG0000G08B104GA600WC5ZwPtV0000oT40000StOX6y@FLHAypA -S680c861G0W1O200e300GK0_@FAGW30@@l4800WuZeYq00GaYS200000mNnLlyCV@37ENn@@ -21U91OikVouUCL200HAWKR1FyAj19iA400yt@@FP7Q6_as0G000800404G00000ekD302G2C -Q6LWK80_5lbtmJ00028C00mWLC00K80WmW028008102EbEXakDe7xA_@l5000SHkUIPh6Soj -17XR0W00008402G0000000XW1a08WW002cMpCu@Vd00OBy@NE0M40000W04G000002200eSu -D0A01m@@H1U80u9SX_Wp000GazXJ5008sScu1004600WX@@J0048Io_K10mLBRjVkt@14G40 -Bx750k3WxZ@v7CgY@@1T000lrp300Gur2J00HWOczK100wEMFU00h00040uGn484008Y808T -@e0EU0yRjD000Y000I1W0I00000f0a01aW8aI00G4a04lELm600ACVcdKD00W00I92000088 -8KiG40W04I08KQ3_@t900Wo@@3p2g6008000W0W0XW54030280G02080W1W080G00a0W@@Z2 -0P1G5tyW0002000404080W00000I000XyPFLe600_@V620G90W00m0ztWWGOtB084200070W -G8x60S0Il47kz0OU0_@V630000G600W8000pF00cV0@4T0x1m1q100i700mS00uR@e0F00Su -FI20G06YFVmR10L_pJNFZbtVf00ShoP6f@@V00WWG4x81sE1e0_MYDBXIcD0G002W00000GG -200000G0000W0010X00000080dDRmSp60020OTuS000mnH00uOJL0100000G00G008W02028 -0W08001H0W20W0K001WWW20H158420_@t0W00820002jl800mrZMr200002800zhR0X00002 -08G6WG0000AW00408084000W2W00I00Atd1100000W02ud7GJ80dmh2G01WA0I080000010W -0000100028W080028012aG100010lSR040000004010G_@N8w300@@h2A00WT_JeWB3000Iq -1F3Dxp0024000G00W0CQy@704aX@@R3082008000m21s5E10000O1004002Kkl14108_ut00 -8015y@3uf4WqU@PLV6000W0002004G02O00G41080220000000u8UVU0000xA00ek@PUCpWc -Ab00W0000G14GW00W4W8802W0280040Y001Wxzv100yMzDs0Wf0004000G210G000820sZE1 -13W44080008300201D002O010WK006000gyd70R40x9a3a1002200Y130k@t000me0002004 -0m40000W0181G90824W00bopy7000m6100_@l5G1P004008bK0824000200004A00WKI4200 -00IHA0G0W000W0480G0040eG001000W020400050W9@v100Kmb7j0000G8mH00004W00OC40 -00204f40000W0Xa8AE00280mmC00062Y88010040n102GW00n824004yu@F0kP0Yoe504W80 -000G0WE050001000S000400220401000010H00020K0e0G0400400_tt00G2GG000Zyd7000 -Km700Mwj50100000We0WW00400002W800K002G2010W0H200G1004000X0H00O8000410m01 -00048004431W9@v10n9rFgg000GI8000221030WGe@60S0xlWiR8008z204Y0X0m1f0O0sVX -G03IG20004242942HI846iW44uvz@1mI2GT@E10WJ4m5a8WBWB0NGM0E0k0SYH1G41CoVU00 -0GJB00u7yM0Wv7890EWV00G2S6Gq10Wv7e3pFOlK0I002gAL4CJPy@XEuX7eoCpGG0_7Wg2m -a@y00WrhkVUC0WfA50080FRo2qldkheVUUaE00yI0IhHPGRu6a7_I00m52QKKG860HAiqOtC -0100eF_4_FtWJDj1000lG10W2zLX000000020020040024t0G00040W000mWq3c1008GI7sW -UnD8B_Y00Cwq4r90008086G0280070010W020W040424G04C0G88400G520Y9K0140W28kFt -000020800000mymN85@J2e70WG3KX020200G1020G00G0GW00142200O020K1014820MX00G -G008W00G00mju9SwC6V_33i00WHuF18002G00800203nP0W0000008800G000004O00WW040 -00100I0dspGmzF4@jD00Ma@@@aLmJOcE300O8208G4Y0800Y008200zsRW0Y0WxZbOVM6Ypm -6Xg80BS33Y00000K0ntQmz@98a000004mrvCizu3@hPGwQseL00ukVO000eKCS20G000100A -2000W000001W3sJukN3o4dXyHo10G1NrDvW00000OY400W80O20DxPm91R0003uV@S0Y90St -@900H0UsF12H09WH4101849600G04JaP000400000W2X800ERl1liR00W0YWFPG0G0Gtds0A -00ek@M0W041000080W000A02000AH8800008202cG0W800200WI4@xR0HW01004001280WI0 -000W00c1000H4G000K200Mz7600PSTm33010000WKW2040W00000W400000a20Y2900GA100 -WG_KQ20oG0G00208W00100mSf6G000gXKR0su0K5UB0047000410G000I1vw@904e800410G -010G4209gQ0002G2800000G800W0400Y04WMKE600809tpPuD004BRB000G1008A80H004I0 -0000O0A100WW0J000042wr_40000MiW1W0000009000G0000n6@60W40OrVO00qPD2FCzcp0 -002YDxD0G0W0G008a0022W0000000WG00082001200080048052W00H0GCbT32LnWP@RHiu2 -m@@d0WI0000m00400W0010W0OL3030zM0HD000aQ104G000Q0023NBL200rzt20sT200G3gj -20ztB0wrX0qx90eNJPE00mVc300_@F10a0m800WHGI0X0c0IY91a416892C1G4O2m400Kw@C -00CQgxl5YC008k0P0m1O000o200OE000G10WvE03HDGM046E34CpC0mX7uX7EGLL9eggIG7M -bWEy00fgAL100WF@xP0Op0i59F0KJD0000eU000000GB66S3lz00GLUfRcbwOG000mJTB5fm -@nrt50Wdq@@LPhC3W100Ckj1K000EwA1100W1xh5mW5W_WF1W8Y0e000O00002G018L4W0CW -0049a0X08401Wm128W10IW0Ye0142n6p@aiV54500QW@4410e00020GW028O00C0002O0086 -X801Y000Go0L4424100CG000140hx750WpcOuE10000Y00amwD00WWW0G0exwDeCU3020008 -40081Wm6Xvy@@6WSN0sPM5WW0W4H0080010H1004000C440G000W40000Y400000Y0W10vm2 -M200jhw4008Av300NXT2H0000GW8WW000G4000002G1X0000fWrD0001000G4G0a8NfG500B -WV_LX800Ic@90m0G8SV30W0000002G00041001808TfI50z7W30L1W00000080510tRRG3gC -00041092GGAN9600uyeJ041a28W0010G4H0I4Y0IC4W8C84007lk1Oa400mC41n020G8YIyi -H10uxvf@J0G4H040401p04H000A000800W0W80006000u000008820008GX8iCSG811O7te0 -XH0yq@9W2040000001I00W01Ga2A0KA1000f0WP82000WK00W4W40I108W4G02sbgaKL9qC3 -02H080W4080W0004000200m00000W0008I841I1IN108LzBOLK0042000W90002G01001080 -00G02018400G20mxR9iuJ2tKl40Q7Wx@LPY_40a000000G000e000000804000eGG8iqyL00 -0uy500KQu9g1WKGA30W002100002Wj60C0xQX_RGG8ix5042080W30W0WK380WfM2bEA00X3 -pmhYJA00kW2JqH4YHW8kY00W540ep@@6000ULA00WKUQ80_tS0yL0MlEAWx70RXhY20GK3m5 -nA030S0N043d10my30Wv7mF03mU0S0C000O000C700gvEA6000FzhoFu6O_10es@7yf300W1 -_oK0_dv0S@L3JOqTa00Kd5IUKfz45GJ6WPcEf2y4UiYgtrhhk@b00e94xfAVLRGdUo1_R0Of -VL0110000W100e00W0G0428NrR0000aD0J8nbhozwaRwD000W4a0W001W0000Y04I0G41843 -42120A84G0GA480G014W02Ww@@e00SX@@@90W00004200154m10e120GG0Hme1W040eA00GG -0mI4800K4O0G0G000YfaAW3C0@@RJ816010GvPz400000X0GOlEg2gz4000Y030OW0O80H80 -0YK000G4022G4C302W0040041000Y0004010mW00m8YSDA04qbbhf2X4G018cW0W0H400I00 -040401W00084GM008800001001921WGmknpiyV8WVJ0wZM50001000H020W0G400W010000m -f@D00880001200449p4LGp6OM008f@JW001yvV2000K00104yl1W0000120101Y0081G19K1 -08FQd@J00H1250W0W4C080Y8W820827K8K8HG0S81GY02H0Y88249eP44XK10H400OMue0st -0ip@9Y041f53b86O8Y0Hm80O100G10WG4W00040H20W00G220800AY4WX00mA0043NK8600I -Dg5IH8084008500W08100G10H0009GaK00W00802y7k11D750WixZKL1000W08240000840G -00001001000GuNy600iWugR3G800i8TKWCG0w@NbMwD0W0G0000000e08000e1H0X0000440 -2000080W4VFI50000Z1005pC3X0000W001wd0001080010W00@@@XOkB20GRrC@d00Gg100m -aM0W0000800020ID0O0aQ1ir0WmOl50G00W0W10010e60000C0maqx120kY008g3qTNZGC16 -PcE0uVT1mTP0WVh00tT2tzQA_xf1yqG0wlT0CqC3cDt9@000tyVIEu60303060SGOu9Wu20W -v7m5038B0C06000C000Y6lUK002nEkM5dK00S15TvE24Z00EoH02me@90006r800W6Ew80sH -70iH0YX3fKZJ0yB1m4vTr4tCl4z0000CR000hzgIY@Ra5sIhGRGgn9000ti9EH14R0ixNHfh -f5000Gv200rmh2800G00147nd080011G002020wrFXUuIuRV3Qtl800Ngnmr2W0090014000 -400W010A00001400024211K010G4Ha08Y81G41m@@H1oh1eQML08402GAW0m0000500W000G -02041620WWY208W0000G040400X0MVaXy7B2000NoLdCWq3tSRmtv900A800148808GG0OW@ -@750W7d@@X1220Gez90W0800X1000W841008000MuYA00002r70MyV6001G00W0O1H08O040 -12204000802W000010044FwIHkc0z10W2@X10082100iwoC0GG0GZy90028gCZe0000000Oj -Z2R4G2000000Y000000WZ7kQ@@40Uz0yfpC8I01ea84G0Im0284e1082H4H4043000052004 -0600Gp_H5yDC0G4W000004GW00WW500WK020C240000010041000Xui26yilJ00U5Zx76c10 -0000f05090010X000080000850Y0W8Ga02000GSYMg0HO04phD0W821G0WW004500G4G00K4 -16001400G10iiFLfc2p316000WG00o00000W0G000W0Uit0A000X_I50W0yJUj100044000e -HG0L3d00011WA404004MsFAGn70pz3Zm00WmmD0xjGcR800Cz204Y000310G8Yk1040GktdK -nVBK600gxl50yQ300W9yR50wxF0qk00GMpZ108aikVOGS1046U2000HD00cx0T4r0w0W1q10 -0e30nmH58K2Wx_p100C30CK50WQ6WQd80D47uEH0KLF0OQ@FiYjG000Gu900StlD52xHRuB1 -0eUwlVa4001C9_LWN902MdgsmN2000ad10W85SQ7U64020SklG00wnA0i50084BzQ00042G0 -800010014G020100020000mbhf200000g7WN2LPVP6002000800G00840a02W0100020020e -0282J00mUXE100Wnm00GkiRqil4dWPmR26000000m81GZ0H40040000G8030048OXV6oOl80 -0UbNME300000015002GcuoWKNJ00GWGCSK1SA0u@VUW040z@l180040G000000400W0000aj -hM2004gg10WO@p1000C40000Y800010_jtWYqFvZ@M004E@t@F00020G0004W0I008o5kN5V -4CD@@010GWLzDOnJ6MSLeu@P0000gr10Ww_p108M40HGmw@D06310H000402000100GW8kls -I00syYxd700820CW0W8Y00f800004mxw9yIXJ07A0kUW70000I81H05000I40eHnew@F1U30 -0rzd300v008200W8000008000PIbAMtN800rxrzppgr6KMd1W0010008C@f1zHj400000f60 -fHnJx@6082000000G00ejmD000mqe2B100Wq900mT@v00oa010maH0080008BNP0G00XazZ2 -0mVPS@8vB0000yFlA_2WqXC0200G1x81kF1ukVU0We300Y9WE00040O00000q1400wC0ApC0 -qX7C300u1F0009fMK4Ny0060q_30eNJvE00mzk100oE6fUvtxlWVxNu@l7D30mjHZwy02004 -0G008W000004W020km@AGC70H2DpEt9000005G010100008W000000144lELS500IUibCzJ0 -0100006000G402GW10000AOWe2@h00u6Se@F0040YwD10002Dk650000m380hcRpMBf1002b -r00G3tjC9ZS00SGhwVcPCeQHd@RxTi@GCukVL0G4000008x@eY_t3mD90zHtICsmaKmRdZys -0fCy@@908082i2F0GD0dZqIrVQbzF6000moFkbuySAMCc11M0SbSB80000GG0acXb@@R00F0 -00000dqv1W40WfhZgk@ek2tWnm9vZ@4ogDXn@h0q70mWmUi9i1LOwqthIG0048ryD61pZHsp -v3mbAcmWAc89afz0Vm0CtlG5qq5000G91009ld380000010Y000M8r00100020WMiFA00d2v -bbJs@604009I1m0WG0a8jGhwR04W000080r3Xtr8H5xVB00wuA3DjtaO8kjM0zU0yQw@xmK4 -00kkUOJ3210Gpqs0w@1umMp000a49eD000OeF00yXHHn@72044WLVaOuVI008wL4QHV2@Vdx -KjnTK00Mq2uoflpVuo36syF70k00@@V208FS1HAG2YYYe5A1mBX41HWP02yW0aETM800WW1X -7Xc20200G030W0d3W0TPXKyw9awz9000mL000f010Y@004uh48000170C000W00041008000 -G_x0W495038H882100000W8400000W421002t@700Ehl09400OW@@D00Hr8J00Wpm@lxhk40 -080m00e3W4Yzl500irTkF602a0WG48240002HYW000GW40mi@j00T0uSUd0GE400S00000G3 -06872u00Wm9892019I200004aW0000W4H00Gj@jWP0086@@@@@@@@F400m00000001W@@p9v -0y10000WLD0IAuJ00W4u000w@_J00hnbywHJlCSAy300a0IYtCGv40XgBKKK5zb@6S600g6G -ecNfA@@400yY_17OhyB48Y2WmeLfvw70080CeGNG000W000000WElE6M8pWYVPG002GEP680 -0G8sS30005iwx308008001Ck4CvVJo@t600Wzh4y7glDXdrD8RT30004CYR2bXRmIk6yNi18 -000wbsW@@l20L2GOlg00080W00Gho9q7U20800EzLB000y8500QzF7004042000W02100021 -20G@_N1WWyAs@PIMBXAsD0800GyF64boLHSP3000vleJ000G8000008207yh5F00W7hX1000 -404000a10000084082H0GOnbk000A7xFC0WA000J0WA000H00080GYK5C0041O@_Q1041OTo -PEJn000G002505H54eG00G4ZGGPtN100Wtx00m@@j00C000000fW2000000GA00000200a20 -0Wu5yXCpVE00SiNz761H4000AGwPA1G10000400G12aUkMWm40MdV64540041008G0123200 -2004000G034LwQs@@a0088200020008400G000201G40HZ40000W4200000e3oJ50WLdUtne -yz7wAE10e60HwR00017q30000mL6a2C0050d@F3H0000ypT0mWl000L1m30m600mZf600u18 -D3gGB00KyVB00O200020100mO000G10066008L0p000Z1W00OR@h00487fWD0006G40Y0O0Y -NU0200W6W2VOezte0IE0KW6C7yxnc2Oqrl7dKl1000AS100Zph20W0XrlJugw4Ehc10004Dx -ZHjrgGW00O_V600W2@@VB12yGw@c1_q1u3QLIAvXGcD8cv7UvEA000u4200YdN52000T6Mn7 -WaC7G8R@Z1004yho3fnz700G0qFl11lNHjrRKJIE09F0srsZGjb0W00G@yRW0208JneiD00K -KlA8000U1dX25xA@@400Sky@F6G000lf@GXmA0@@B10Y0W8rJGW00mC0FqFoR000uC300iZ9 -X@@l100pecGW9On4ojHb@@@1WF1mRDOidN2Nq@02010Y000VdaJkdp4YR582000010G400uG -e7g_hhj@n00WN@@@RGA00OqV60G20qx@Ivxh20V1W@@h0K00OYl64rL2Z_cG5S6SptCPpc3L -00WCpg00102022W8VP0C00mil9G00WOy@q00uoUTB6N7RGrRF000K8x1v0Oj0aPC6O1006wT -380000010wmPC0004W300_@l200uvE000Q5tW@@D0W8Y0000WqNIG150e300W_x730mHu@@O -00nCetH3_@F1W800HZQ0040000W85faMCSO0000qdP0m@@_100G02000000YWE03400G3s@0 -0G@DUkGscqWp9D0400Hhu6ylz9DDQmdlvG0108boP2CFXhPI3000gb10W85Q9lbqo@F100XF -@@h20X0W8ub82th2Ha1WF50Z8M100200010hVA1W00080005A_GWzKrWO2000mnA00qSO5fG -Rm_wC000W0000800OW_4PekK3YGgB00GGzJUITdNLwMWf6GTK3@@Kn@DTy@I9@dqo@7ryVHF -@FKaYW000kEtZCjbOQP6w@s05100rZNK@zLiYz6zXVY000000l8tzRpyu6q1@695OmuqOq7_ -9bKR0WP0W2@jf3U3cAdaoN@1000T6td4yFLzSV20WIsrB89e_DYOlhkzD0a71G0@L0400000 -0X000WqmhekS3_sdXtcjvTVI000GAL00uVV9syt00021zjQmcwC4zl1NzRmk_CS8_6btdpdw -600euAD@VItNkUw_Vdl@p9y@R6@lco@dvy@aiGwsx7c_s0000ivQdm0g@70x@@tU80WZdqtc -9xyt0Mh0i0dA9zXd810WP_2fog@FVktmmJ0000XS10WmmneQSC66lYfDYQBz4IAmWmmteQyA -sMlYODyBVa0@liYLwD0800GMZ@4oVH08MxtK@@Cv@@IV817Y0qWY7fmUIgGTHC008KtM_@NE -00du9nw1084W@@340u1mPnLi63@@@F30WZjNan00C0m@@722m1u@VCu700y@@XfOW100G420 -00FQln@@@F3zX@@h2000Sa100hrvqF4H10eM_@VH1xh04_5a9e@0000aCkJ8YV@_@V300tph -ttIK_pq6_C1y@0WG0WrxR9A@Psw_@io@@gz@hg@@f_@@@@@@@@@@@@@@@@@@@@@@L40WkGPL -@737IM200oxxg@@FfY_G008sy@lM1QBnoyg46E300K0237fenb8YS9wxlYvvh8Cy4000WmA0 -0O7pA6piYmmt8Bk@3_@@9o@FYz@Ve@@6_@@@@@@@@@mt@@xUQB0U600W01@R0800WhrDuBQ3 -00mmD_FO0024_6VcBcP0Oi3G9usabS2j9NnTp8bNd1u200YPscqfJOQTF0000UuW1@@V2004 -801000048o@F100pxvmhLZxFa6l4vQRmdPF000020004W80W9uJ0qn1Gjsy4cc4zrxHdsI00 -8WOJU60000cBl10082Yct0000qG200YusWmmD000Gm_wIynE67ZxnuRLqIE39bR0WG0a@@P0 -0W0q@@F00eh_kV300006ul10010oaJYUvg0000uA@La986n0WH5yFazW10I00500000W2000 -00WP3Wyvxw@VO000W5bY1t@d00006d200@@xKK@@000402G00004ny@J00n9VmxA200C3040 -0000H0100BGQGh_60qT0eS5dUtN80302PF060O0WxzvH104wWdF00OZuMpGs_FD00Wo0G500 -305eO0006J0mSh90G_0umLd0W04008000W8mieXCw9618P0292A00040002000WW70800G00 -00HA0004Z8Pm5E21G00GKW0000mmoIj1KW0m@j90001000x50000020KY240oAg800W0WW00 -01n0azJE41GG000001408Tq40Dp0022000W1WW00WCN24000Y4GY8024H80026eD1000m830 -00000G080u@VaGq14000S8Bc7wmw40400K00EEvo0000800W00KC0000R0850000g50800hl -Yn@@f100U0Wd1003C000008wB0_@F10090@@7506000C04VrYn@@U0m000W1WHsm6000G000 -080006l00000000u10000mu@@010WTg300K61000K0X@@J00GUOCS1s1d1N7R000402040t7 -p0W000mmC0BSRpo6O0W008pu424nWbeP0200GHpIW00200100080W6aD0014002008000000 -0X00000001u000W04WoZtOzE380000002W008m@@XaKW102006Zs0G000XGnG7pIqQW19DRG -3064sy300WUQucXdByes7XUkUZBfDO4S3W008CYj10X000000006100m0GhQX4TG2fKdGlud -80001014G3X9y3E3XRb050000W00B5Q0000800G00001Y0m000G0000G4E00iXj12010Iwc1 -0108DUNnc09S@j1Lep0001000a000W0G0102G000100020000002040020B120000W02K002 -4NX1Ae04QDt01400G0W0W00G8WG0I800W00W411H0000G0G0000OC100504100G0G0@@d002 -8W4sP8qR60081000000X0GPwO00W0002WW001400G01QO0W00XE7P8QT6G00100200030020 -000G0100020002W60e020002W0K08020020e0000Go0044m200000KG0@md0180Y85O8BT6Y -Xm0K000BIQGfyC000020002110W@@V0105m0y9CNW1Ge00W0040020004Km@@60W28ObT302 -00qiX1GG0Gs@F1Z0001DsY20GWcBP8CUX0O60002000WG20008e00G010018oG010G008200 -G10010000u2@@FAG410LkRmdx9008000G0G9z6aQl10810EkF1000YW0WmwVt08WG000b08m -00H00WumU308008QV1eTaGcwl200Gax_p3W00000G4jsd0W20000008X20YuF11001401000 -0W000WJo00qz66KCZGlvZHEN6y@l1000H_@t0Y800JxB1088202I07xRW00420WO4001000y -ic75C0W80EGWXh@b08Y00080020009ao0005W_JDOF130m0H00000Y000800100002CGeG22 -01G44m0ZE886IO53016GOH8584OG1WG4000G40010208040IGKbg@D8h03oJpZ@@J0m00m50 -Byig1zkQ00004C2G481a2008C00Om1G0020W800KCG0C00W01W04G00H00080400200DdwHa -eFG4000008oFKO0010e3FC00K04bh4W2000000G0f2vHN3Mnr0G0000W0e0000000900004K -0000094LYiHxNLSpw6zjOmoD9q4Q80002100W04G21Hp0GYd9a2N2008000yfE6R8NoAnliU -iAS2b5oGNh6isA30G11WW2G0000100G02W00021037o0000K0G00P_O0Gt31GG00r@6IqeI0 -008ebqAs5mW4SbuBu701100080I800002000008080W0GH082108dA6Qer0000iw410sw8XL -bnuRP6AzOZ@@D0HG0001GWDpO8qO3M_910000000cI1u1Kd0000G60000G08W000000m0000 -0801W0G4C000m150b0mDI6SAB640W0YHKYK0eu@V3mC4cfA0000m3m@@F0GTLfbAC0mV0000 -kH10UE1300W5C1GA8G4K2GIe4WKm909WX0000WP00m0_@760a1000WT10WTd0T50Ga10000G -600u@@7GK100G0m000WGuR6ind1800I9GG080g000e0W004G12O024m0W1W1030B06068C04 -003000DH0000100G60400mh0ICHd10008MO_120000G5000e0i3OB00WCb100uWPc1WFy00F -4000000eg20W16WPYW0680WG8K0G0fW8091H0I902X2YW0a0814WTkDWs00m@@veA00u2yMc -DR30W000G02gtoW1kD8GD3000000WxExv7gws01010@@d3000Xh_I0200mdV9qMU2PIb0400 -00a0W@Cp0H20armD0020040Ga@@D0iY0W00WWO2CO0T60002iBk1RD@mDTC000000G4GWwLy -ck1pfRm5tOGW04e4FF0m40y@l1c300MWh58002954ItmOqpj1dKp000W1W080nmd00O80020 -100000808SPT200qo0G00O00W8kR60008W0W0uWU3Yg4cLsDG000G3u680401014mux60002 -0800mIS6000mO5D30001080800000200XHuDW040KKyF0_UXe4R368DX7rJ0G0XmqxFyAd1@ -@R0400as9h0022W26400000400011000A204002000WmepCW0400010mzyD00580S0001001 -0G00000G90a010100CW14WW02WGX0504W0084AmU3WJ00008408140G0001004W8002KD100 -8W00W0_JHYgqJu7E3I@d11000Y1008400qYW1001804G188000G810000080402014APtWYs -CeiL3C0000000WY000I0G00AW44G0WC08200808WE300SbZG001G001H40d4iD0100I9@900 -W00020If66apF3ZqR0G0WYp_VO@S30m01itd1008000A8y@l10050wYt0004002000008204 -602K0Gu09GO2004000002eO7C01002000e5xD0yW0mqyC08W0On@40201b1@6200029k20W0 -GW00010W000H000040014010W0FD6ohNCW8W8Akj40004100WcoH0G6yIW820eq_S8000000 -01820000WG01082G4QG400qKE30W00_Jb18200f@R08000000Y41000010010I0040000C08 -00008aA0GaW00000Y40000800040nsR004HaGsp1800nYd6000POlO3gY7ZQNO00H0GY49yD -J2@@R0017WmzJe9_Y00a800824200eW00080I0d9nGM@Fqwl1@nm00G400040VjP00040220 -04048000WuD0000010800G40004900_@F1000Y@idmnzjO62W0WIXe0ZG8230KYH4I048G0W -80ujV60010ie_34Y00000H0800G404X80008o82G402481H008g04O100WV1HCQ0G40i4150 -0W08010100008000YG8Y80G4HSqjDY20G000a000GGW3GW0YW08oq840000001kbA3vGQ000 -G000GCT0c00800000800P000WW0n00G4000408000000rH0004W0000022008Y0WD@y8wwJc -IC1000K400000O0aGh100A0W8000014OV23000H0W00W01W80810285000I0I1m000W00W80 -0802G0000a400000MQA00001GY4tWO0Ce3vV020000W0ObE3000W800Iuek40005S0c100C0 -058000m0020024A0012080100420GL3W10040e00P0AG01O042000000Hj0140O80000G0Wc -0aHM06y@FF00011410y5S2buQ000GXuyJ000440WZ04a08401G0O003010353OX04H4uCW12 -6G000C002I221W0W3G20400000100C000yIP04a000060400W00900W2810220W00GFlay@V -5000XMpq0G000@pRGbm6080000001Y00041H000W01G002q0L0fHG49208W00G40H001Ga0o -00Y008WW0I12000I0004000010000007E3002Gae00000120010W0IW20000G0002@wkHq@U -W898eYU30X4000093400004148000G24000002W10G44b00010EGK000G0u00H000108041g -300W0X38A20084Ua2W1082Y4W0W0270000I0Y200IIW308200e4W0W88I80028S0WH29v@@D -0OXT1Wh5m0F4WPU0GyZA0@@dWCp0000m@08oPCJq@Pce@30H@70cvF0yF00OcP4z3F8w@U0q -PcX8LL5FaP6_70Lym3HxV0Ycg000OK@1HdP6YMLLymX7uV00m@04j7U0Q@100Txp3G70006W -E4C0C0G0w000YgEV30000Z000n091W1a1K083e004G108300G6WCWT0P0T0s1A0f0O0G780W -EWE70C0G7G7W6eE0DGG100mGAG34e300G7G7WCWE0T0P0A0G0K00fWV3QcpWC@XHH0Mek0iG -TL4YGOU04L010md@6Wv1m@@RGrN0000KW704WLzDG02018002252X2A819O2GIGG000mOE11 -4411G00880UoE100G400C0kut04G00xxBKpR6KIj4hvdm9@6Cp@30040cst0mD30XUdGq_RK -WqCL2d0480WAqU8AV34G01apD3e000@at001000018UnE1000uQ500EymcDZJ8URF0022Kt@ -F00Ooo@tcfoJOYnGQCFXHqJOcT30m0188008vy40G404zc13fQGG@6i3g1WCD0_IqWJhifwV -9004W0W0000L4080e00G04Y0G80W2G0202ONT304800W00G044mjy900402X1000A8004110 -000W014GW04040800K004000B9PGqO6CTl10W020a02002000210120WeyD8Ac400104sD30 -804_5FXZwP010G00P08G0W24200G418G02K100G0002001410cGWc1C10G00800210000OG0 -00001W0e01000GG0G03000002q004Gsa6000000mnW06G2X0G000084001aXj108W0028000 -82G1000G2001801A8W8K001qxU2rPR000e0100100026F8100G020041021H00O62I01500G -Om1Y0G0608608Y0WG01W2a0080002G01040W0yel1000S00W800Wc02AW800W004180G0480 -D1G00600200500020000iL04G8K002800W8004G0203040418W0m020G08IG0000G40W068W -100Hg0004OCb4m000010GG0aGmjzF0028O4N30800014000500008YhiC00008004W7wDeI0 -3000088000000SZ@600280004008aWyAOuDP3aW02y_V54000gc@XE@P8Qo4W000qhe13yd0 -802Wl@J00Y00001G1080BOcmd@60900e9@A0a00qNU2pLO0000000LEzxR0Y08O800H00GHC -0006Yd101001021008G0G0O08100400O4010W0G0200W000HK2wR01010W000Y0208000G40 -0W0000G002800210081003foBHx_6qTU2W00GG00W0WH0084208040W0002p14H000001101 -400K2O0eW200000Vbt0W1W000aO80Y980000006HY@600010008040001808DyN108000240 -0W80WW0008410000HZZ6yre100200W00080021000000YBaV01000812WRRIOg33000Wm100 -G041GST6Ctk12mG410O000B8u2@40600qCf1Bad0020Y01C8ByAW001sLc100W000140020m -W00mBs6a@o35CRmk19ifP2000WW100SGf1fsQ0W00Yu@Du6N30W8OMme1Y0G0001G02m0000 -G10YC00091W0X1EsFXEsh000402G510G8Y6500006WW4100K0X4W0WGO003A40W3Jq00005W -081oiN200G440G40008W0CG0040i0f000300G0000mRHW04100O5129W00o8e4IK4102JX8C -00Y2E20080Q0086W00120030SO2W0m8E6001650002608Y1IW82uyV90000008m00G080000 -aI0Y0W041003G084408H00W1404000e404W008m00uRU3EEsWBGD00I00C000W08GG02080W -00r00G0YW0C00G0004y10000g010020M060068G8G4001W88Yo4048YIGY00k84GnKo46600 -800YOOW014g00100000I0000i00W1ilU50040180X81f2W80000WIA0000W00f0Ic00000WK -H000200I151G40840K00H000000b88A200WKW204H400GA0GG10000400c5040G01000I1W4 -000GZOay6801000001040082000840020000G0WK0000000I108JUdmmR90021009aGC@LW0 -2800000G83000500040G40000G0004H00004WH4Hm20G0005000G000K0000A000O00C0I1m -000582G0G10K4140008Y20G014002800000h1WW80q400m4G00008A01fc000081008LYpmm -xCij@6flR0WW5404000C0GO0000220010048800001U00080402WY8258eS42H10444H24CW -42W814G0K84WYW21HY1C4AWe2000WG180000106008000CK10002100P0800000401WXMOeL -S30A00K@V2dCpmi@64sk4G00900100001m8000GO0G300286W04G40W000000G50G00WW000 -81Y080a00080401010089040I04W4O8G89G001405W0020e0IV0d00WnV10W0ZNP00001020 -07p@muyI0000PRP6cD@10008A10000B0G000044000e700C0I2X_U0008v5044Y00W30G0mM -2W82mK0B082003100W80048040iI0Gm0OBKB1454XE0W80GG00201oP070000gCH2100HW30 -820000W0020e6000JG0aQ004C15080081200W0WK380WBK02D0G0c0vHU6m400apl40060W7 -00000WHcP000_R7@3mhO40y51WZQ40000T3000000ui2b_@000GbluCWgF4j@08Q@1m3KLb@ -1m1@3WednCHV8000EkyGqoC3efgAFu33_701yi10i3T0uvW2GDf1WEoXl6r8LDZ9_X10Uo90 -SIO0Oc70GtHmF00WkZ0W@wzG008c3m085C70AG500GM000Y1002600C@0s1S1s3W3m5G9OFW -I4u0b8W98H0J0J0cWa0q1C1e4t3u92EmJ4S740e6mFGZXZ100FanmPW1q1G1W1e603000600 -0G10006000@0O0O0m0W3I1m0W3000C000S1O4J_3hzRG1_C0myY2WtTdNrzF@tC0W2A01000 -00w1mL60000uVgg2000WgKbWFu11@pC2cfC4KLgCJcouV00mCpGmPcXW@D30@302001c12Xa -0a19425C6E30TCG2G842002W4EF0G0m4_X10zmC0QoP0agP0ekHu1lWoFOGZPy0Wre60rqC0 -U8L0SKZyWcqur24GDr0mVW1Wd3mIOaCIkDJrp0s10Wa1_vz_4Yxt0100WpDNX010W5@J0000 -88040120W3_p0014YkpVOuT300mOVXE6W020VbCXAlJ0A02G5u946@3Tr_Jd0LKxl10xT0_M -WaHRb0200mznF4Wk13AbG8wF0WG0O1V602W0zPZ1FQd0000auzDeD_7000mpA008oyA00W02 -4808jx4YxtWpNDeMVF00614KF3402W004G0800G010mQs68000G81400200G0G0W8W0git00 -4G028000010SOV29id00WWWUsbevk4YJEXssDG000002OYb0Uu@@4kXm0000000gW0Z4KeWW -0G1881I00002aGmW3205J018W84W0W40G4G00000600Z8m0G0040W00sft000104000104m0 -280OmK3wYt0WX00@@RW200YWzD8H_402GK00000001u1sCK8h1Ndp08W1esmP0004eG00480 -004410800G000W1e0G8I1122YH0048a0170WWW000X4440Q0008020G4sap000020804sSp0 -0110G00000Gmqjl1000OZys000031Id0W00G0000040808o000000WW0mmv94s33000800W0 -00001C020000000CWJqR00002K0882f0000308a00W000W01A0804200G04000y4l1Fed0W0 -21010000100101S3l1020004G0DxF300QItCAagiJ020000GGZH8Oeu@A04400W80uQU3000 -W8I02200Wo9u6SRk1LMR0004WTyF14a1mW8Bj_V200W00040000I4400G_k6qpE3fbq2008k -aJS2000000W4000000421000040401002G80WN@P0008GYjj0009BI1gW4000009eh@4Y6r0 -41011upmFrg0_a1Ox1d0000220A0Y001W10H08a2088P802000W100A04042W5_DWW000a10 -WQtF1000KYBCilUH080K008W0WIGW841000L4040GWP20m0000A10u4U3000eirY1LVV20WU -fqCS200802003000040G023t0000G0GA4K400000a2WGYW48GAI1002020801080000020mA -vX0k60Os3d008000X80000280004100010042W004001H00000H6Y400044G0G880200PoB3 -020000i0exPFi300qFYJO0001044000m9uV3400G000CK2GY802G002W000205m0E000000U -0mKrd00OVuZYe6bn0G0000118004W00080W00201W0G2000a80002G01G100000080mK@Cuu -fG0oY0iuYJ0WeI0000W104000e5200Ga112609HGVD084sL102IWG0u0084zk1W3mkA4v220 -00E00X00WA@tuu83OC00aqxFpy@050yVT0000GXNK000ugZE2Wlo80ZyaOuCm900GE_p00Wo -93de00e200H606260P0e0w000o2000C00mu200u7u7pFOF0SWV00Wz000W3004n008Y1a1Wy -gtexV30rc0CsZJ0OU0XQU0000YoP50000O4l200TABKTx9aB4LfnbJVsC00mUuWWno@AX7tJ -0000PouOi9k13Xp0Wh1W6kjvMlh6FtW2pP0000ziMCCxGN00G0wJdXSjUep59sIF100csNIu -40000040W0804000080GZ000048210000W40000142000W0W00mMz6aHl100mG2DpWsuJG00 -4A00012W00Fod0mr0WAvDuvU3080GqTl120080G40ipc1VpRmJH980000400Kyy9q3@32008 -443W08880G42a0Ga00WK12080G21a044800001c9004m408W4W8WGaW0092m4m000W40W4n0 -2AA08m4020W0211440WWi@DO203000WwC200410W0001GWK00040Mfq0015G200800011000 -18000GW204W00vVQ0W00W3060G00mWVFa1F3440402008W0WH0800Q0S0G0G4WO209040I0W -664GWmKT600020m00406020WG0606004G01a00208H08mW01400G000IKt01000004@500WG -14K00402I500G019044I000G0c0504W080842021400180501W04000O0040020W0400G802 -08Srl1JpPG@@C089G04GWW0W040A0D0000K080Col1G00000010002401480H0H8200m0Y00 -0011G0WG0G0upx600080000300004W00p@d0u66WtBTQmU3kYt00008009400G0Snl1pRb00 -10080004000G200aZN21DcGLtF000Wm800mcPH1020000HHn@9G80021001WG00W000080G0 -02WqQk4@_d0240WaAV00HVUUTHLME300020800G000CP33wpzXQ@D8CR9UtsWv_J0yg3mw0j -qUw90202Add11W00200G0020Cci10004@0qW81h0404Gxu6000G100W2000cimY200340648 -08W0hgR061104H0H2102WA4Y0G00002000GGG00XG000i0002dMV2txRGt_6W01G8IV30G40 -00C000GuMKXH11200G200G0100000010W800H0004GWW00408004G009200G808040ebT3gM -z1000H2040EMtWJdD00000Wh2WRQRfusG0WK0e98X00085H0C100WKa2G00020G000KY0000 -aI492000G0fG120000a2WaI402X0I100AD10gTT3000O2300I4IepOVW2G0000X8G000004G -00X9000010G018000W00108400280GWc020W0W021WMEDusU32d7300jUPBlnGi6yURB0002 -G00A24WW08G8AW880WGG45@R0G14000A802C080180440W0m000ymC02Gm00004m106_i7WD -706XUZ7pVuoOI0G0W2G021000001G410402008400001024200814E2W01A0X041A40000I0 -00W12W00G00W0850MfU3000CvU65wB10XmEA0G004207001fND0S0sMXCJG00GI50041XGW1 -0WGKV308WKEG@D884sz2021000m100GkmR00GKBovG00H2a1jA00Wd00600000m@NB00000L -D3WHjdW@t3000Gy_700gvd100mV0000eoCp8uggImg_WWpC30hgAU000Ydd10V00Bf65YB00 -WVWR4kWzIWp0000v000HD00Wp0TCt1h1W1y300e300GK00Wv700pFWV04Wz080G080W0G0kT -rWE0C0W0WGK_98F00urvDC000SBN8ZR7200m00006HoRGS26GXT00_00GDwR0800000Wyu@6 -008SD6kwQ5l28W0020040020ihl10004wnt0G430rVuHNFaK8r30000W100yrV2LuoGFS9yu -T2rDbGFbFSvU2000OWW00y1k18000kp9XtqJ0040G306afE37TB100WYnqPenx7sY8arYmOD -N3004QEvE6tkRW000XOTCe0F9ovaX7uVesU3MFlYUuCeWz4gsc1480060010010y2l140006 -Yt0WCE20100sSt000H0ZpdJxx9000efHR3010G009000H001W00GGG4W02018WG0K80fT138 -0100WPW24041GG00G0G0W0002Ss000002W08klt0000C2eW2000WmQ0208140G28020G0DeR -W00000804008WM1E11000K000EYFXHgJ8@V300e0bj@30410G020W40G0XC0008W82W01800 -1G004W04401408A0H00KY88a020W04G2880K290Y14000008G0AW014W02W0L80100Y20K1I -dNR000OtC@DG0100200mewD0003000K2000WW00000W0rhW100eWsGdXzwD0000O_u6yaE34 -6G0H0080001042CA00021CH4880148402m0X04038G00400WWuW0b400g2m011A15A800qmt -D02e8W00801Wqe84400OG004A00W1gX1100GZ102004408AG01200W000008WW00020100GW -04O09@U3Y_tWK_DuLV3020000O08VU30280V5b1Tvp000W00W1000W2Qot0GW0020100G040 -14G0810e00008200G00a0G0G000GG48028000OW00D@d0000100G1B_R0000Ur1000100000 -8qNV2000010007Tl1000WW1000100m000nP56Ks29080WUOsWg_DeEC3k@81W00WFwdmLw60 -00G0008u_r6qMl1TYPm@@600ea50040604W3dI8sgPMGN20A0084000200SPc14WO00004qO -c100W00080b653TPa000AJ08Y0TqR0144Wz@JGCZ2mtu9G4G0ergPsFMYYsDG00G00020G00 -0W80000040001W80WGlr60100usC3sbnWc@V0161GMtC0000Yn100002XPGD8OX4oOddwDI8 -dG3wlt010W8DcRm0f90004300OIXy6000W00W000o400000820G0028KFF3002G430000000 -482GqeLSgmCGS00e00000420H0408W0P0W110G4400028GgG40000c10000000eOG0GK20W9 -820a0208200G20W000C8H00W8Y000G40G001C20T_d08_HG00014A2WW800qCU2LPcmsfp00 -002G00AK1WG00G400m000180W0GKW2WWgG20100H08200W80eOG0O7U30400iaP2420G00p0 -00H0GWH48000YczC87U3GH800XW100L00008Y7ZPOYrS851GYG80W8I504201W8bK84W0000 -G1W400100002WG000040A2421800f00642100GAG008000f051G0G000K00G1@64SF3000L1 -00000m000G1mb7yCEd401a21YXI14G80aO14e08000GOVuQG_860G8008044000000IO080e -G48000H9epN6k@d10t30pInmu_210200GG000900W0000420e000W10Y20G01A00WlPD0G11 -20500G05800AWb0G020M0c04000453W0020140QfD1W100n2d0E1028000LdQma251W00004 -08W08G610A004400000480000440000008900000IIYWY00G28H20G000W100411040W0100 -GW080400YLaCeWO600Sd100OO7T3YX39r010Os508810a3k12W04y2a0ivf1084800020oI0 -01ao0wB10XmEB1oP0040M50m0Ol58BKYXz@J0SA1IsV9qjVH0u10rD20000gwu@7000dZ900 -012emqF6000K4WH610000F30000000400_@V20i90000CIC008@u4G2000000GW70000u1F1 -00bxB400mG10WuEmFHDmU0O0x000x100C50004100m0G4n503060N000P000Y0008t008_1S -Xu2s307m5008B00Wv00021000A0Y040O0Guq900eQ5000800W85W00nuPGcMFSFAC00Wg0OZ -D0000mC6O000u0l@C0_I10bvx0uip0aRevE00eU4300j@Z1000S0kxF000Z0F0000010y@3m -@@60yq00WV@50tC30100OaH0QahYXmZABS3M3sW8nhOFL6000GAV00elk0x4j200G01ZR00W -5qrqpfWMOkPVcPpD04U1mEycLXE3heR010GWnqn8Q46000Wu800OVUR_N730080W0GO00000 -G8004G00010YfyD020W88W0m1LD000080050820400H00W00a4W10024100G000G441000W0 -2H800FtR000xqZAJ0020Qz_90001000020W0WkQV0004m8v6C5N2pqsoAz9yJV20W0W_@t0C -10080000004W00000010080040G0829W04000WL1011G4W0080005420002034Sl15EdG076 -KVF3rLbGqn9Kfk1TgR0020m8uD00028004mVZJ04000004YwvC000000G0G0400040840420 -001040KHnU60G4200G40010G12W00W0800600000dz00nH@600040400nW_68G0004000W00 -m_oDeXn70W00y3A3W000I0WWpGJ0000nUx6C2z3niO00O0WOuD0G00HuqFCNg1@bQ0000310 -002WG001000Iz002248000G000AY080swEXaIJ8bV3o@F1100G@@Rmr06qzVE000c_cFXbib -eGQ3kXp0000W9IRm6x6KVk1XgRmfS60G0GeXe4I28XrHYAID9G000aWU208CkH00G0040W00 -8000Oae7COYhVEYK50W00FVZnT@C0Cl001P000e40W01401026YpWM5DOzaMQxscomDO@s4Y -@t004000020MRs09000000G1B000Wa2000c8208G0086Zt9nurFyh0I000W0Ge2Chl1hoQG4 -x6S3l18001C208yQg10023HG01212m00Y280bX080400W10002008001000008040G00xjb0 -10GWxICuy_Ym80000W0G100GisIaNj10GO0Iis0moL00W4H4WGS80b0G083X20W0G480ruRm -BtLCpz3Z6c3000A0W00W000800004Y0extD00001Mf00000u0w9qml1W2002MrWimV8S_7_e -ud9EDumlG00qy1a00ukV30G0X0000vzU30G204Gi1@wxHbujKbk4C00W048046D39yR0008W -puD020084000mK88DoR020G14000008W00300uG0408218GCWHxy000umG@v000W1002oGz6 -Cjd1L8p0061W5JD0W2X00004AZ0eW00Gfe00YYGKGA00m35601G00040KAydqCjD00o00G8a -sL3900MEHD0000058gU3kat0000a00WGGA00KHG88I02Isy7g0000O_vdb63400002000xFl -3m@r1W@L50_@00z40AeA0@@t000GmKL50Su1@30L_701@F02ML20yXvYx408c008O0O200e2 -00CVz300080G00000m8LM3m900mm000W1O6G50300WA3cQ000WO40002W9040406EE3zXBHf -u@G000000ulV10W@@bWP00mmu600WcW30u7t00F000WK10Wy7Y0200U0Ww3mVu4k@V2W9U0M -2mZRXkAHS3g4tWJoz0000lp18WZpDO1tAsIDXbqPOvy7000WKfAC9wRmxyd00mzQaCF6CNYK -phOofV_MdXmWb0881moR6qAR5HAKHGA25t@3dLP0000mDvD00G0nzOC00006c00my_6C_V51 -udmaF9yIF3000W00G100K08wU96EZXKyFverA00m000e15010100004048Fsd02a0WNyD837 -3kZG20680DJxnKSCKJJ83tB1014WVvJ0G0008d5Wi_J8L_4cgZXwyP0000wBz6C4U2020Wci -BXZDLvf@7A@t00084VyRGoGF000Wqo080W000040W0400Awm00W08tzd0400mdGU0000000a -Wz_Pe_Cm00uq_Yh10004A2lbJs0RxV64_a0a4k4I0006FIhGlFfn96S000ipj10400kvoW0V -jfIkJMUkbOuC00W@vf@60W40100aGuwjCNtF3Ovnh@6qFf10BT0000m0001m0mW006808000 -8000Nmb43000c000U3het_z0000Fo00WqvD0300000GWwUme6eDsg5ii7Cuy@M0W2000GWY0 -G00HG0Wr70B@V30mk0a_@C400GsyaXe7e2020GSy60000tw00mr@pO020000000C82a0G2PW -RmQx5zyl4008000u2chkDFyRGaV9aFYJD_v10002A001t97200WC20G00I40ElgY7yB20g00 -00uV04ig00008n31BpC2cggyW@0uV000020O0U456P000zCV50m0G1280000V1OW0AW01K0O -0e0m0G0W1W2410w7r3YC000W0800080000U_V38000qYJKbsPW@1GGg200WOa78OcPGGLL9I -130080whl08XdnIrH5000uG5006AFdUPGggA9A@d100UuZfhIds6G00081026rEXrPFfmzJk -uK2000a6100gYAmdyPuCV900OaE90CG0000024q103Py@Ghj9qXV5XuRGot9yZl1002AQ@sW -TnD00H000W881000Y00000000Gn100J00000G0380b0OGMb60G0008W020X0G00Y40000100 -Y00080008mVuF000G0000KHS6KcF3LdcGaoOC2A6ZpdGUm9000W7C10G@@6G0W00400020G0 -000IBzR0020X@mD0004001800020W0800oW0840a00020AW22H000hgR0000215800W0000W -GCyQ2@cdGqv9000099z4sItWpqD00008210WvkP0000ucw90089310800000G0LWW00G_@t0 -0GC00GG000000021KW00011000I004W00MUt04105@@R080WWj_D0801000W60W00pdR0080 -WxX39qT9oHtWLzD00O0000W1C0003YR0mj3WBnDuKS30020KdW10001028a0008O7U3008W0 -00800098G0C00000G20G00A014G0uE_4040Y4Yl1dI1J6w9iYl1TtRW000WktJ0000ci10WS -tDuRo4QaMYshJ0I00mpp9010G0200umz9C76I00007uu104fc@@p0H00W5zJ8CV600020804 -eFV3G400000020I0084001000WG00AYBgXTV0iT3mq@F0020yuVC2Ft0002001010002ydl1 -ZNPG0RE5013000OSC00008000040200WTwD8_U3wwtWNQDuPU302G000A0eZR3UdF1KGG0RJ -RGcU2TPl1fqRGXz60W00008000WgiDePG0010080WuuDuAQ60001240YW008AY0000900000 -H0G8a08L911W04I6684000rTNHQyv0180AuV60S80KQj14G04001GWW0000O40100Wl@DW00 -1mNx6W000uhL30W8404H0W808ICy6Kbk14100ssJB000uM200Uzd1G1G0HFpm_u600010000 -b00280000a22041000Ae4WP0000W20A100204eQ0sWL_l20m6qx@6ynk1lUym@@94uY1o020 -G40WGH003X41000000G10002200484MOHRPl1Gj3WuHI0000488W0H46W9Xd000WWlpDG0A0 -000800G1R0A0K00410H0000004AW00080000Da50004LeGjp@ms_600002000cZ10Wu@DOP8 -3400021I0OyU60400ipl1BlQ0W0002a400W40s0r0G0005jRGzQNX00000GIog@984000000 -9800WgmV00W000609X0RD084sr2020WK0m1000O1083mU8W55042xXq7P2nmT5mmwXOuCm37 -UW@100800mXXpWCpu@mmJ8@93004008000G00eW00G110W220W0iggSIPHG0W018012G024W -0W00101040208G80800000WnQ020020004048k08080S1G0Gux@440008080K1G080o2G0GC -W0Wu20Wv7WBYBmU0K0k04WK0I0n5m5008h0Iv91k42WZ10W00X@R000lcf@P0U00GJdTbigD -0QP0cazjG_X1000MgvIypRTREl1009ek4Eyl@D0mU0iqQ8@mNKixFiWj19xRGMSFCs@3000u -uE00SV_30005Md7Z@ub0200GhyCSaV2XXxHY@j00e7@Ez7000020W2Oa@408000W00uBU3GK -000eG20000W8W0efyJu1R923dagZhOOSC0lY0iVP27zdmOT64oa1Nxd000010800tqnm2@94 -fW49X7Is3C4ql7000eS800iql4FxN10WG800X040000WG0q3l1W000EdtW7Nx20mFNq@I4hc -1Z7ln7E600020ZW00410005400004dDNBn680B_x14p40000000WCZ5@180004040YrFXFSr -2000Ys10WdgnG44O6p80WLyV8NV300G0W000000X0A02W9f130mDmscXyzl400110000KIi1 -G000W0000014OnUj09e0yBH2j@dmk46000G0H4100c10000H82WP2ja106002408W020G14Z -00060010WTVq2000Xy00W3zh000WW8082HW0Y000000Y8MLV2000800010W80028000h2408 -2020m00008aOG2vx750W3q_@D0K00mXyIi2W1aIA0cjtW9pJuIO30509G00000a00040WkNx -2uU3GuzX0400u2C6kIr01000000G000Y00082800GbqN5sZ1o200U@NYZHD00082000G8440 -WH0Y_@@108200008Ge00jJGNb@R000pX_zbu@K3IFtWawDW00KwXtFW00000008000I20008 -22023lBGLC0NvBng@6KxV2eF0j000ei3@3A1020034P22002A0mr_WP00000008150Wy@b00 -0yFt000wzVt0y@w1u@045F6szF0000t5406@xB40Wyv2nJ8zR600W000Y002c1W000060006 -0006TC102000O040GWw0W05501Ag12KK0e30dJXn__51iS1u@V90mV00000qVWzl@TxVpht@ -HAm000mCc10002009000I000a000qWr64OVKbud0000w6hbeBV6opFXkKDOQvDQsNB00oThU -NHVoC4o@30100Qu@XJ_kw@@40q10qFtODyp3000Kn0005P@0G00WUjIOWP6G0W00020euC32 -L@XL_V0048mPzOitk151aGBy6is_60W0000mmK0w30405wmF1000GZ7RGgyI02008i030800 -002089x42yD70C005lZ1WU4Wt@JW000Ifr64MV289000G00yPj1zhbWG00K0100014H0100i -il140W0_Gs00810e100widamfIu2@4K000iWl40001000UMeV2RrbW100mj@J0920mX_F000 -a00W0000400C08HAO000WXacD0000WW40XO@P8NT9cMO60WXlzH@GTw6aTL20W010040S0m0 -roRG@@6020100G0OBS900000400H6@6iy43tkYHs@9aVU8JuR00N6WB5zutz400m0yBh1rgd -Gmq9iLF3XmRGo_Iazl1LsNnyz9KpV2t2m0000IC100Rln000200082j@RmwRRqYi1zqpGlc8 -Dol400icJuNYs_buaNCoyFXCsDOVzAG0004v_3@sNHwlR0YL08ZOIEnd10G00RwRGpZFah03 -d6BnZfC4NW4NpPGAz9q603000OH7004dB30102_XtWLnD0040W100eCzC0400mmz6W006000 -4Gg090120000G00A000201VQoG70EimC3HydGK0FaPW40G000000HS008LW4001002G00012 -080000GO0PwRGr76W2404G0001GGWu@DuVO3M8sW_kJ0800GKpdSEjA010000Kca_@34WG0Q -ztWD_D00G2000G0G280L@d000W0G0000002H800a@V20W08lltZ3_v1eA2G9sF00214008mh -y60008iHV301m00010OlP300G0zzg4RkRG8464dPKq000sPj2200200G0_zdXupg85U6sw9d -a_z00mpzY@C8200000000G8W8_D8dV30021KrV2hYRmju6000004WGGV@68200OBvV67V3WI -30TKknT79qM9CZxl4000Qq3005_d00WC1W0000002Q0m0Gc00f0GHK0U4_GK000eRgb10G08 -YI800WGAs0W1N5OGA0K45m69SyGkd5nU00O_V3W82000W4m4X0X884PJ42YGWGa1a08218Y8 -50AY2O38300jKBQs3WH0W48Bw400G20800850AY2m3060000m0_KF110000C00J1WYe0E100 -04v1CWK1CuiQ30O0GaAW1G0m000000180850AY2O314810X8G480004Y41100W09G440IWf0 -GHK0d8G008LWe48a41WW4H200002IG0000Wa811W89a205H1SY400009Faf2O0008lK1CWd0 -8Y4Wa80ad8I0000GUUc205H1i1HI0WrCi@zWxxUu@jB_FXJU000m2900eOd9lzc100AoXcy@ -1Ig30G3On@k_tl8_QF0hKz9000M1200PQ3MDNa3sa18NGgoUm9z1009xIIBK@dqV80DT0040 -0GG00uFh@Bu@700RJPVtry_512O100000840Whq_lAk@tbyG000fi500Qxx@85_Fwq61Ghsb -8v@7Q_VXe@Few@FEILZyg0wk1Oms@x@tcs@V000tL4m@@Wy@DG@@2s@d0_@7e@V1y@FW@@@@ -@@@@@@@@@@@@VM_@@@@@bl@@uz@7_@@5u@@@@@7t@@Ls@@a@@@@@@xv@@@@@V_@@Lz@@@@J2 -G00u@y70ut0y@@@@@F3002W7vV00G_r@@GY000u@@70pl0y@@L20000001S0m0D0Om506iIm -64W000014W024uf03kA81000G8700QsFV0800pDO0200020004001USGY@@D020000000040 -200W0WG08W100G000Gw2C0ij1OP@t0G8000G0u426g6XXuHU001000008X300@@VLH26CUI2 -000000410040004000I00GG4G888000101002y9332LmWdKOOB5300mY_@VK000800100W00 -8f36400008000400GY26CfW120000W01yg03pUO0020004G0@@d0WM7WxOI3W0G0W0001600 -1ZO000200G1Wvom00Y000G007pm0000G000Wy100_iXgx6UO63300f00008004021800W028 -009084121100uj530000G40000000W0010002tFa000fWAAq201WGzE6alZ104200W8080O6 -1800YG0W020W880000L0000Q404G0meA6000400800800WmUC00G408000W2E0RK4LAG9000 -Wu683I1u122000408Y20300G4v1m0000SH200Xx45000gtTC000140000005Gf0810020000 -2@yOGA0C000W20W1GU09000000Gmt@@H10K0W31000200040G0000001AI800G00G8n000Y0 -G03AO0080800W0Ga0W004H000800WO4220040a1084001HY0a00504GC085H0GW00200IpWg -_dC0408GXC60WGW000010810G00000840H21000G0004mx46iFX1000I042000020045W804 -0G00000WW00800W400000fJ00W@@T2i0080004000Y00GW000m200120W0B0G4WK0U08m2IA -060H00EAW40R08000G100W80008000i000WW0800WuYV7gt00G00mW0u0W10OG008W00G00G -8IF003C00000Y82W@@J0CS0GILH1G0I4m4m4W9890H0J0Ana0KY03e416192C2O2O5a4GAWB -WbOM0BHW1MY0NW41k0S1C1o2O2Y2W1WR020c0L041C1e4H0G92C00085300@@xaP40008egK -PcCbn@00W@1WWFy01@302cP64ym3y@V0uV0Em3F8e@1GG7_WWKL51Tu1Fum3gIL7yF04yV08 -uXcHm3TWW@1WPoCFW40uV000Obx@@kUjgY@@X1mq3mcKfr1W3jmXnvHCy@l1WT90@@dX4YUO -d0aQ2xXT5Q10006V10WWfmu@VvwloW2iCOQe40041iEK2nEGLwRLC@G2Jrb0W00eXSI0G01m -3W6SLG2WYU0_@FjhuCe7E6EOAXouOOkB6QXn0X0009Fa6280WR7a0000000H0W04030Q0001 -a85P00W00004r4FwQCkAM_WXD0Oegm7glA1mrF0b0ym@@Asob10008o6nfnie20mgy@@@dn8 -vJVQ000m_@@pCD3Hh0D1000eO700_@tovAD0W0000Wmh@@d4001nwd600320W000Gf2W@@p4 -2002000G000iO300AfWJ0W0008MO_@tI00mV0000m030AJ0004E0GMjP2004vyL3u100y@@@ -@@N4u27W1wChLAFY3730000000ej700ipr3Jx190WmWWh291XPAY3f@@D0iS1mCyDc2l1N0c -G5d6eR00uwXnAMVZerDedP6gQc1001000W1g@3lq4b8yw7Qst0W0000KO0ANZmJuJ0002000 -GW_wP8WK3oU0lAr_VIk@Zqx@71@lHn@xzbdJVb0500GzVG6cy3950vYd@@XKKNDn00WydaK@ -@l@Y000WQ40080hAY3ViU@F10mfnlQizmkD0EN0kocXCtBAq3lRXt00004tgp00WjcEAIRpV -F04W0aPD604P000424_dVfqR0W04WB5I0W00Oy_Cm2000G10Gk7ijuFC00srhdSfpi@lCy@7 -J@@mu@@B@@@@@@Xe@F8x@@1@@@@XfpO6ksU300N4124o@0@iGW700080080C7j1XRdmkuF0I -60uQRgE8NYmlb8dU300W00200SHzD000mB900uLzA_wEXUmJhoQC004KExeVhlZH8z6CRk1W -GR0_ftWih9yxQ3ElsW0nD000010002O1003udGRul56k4tcRW000W8pD00002001000WG000 -4c_t0W00GhS@VAv0304100000H00Wm@h00G0oE0600H0eA03_@NE4100@mpmu@I0aA1uC7Hp -QnWe5m8fW3xWm004100820sslEH000G4W80008EeA6WfQ0AAmW@@@@@VIIRnW@@h00G0u@@Y -2s10OQAIoFsF52088001_@@@@@P6200mgPOW100OHy72AekBSs000m301WWjtkA6Ra0UC0ii -@a0001_Xd1S100@@dP2w600OLRiwesF7fhcI0K73mnwQ5jk1PhRW000WioIO6lDMpBXfhJ00 -005k10WY4lY0001004Wxi8f9y4000G46k400k3VJKYWuAQi@700200040unV3cBNYdrJusy4 -w_d10r80d4wKnX90040080W20000i000@1CpEy9000WZb00mx5ErxU2G400O600aHkG00mYc -@FA21001ab004100a9098_Zum4W@@Z200OmWZOyu@C000eb300CJtOnm9qz@E1K000X00000 -egY2OOGBU0Ss0itIK00WWEVB1L100O6000Gm0SuVE000Wm300y@lJW00P0140CXt3G00W000 -YG200u@@P000y4sJK008W01000C00u@V6051G00e030000e00W@@X14h1m@@E100q018000G -4XKRDuVN38044a8Z1PQPZP00Wv1Z2G0000401e000000OE2EXVZD8yhP004KrXNKA1WGm6A0 -G0000070m@@600GA100W4M0GWK0Ou@VL4Et0C5DOLuy30002u000@@x4000O400uEW900mU0 -800000O0mYr600nF0000Wz00W@@X10GFrusZjKzF00N0_@Vl_6910002500W8k1RcfDEwkYt -kV00GI_@@xzkL59iB1OU4WylfgDiD2IV6X100@@hu5x6yfk1hgd00W53W2007x55000Y1zJu -5U6Un7c71C0mD3G8@6yAW1fXNKG@FyrFF010G000GwQ008WV300G00400eVTas8tW63X9xXA -00y21600uoy@hS@@9x@Fo@@75@@Gr@@J_@xq@@no1UrNCYeLYAYDOmy4000Wn602urhb2ikb -xfV000020W0G0004N@R0Y10m@@D00G@5000GG000lNRm5U25L0FdjQ00W040100000HBpE1G -CD0f8NHS2TLHF3fmRmNx64Ol1DXRm5n90000bU0GGoz90004un_Y000W010W000000W1W0nD -eCL340400000G0100002WImD00W00W0001040LZR000GXkzD0000qe_900m9WI4040008000 -8HxR0G0X04040za@m80C00W00001mP06KzT5W0G880082L1e20008005G8000WW001250200 -10W400W80H08840000001400010002W0000408040G800GIW000ARV34W000002G0I000000 -WY104802cp_10400hFdmDv6K203X672G000WK0404A0000W24W4000044040014001105000 -210008W00000084W0020W00000W0Gu91308G8O001G010000001500x@R0000MhFo0400080 -000400G0000001008008002wHtWYsD00a0010GWvxU0800msaFC3G20110049101000800Ho -w6050H8Sz4m228iDW1NoR0G8000040001002G0ygV2pmR00809000000_x10001100w@@400 -G2SGV8vFmm@@I88000Y00GRl6iGl108Y02Xt00410R_Q0Y40WIuV0810000820600z@R0WY0 -Wz@J0aR0GLy9qQV2zG@p3t608W0006510000002H0WG0W800i4F6LJdGby605008I06000Hf -A000082000G4Y0W8Xr62G00WFwDe3S3EYlbiuJ00H0G@rC4Bk1400WW0044_V500Yq@@F1H0 -00Y8J0008GyoB9ry720280000808214Hc0aeV2G400W808U9W408304G40SXJ2G0H0gtd1Gf -2Y0G40000YKxj100120W0YiyD6nv@Gc2L000G200CKs360WP00018YPaG40m000G80IAm0H4 -100004JcE10W0H000H8Y0YG5000082W0G4mC3I000X8000H00084F200G400n8G4H0W8C0eA -0G092C0c@J840004G400wKe20G1000200002GH00004f3Y000810YW1041008sVj100H0410 -4aN1300W0020GG40AUJ234H0000C0O_@400WiVeX100045100820YGGH0GkbU82000004HR_ -O000Y0a51800W4H820ZkO000830810G830gstWkzDu1x7_@t02200x@d0008Xe0I0aD30000 -0a100hxd0000is_heET3MxqWO@t00W104A10088OLca00000W1G0040005804Tz6pzmGy@60 -0I08mU3a200qcq3XPZHfe60800iR03gz730W040G70W82GC8Z1W000000221080008W120az -zn00004060008000G0802000140ebV3800000WHRrR30020Kvj18000G020KT0600K000000 -0W2wAuD0I400000W00W40G1420W0fsRW80200408G040_@tWEnD0000000mX2zD082W02001 -500082Ge001WW000010020Ge00000Ww50_Ho04008000I0040SmA3TWNHn0Cy@l40W_RGG8i -150420X0W3000G2000W40GzD484IK0021GKCdSwC300WOG100yc_3Lyk1a01000000O00800 -b00208HzDIrt0080000W0QOsZ_OD0008m3@O000Uu5T6kz_d_@D00WV000@0_1S1s3W0m500 -anj14@000w0_1G0q100W0000X_eJ0000e00001W101wQGNJ60101O903_@t00p70DEP040C0 -8000m00024WXBmb010080I203W000G60_ajYh@b8I13ght040G0DdRGaz900Y8wPQ68Y8000 -18080Gmcy90000Kp000100G00W00201000001048ATFUetWQV5QqU3W020SoD30800VutWbk -P8m43Q9_atpKvJV30800CWi1F8R00W4WVmheqC3cds0100W040W6iBX@@D0ma20400mTkCut -xGM@Mb1pp1001mby6qbT2000WX5004UCITS@0001W9CIONv4Ix_12800TzOGoz60W2010W00 -100W60Cu@V300K_b7d1080aUIFaTPmOlw701000e0aW200000040050W8000J0000000G04W -0800120KRmP0200WtrD0G0111W0WOiJ0000rNx904W001000Ws30000080G0ckp0000G8WA0 -2Tt0WW000200AX910100028G00005wk100W000e04yj10W00QUt00W00000AG8140G20072I -128W0008XGK0008X4W8I0Gm0400X0142000W208002W00P050002W804401J4Q020S02280a -002G00C00AG04H8W02G2000Ka320G040G2W5n0G008A0WH_C000WW8G000200BXa00040G4G -020100G084hk1Vgd0400WSrP001244G09ICW80200020G0441200Z4428GH0Y40G0G0o4812 -00QRE30K11000G002W01L02002400040K0W08020e8W00600em00084WGm8000e2280W0000 -2m4H00G0GW001080W100000O0040G8Dl@024H020008H0O_@t03000FoPm5QF0840080W002 -011X0220800q08y5l100e0WW00000010004W200A000004G000WSde1TxR01G0WRvJ0009IC -a608a0000022220080GNHQ0280000000G8004000008G030mO@608G00001q@@C00W18pV3Q -kmWp@DuA63IPBXUAC0090GZz9W800000W8G0800410001841008Y800086GYc60000200aPf -c90040esE32rq0Y82000W8oX3ZeyDusD6opt000W1JmPmKP900G0ePV6_B81G000jTQ00Ym0 -08G0LnR0a5W0040H0G40821080m0uKp4UA81010008SKNZpW6QCGc0410Y0W83IOEzDQ3rWS -RCeNI6Mxq06000hvd000800004pu91000Xiya04C300C1WnAV08BYu2@C0H200G4GGy8RK7Q -20100ccqWrDP8H@7cWpWPCDeJK3sJpWrzP000WS3@6i9l40W0000W0000W150W000W24H40j -_bG7Z6yxV54200_@t0000AJeO0000a9MDG0000002041000090s4C10W80G00001W0WO00G1 -00mIh60Wg8008820200820G00m900YJ80G00H5102a10008H00GYgnB18200610000iOX848 -200H4Y00H8A28YW8Y0WCYqdd400020001W000X800qaV90WI0004000c1040000001G400K9 -g1e0004C00yvf1000YX80i8002ec530Wg000H000e00g0000001G0WQW000G4W04Y0WOni68 -W80G0O00H000L1000002U9r0Ww50400YC800G4Y8202G44Z8084OWF9A1002000180G30QYD -1Y000W000chnWz4D00Y0208A20415CI200I2H02G000W4X0W000010Y0Gna001WCf4184200 -0GYt6PuTM30W40kch1WWGWG0HIG4010W0458G0I00G0000e8CK01102G241n@@900129RD90 -0XGXf0102000fWKI9010YG200G200090GI000001244000008Mkr0W10203WY00O0fG00W0W -1Ixk6002W20880G0G00G4000C00G80y@V2G84000K00C00G8105000G000G00Ce010G00G0g -xN3008R100B40200002WIxCOwJ3IkZXOQJ064110W24YW0H0Y8m060000082O0GWG000204G -G0WG00GW000I000a0C280G01W5gQ022W300048G08K0041OG5H000000442080W00000W8ax -f1800W80820000008808C0010004440000W@ZY1220003sG0Y80G0G10088000G00001wrg2 -0YG020X000002WGW000G00306G000O0010W00000GqW24000100A0CNAQ0A0200WW0g08000 -048900OZN3000G001W000W02K0WkOC0GG001a092408DSa04100GG4000028000010400000 -400200080701010WG18000W004010mG43WK00_@N200HW002040W00W80000W0WGW00W40Nx -Q00500G0405CdGCS600X8000S004YCt0m1G25wT31XWjM0WG4420E000Hj4000IwFE144200 -00WI1m0WK20009M0W00840E0020hI0u0ib20082t1B008Ds1fWd4kJcXu8C8WE300ib0WGON -B080221070WGe@60S0RgWU98G8i9200Y000m0008o810309H0u@VI800GaWZ15IRWH0000qT -40SKWIGj2mW50XcP080GWj7C0100u@@LO00FN00000U6W@@hGLF0mZn6800086E30w0x1W3q -100i7000S000uE00pTWVYxWz0G0_1GMS6000300020C4@080G0f0m0nF030JmU0u0c000x10 -087000fJ1004N000k0k0O0P1W0m8RE3QZB140000W90_@t00GS10my3mbu7OF0EWV00G_06G -y300m5u7H68B0KWC00WiY6AXWzIuAkD4000w000PeP3UupWZhDu@@70084z2v30K00Mshe@@ -V0W00ncSaa7k100G06qZ100E09q9q7uI0Y008ry7W008y4k1hQlHvpFSTi1000GtfqWqyQ9w -W400WWy@@O00sGwMdA8082tY_0G8084040G000sDq34200bPR0000ab0DeaK6o7ibCkDe3T3 -ELK20300OW004408020002OWGOv602WWQhU341400420O8U36Dt0800G08000010zzD3221W -800490000000YE00W_pJWG0000G0WLaD000GGoo60800OlA300H400W0GG10ns460001WG00 -0WG0e34Du@V302001G8405010G8084201eW001800G19800G0840W24G11WG80G01000080X -4002W00G810W00000100W9WW02850W00010082000WG80W008dV300Cz128WG0I000480800 -0LXR00200140000W840010180G0000024W8yD0G140S40GO01I0808IEm0100G2010kUpWjy -D0082286G20W1G20m00G81B000Ge800WWI4GW0001W04OW0A0W00000a00GWvsC001004080 -10o008G00080kDW124W0GY00GW1000000Go1ahUCm00G0040008G00G40G004W000W0W8GQs -9G40400082900K2em404000050W0Ga00Y000WW0W0e080180e00Slk1000W0I00000G08800 -40AWavCewV3022101W0O5U3W02000401001200200008000X0008j1W1DnRWH00WOvD0000Z -i004W008lyR0G00WV_PW000200040H00BTp0G0110F28@@dGW29y8V200041088S6k10G404 -00000HGK0820080H0W8Y0K40010G0G01efP3Ujt000010W021GG4bKT500oCNV@10G4GG00Y -gfdXM3DW880oK@6qQV2000YGC80iJT2fma0400W9WP000C1000000WW00W8820000A0000We -00a8HGW80044stt00514080800H4W0HW0GY41H08000X800H40GG00000GSG300000W82041 -0082000H02OyT68200000G400C3001WZkD85s4G8Y0GG0200003008WzmJW80Wmt_90H0010 -0000WGamdDW8W0mry60041SJO6GmC028G0OE@40W04isF30010000G230W8B@AG0008200fu -T30100Kzk180043ItW0yJ000Wm2y68200OCV3_vFX7tP00Y000040Y000tkdGqn6Cvv3vKOG -s26yYa10a0000GksDl1XkR0100ndQPe_V3G101000G000Gml76iJk1X4ymY290G00mW00000 -20Y00081000000Y800000WWg00AG8I000W1Ga0Y240400141082ne5C0G084Ge280000mG4H -K4YW0A410Y0100404Y8220X80900000v10W8200G40820YG40800Y20040G48200YGWruD00 -0C100K404A0HFP0H00ZF5CGXH0W8G4rFSI004100001000GG400820SH000W800000W80400 -0WBY8200We0G00aoCYW20WW01m0PY0e8Y0104H4OGa0Y00O000G004K5800H000044008202 -CH401H0W8800HY00000hM08W@JCG40000282000Y0K00008000W0G40Gqu36OW8000p82I00 -WtuDuaX40W0Y00K800J0mru6000Z00H008GW8G2G2082C0000009W89x40G2002Y00008280 -W000000G001G20i3c12008_@t0208HG00000490004100050G00K00000CLBzt00810X4d0m -00YukD00G280000I00G00200G40GY00G000583WG00W44000a9008A02W2G0W200G052008A -0Y8r00e0000000cW4100W2O01mvf60G0000G1KBz6Kzl1010Y0W000AW0O373gTDXK0CewV3 -800900000OI3mnb60C00OST3000W00W0ulE30040Sak102000608140OQ0T300OWibk1RkR0 -0W0YwqD0G000e00251450001ksn02G0088201040SVl1h2P0500000W0W10400211G1000G0 -GZx6KFh108e1NTF14G00000mOX0WG0010200e00000020dKRW00804800H_Q001K04000DvO -0W060XO020W2G8e0G0060001W8020008G00010Qys0800I3KQ0I402000220040000X02000 -40400O50G0G000Km80WS_l1K042098002a0eyV3008CyLl10108000eikk1000000ya10GW0 -200Hrd9G00WG00000W0420000020_Zp0004108000103020004W0000I0e0000000kin0100 -1V5R00001001iA1WGm6A0ax63O140Yys0000460000208O0a01PIG00GI4004000W1000W00 -8685W4040XGY1000G20W0WK00002aSG2Zp020W40u00_@t00090084IwYF1WK20E09MGl448 -4s291E3Ifp08v2raWWHGA00Cgi1H1OWK00WTTJudQ30000u10Lr10001g2WWCC8ai4QGr020 -00l_RGIx68000mBeG00COU30OtY0mF000mcpa17exHAv90mFm0000WVW1WwzPWgP0000u1p0 -001VdW200S7m5mAOF0S0N00Wz000Y3000C000S1m0m0a50503008dD38w000u2q9509KWE4h -Wi08Ry400qZTEk1E0101mU04EU2pWR0c0C080m1m000Y6m0WV008k0@8w0PbId1000n100ax -000K0_180m007W00PpPmPuR4dE3fJbm2t9000Wuez7G000010000X3mx@6Kke10800sOD120 -004002ARlYD1O8jt466uXXiL9rU3IhoWgqIeYm7k50ccyzeeV3010001018T_4kdtW9xhulU -36ocXvtV00mJU0GIiyF6@bPGZ_Cq3F6@oBHguOqcW47@B18j4Wl@YgzV3IKpWnpPO@SF0012 -iXd10040g5d1000SU1000200ijE9teOG8oCqnF62000e040D_k10080000G08C0W00408020 -00050020EAt0G500080DMLEXFuD000GW000YOrC00040480000yZ00W001000008e0E3EEt0 -0K000X00000W0010200000H0akxDWW01GTq64ZF3zvOGex6000e01024184200WI8G80G014 -X0A004KG004c800C04W2gGW44W0000W08121Y081000009000Q00Y0m000W004000100068E -Rr011342080850008l100O01G0000016G000000G0020008100221G000W80a00818400460 -W080OAC100002iCGC8060200G040Y0W@lC04012400cvuC00810W2401n0W0WG4418000010 -800280821B10m0m040W0OG4G4020202A8021700W0mH000WX0GW0WmmU60400WC150800H04 -0X0102W82p0000pr000606G00004G820000G0G4G000021G1GGa8000G82X2060400GL0G80 -00KeW0G2G04LpGA2W0020080404000X0P0OWW00030000GNrn00W00010GW0000W0G021X00 -0098100G00G00GW8440v@@4wetWs@D06200000ZK_VuPV300y6@ul196PGZfCy@V280G02bo -00X08H5o0000800WGN4PmJ@C0G000O000008200040820M7sWlzD0041GKu6ypa1@@d0082W -ToCOvI60001000G300000g4nXvC0Y00Gl_CaIU2@h_GA0KqDN29fPmCN90004G4494000041 -013Pd0Y04WnzCuUS3820Y4_l12040W80100Y8Aai4_6F1Hhg80G041m8HSsn3BaomP@60060 -Oy@400Y0W0H000040200nfpP8oU62GF1W000G400YdpW0zOOnR6AXFXnUD000WmxI6yFg1O1 -00008G_wM2bhW1010W@@De9S3IVtWwsCOgT9QKsWAqVOFx4E6FX6tP8T666eFXmuD00WDTC_ -64xj1zaN1000fM@Ce216AFrWPyD0100mzs90G000I00W110008oC000CGqC8W8H0b800008I -cIxD0080W8e20HG000080W8W0KAV2001000YW00000H00oj@60000UPE30yI8I0a000J9240 -004GC0Xfd000eeqtP008100O600030TXdWY000100080We020LLOl1000W0004000euo@400 -H0avk18I80sat082W8VQPm2S6qpU2Y00H080820100H458100WSaD005000010000OwG40GG -Lu0W8a1000JMgCa_E3G5008100iZi1Z9a04102HC18RndG@_60We0G4KWW0000Y410Pnd02I -820O42000001211000G0I0ufs6aQV2HUd0e0010000002c4G20009aeEE300Wi0080u@t700 -0G1081000009m0G0010aG240020009040A0000H20H8020W880W08000W400IcZ6000I00G1 -10W40G00O0eGI10000CH0000G4002000mc00C0WW00W800Y0m000W2a8lDupU3000GA40000 -01IYu60W2100000W4W9000K03C0_gt0GYF075NX4W20000O4AZ404KW1C00WX000m0eH4100 -a2C8a00O1Am0100W0082C04G8G00esNnWgyD0H0m600W200820800G00WG8G000000BWH040 -0000a000000W1C2100G8Z9isl12000C0020000W80G0W80060000G00f860G0000000cH02W -RkDO@V6004H0060AWE30q82G10002080Q00G00W0211010003G1000O0014001000060G010 -0qag1010WW88G0008012em066Ctl1A02W0000W18000000G0GqVZDe_V30100yck10WK0QS8 -12002RXR0004000NB0G0400100560eUA9804000492000A00400Y00Y01G18001220O7S3W0 -1820GXO1r400G0040G8Cy4840X0W3000G20a0W40Gl4884s0200XWG0m0084gl104GY2lpRm -C@64vj1GI4O100XWTG000442W60WmlDWB00WuP6WOXJuby4o1t0i0W0vWdW3Op5qV312XDNo -Ad18f2raWWmGY00S6e100M00m0ibVV2G0000002Kob1Z0mGeuR0WP0qXg0e6ReA00mkDfo0f -10080004008ouwatubmXg0GCSC46k1EmFWLmU0axM200y300m5mFnFGM0C0@000w00005006 -js0YE020W0T00091000E6S3K0NYxWi08yR30Wu000OuFNS3g2tW@@J004J004@0C9_1vWd0n -100YV004@0@8w0xbLU2000nT000A0@061O002O200a400WO0o1lYtrV8ST300W0000G8iD3_ -QV3GK730SqH0W00u7008rCIA_ZXzoP83y4Q1tWXYDu9_GshMYqtD0W0Wm8p90000pR00GesT -D_A3NnAH6RFaJl17@@000uXQOV8Tz4wsFXlqVukx7gy6ZhvEPZTI0W60C1FCvSuHds6KNV2@ -uMn6x9yR@300W002010000080GGktCK@q31dpmrv6yEV8tyzmGw60000q0G0000801e02000 -004G8c3i1@zd0021WSdPOQV3000C0020Y200Gau6SJk100K900W0KyU50W0080G0S_c1W000 -848044c1004422tWT6De_V3000020004W10000055G0K4a2g0140G2200101000I0IGW0001 -0obp00140G0000400010806400W000G088nnQ01020G00H400W040318882004W802000000 -0R000428G0100L45400G80GG402200008080W4G0X1Y8WG_D0608008921ZGCa8WAK00H020 -G04Km18Y0guyD0500II36040000LW0004100C00i0C00000Z0OGW0W04000J00XW00000402 -G080000800W500o8G0001000088010080W0800200A0H60mWG00054002W04000200000m14 -0G0WG41000020I0004100W002000008000C0G8e0Y0000140004Y0WI0GW20A2e802GY80Wa -G0X000111W40G00000m00000280110008240000040101I8G04G00G8aej1G0404480aDY4@ -jRG_@CCqS2600W400000I000W0000I90008000G0001X2008lN3Yqq000200180AQD100400 -H0W04G01142000000018812806000020iGd1d@cGpbC0000200O800008Y00TScGhv60H0Yu -o130008200YOkU300082G0G4Y00000W882m0082092000o01eyx7oDuXnGVOLD62O4ZmmP00 -400001WA_CepV6420Y0008200GK2u60002OWU30X8080H08dE6000HCYa1vWdG5w908400H0 -00H0WWooDW5000020Ws_C0000000W400GW0002100GElE3LOdGFiLGG00OyF3orxXaHDurF6 -008pa0k1I00000CndxE341041G40ydb1Fjdmtw6000W0008HddFCjg1Vmz0210WVvJ0008K_ -_6000oeOU6AIt0000YHWd00000G0WPLnRGkVF0681OW_7EbsWI4s8TQ3IEs00100n0o00003 -000Y1op008000C00000YGGW0G0X08Cr4m408204H408oSGV6004X000G404100WeG000HG4Y -0Gr80200AY86I4000aV4o0082041G4410004m00810uSp4820088000W000Y000W00082000 -00C200g200K800OcK_J003200g2008200W30cwF1u00006008400G8I0O7U30005100W00Y0 -0041mGzDWgH0006108280G40H8204WP0000W0004825000000000KajaV282002utWGpDGK0 -G400000GG400W010Y40002W80WH4a9iJl1HkA1008Yj9DG42400000H81008000040230000 -00X4m4000W4Y2W06eF100G2a0000G0I1W420100104100a00DuR01W0W_@D0O63GUzI0084W -4001000A0W800811_9t0G1G4W4000W448900W8H850I8100W400W81000G21W00G2W8W2180 -0021C0OQ40W8Y03cO20000CW100G00Y04011080000H810000068001ewgDX@CD08W000G80 -41401@RW082000080Q00o6tWiYh0G0W400W800G2a0A0058WP00WCZp40MI4G1Y08CS300O0 -8J4000006I140XG4OBGRWGqW08000@tRW02G4m0G0W0201100000Ga000000X0080Q00G604 -00020400W05800000920024W008DGk1G4W200GW00H4uvT300etM3v3V4d0002S040100K04 -m00000G00201900H000W4W000040IGS0020041ee010100O4WAtt0820080840G40100800H -000G18WX00t8R020000001W000W0KG0GG0O_x404X0SNk10G040A20CXh1002YG020y8S2WX -D0k_E1000A04008400qYe13uQ04C2200000400100G00G00H001W00G0e003pO02W080G000 -00Y000G000196E62RB17mcAW50042RW0008G80CGuR6WTM08dV3001X0000WGKV308WKA090 -9WR00c1000W0Wi40G09S00024p00G7tI0M00G8i5Ig06aDd18X1OpYx10S0xKX_RoYpW0pJm -1iZIktI000U0W7cHz06uB50e8v721b130uBG1W00my1SPg1b_R000ynuib8RU30ecQ0000my -30G_@60050qr20000uhWn396E3udu74sM207000S100u2u2v7aLuR9yva1201pT060a5N200 -u200W1WBWB060C0k000w00045000570q_S200W0Yet000000c00GS1C1y3oARE3000Y2008M -108k3uoyy1O8BE3u7n4OF0E0J00GMS6yXz900G0wPt020G0xsoG4VC800G0000et10WSnbu7 -S9Y7Zart2PoCOkut000x1ZE9H9qISlM5D44IFSLCRl1v5oGiRIKCi12004gxt00WC0Bd@mm2 -6CVD9jt1M11ZjGC33pdGhl9q9k1jmRGKXIW200ufU3000000OVkaz4sNF1800131pGly6Cdk -43JY100800GI02008W020y_j121006om0G000080000G000G000W2010001004dmRmfy60G0 -0v7U3kjqWnID0ya2006W010000H022atWqtD00800G0200G40JuR0W0240020f8R00K08020 -020KGX0G0qzh11g@0AWI04014WWH80040W0W90280020020W40e0G0e1H0228000W2100020 -G0KW2m011YHG0400G0W04W01G0K0e002G15G0eWH2004Y0800O100000u0RC002W00G4W2Qa -88040008W0W00108WW0G860G00A0W400800404Xe00000XW38W0GC6180848G080SfS20G02 -0Y00ySd1@@RWW1W88W0808040G000G04018500022K00B02Y1080X00001CW02001O80840A -808AG1W0G0404G10800GG1A018GW200YuP000KG000PA000000_j000Z0G4040I93L400402 -08002W0100602W841300404200810002Da040015I1YW0I45183e1400O0m0c0012000G001 -100420007tB18W40G01G020y0800108Wo4mC00G2uyR68280G0W0u7i4Yut08400XyRm8r90 -k79500GmMA6qyl1JbR0WW0000000Y80o3tW0hJOoQ30820iQD3X4p0000mSdPu9S3ghBXp@P -GY00Gb_9a3k1JgPmw@F0000sO00Gsu6KjT2XQRmgv6ShT2dcb000aeSoDuKLC0GA0a@V2000 -104006ul1Vypmnw6axv3TaN1400004vx3TRmB2Fm400e_x40041000I00008H0000W0G2000 -808G00G04W04mRcOq6k1v@a000000WO2Xk@m2j9ygD3LmPGO@6004JfpV309X0qJj1HbAn9v -9i6l10G000G001040evT380000f008WrAcbt008200040AnrW3tbOFE3ctfYTfP00G20000E -c1WG9RdGWvCyYE3000Y0808220G11G004140P0W00GG0a102040G8rS900G04nc1Y0000101 -K0k1000H42044iU20001AJnWsxP00410004004A0hsdW10G4000000om0G100W8GiWV6G200 -W000W800000WWXyC00Y800YW1GWmW04GLX10Z0Z0H4WCEpr66qSl4hmb00080000pbvRmxU9 -4Dl108A00m800WO0eiM641004vg1VfR0000eY0C0KV30GH00W000W000wjt000G400WOoSd1 -0920086000CC0G02H008a8W000022000C41700200OfO6_@t00n8000W882I00002GK04200 -00G1060020G0451W4000G1nA@600Ym40000f0W80200a02000W000e00I01104H20WG2W00e -400G20100000Fr4000e80IGaeM5t0G00a000081001I0008H1840C000b40024czt0a0G2a2 -08H019GA0811G200000021000We6pd1W1WC400YG4O08200uvT300H00W0100008WG0WTyD0 -H0000030A800000G8B04W8Y0xiT3000HW00820WHa000etzDW0G100Wz1WG008000008c100 -29XS3000W1W6I00080GQG0GW0000C00a0020000041Isc60G00faV3000Gqnf1Ttp0U220G0 -100021000G019W00800W200040100q0W300ypc1010W80M000002I0K00004W2Y0W000G006 -WG10G000015000G00d@R0q100G9a3K00G10A000S0GW20Ket60810G0000WWT42080GC8000 -40040020A0mi_60000000X080W01000000GFErWbiJ008208e2000W20800000I0020eSU30 -210ifT2PRO00eW8000GW02YYmE10008fXO08000000100O0W2000000K@00YA00WCID0A00G -wt6000W0040000W24e0201000008yIj1000YG0100001W080m2nFaVV2nmnGEu6WK4WD2S30 -00X0W108jR342ztWWGOJB08KOR9u0sO91y40007a8k1GA400G0cWiR8000f20420X0G112m0 -sM1W0wC0GqB106WDZ0I9000cq202yud10f4GG0Jj0aI0008b2040000m1fT7YTY0WOuU8FyD -00060000mT41eF00WE5COQyD0m10000go30cf20SIJ0000urh90000WTZuV5C23F1aVmUvWd -000WO400mAW9WHGM0asT200y30Wv7mFW98ij48E00a0k10PWz0G1o000o200GC000Kq1004T -000_1w0O2q9zx4000Yx008FWE3KWV0a5W100m00Wv70303WE0S0C000w000C7000000Wy300 -00iNbpO0WDs0WN3mcsy000WN40EgH0000qD0jhx10A1GxF0WUDbNyf6@wc6Er10_hF0yr8l@ -1200m7EOiLF30L0063FA1000W0480004yQU5Ndl100024Y00W0G00W000W01O1Q30000h200 -O7K30G00Sb_3pfNHmtXyZ03f8jHFmL00809102EHsWRhJ08v3mA96ylkJ0400N0Cavnh0240 -mQy60W00OU830000n500OkU3MrJY85iPpU3WQ000H4W04G4a000WPsC00008000KH4G4FAd0 -02000530p_R00490W208B@R001e001000508kZt000HyfudmW@608028NT6Ytt00e00LVQ00 -G0GXA004000Iyt01000ZQn0020000014G061W4000W0044e82000A040W209W4p0002WW000 -0aGa00m0G8008K0010n80000845009G400802003GX02802CG1100A4GL11AX0000I082000 -mJm08WI204K80Ig00G04A02000000W0G200H80g20WH00Lwl102W20KW1041WG40400A1000 -W00H0280CW4Tl141002ZF1000208000Km80m822W00840G1020W08aA0100030G0mHm10000 -CW1400020W0Z0000201M00e0040002068085008010002W240GY0i4m000880a0000I9L000 -2000GHW2G0080G200G08I130450G408X0220040000m00W000a4W21W224500A4000404Y00 -001200W800014HMzC800G0D04Gz@6Wd00220000018000BddR000W78000H3P000G4WW10dX -R00A180028004000CW28004C0XW0G0WcqD000U80026W005000G1000yAe100G0W400SQh1h -wR000408011x_R0W58Wv@D0280K7z98W00ez@440Y082008tx4000Gysj4R1P0021000W85v -R001010W20pYK1WU3G0Ae8Re@0000G08IG00GAW0000G0HaP22e02G80400414000006xa10 -400m8Y0S6k4000W0004bee10000G110W0W00040mL@CWG000W000200H100800WW002400W4 -vIp7cPt00W4000W0D30W000GQ216M9t0000488200014W0H0W8a00210Wt4D040000802101 -8W8207_4chIs02000G0000W20BIA10W400400x8O004GaVvD0400IEv600m0uOQ34W00040X -020W0410100020100deFXlsPu0L90000100I0000S9t6Ciy3HPo00800H20004002WB10008 -PiR0OIC000002a0WgnCXMAIG80000o4WtvD0C0WW0020008002004100W000eb23EwsWwOUW -80C3000aptDW04000c100m80Le@0X00040G4G100Vst0aG2C404H000O1004UVS60W80000W -ag0W324H4Y0a0000X2rt008400864820200YmmC09YI00HmC8E0G1GW49YG0H42G482Y0H40 -10C0X0Y0000000agn_70GW00004ALU3000WaLW10100e500C8V20004100XMwZ14H8048100 -W00X0HGorSFW000G4000004G210808208080KXl12400010YG0I0G4mG2C810L004OMa2021 -C2K1W0004300W0W00080028222084510b2mxjF000W022210000I04000000X400W0400I0W -88400GaK2068O201GA20101009O80A2000H01000020fW00409028A0O62080045I08004HK -I0m@@60U5X004100200IX0000G08548008XOZI3EIm002WK004W0400020q00040GaA10000 -0GAe0420000a02G210000I000Xrc00020C04182AmeH80W800ACS3060WI48000WWW012G41 -2808WG000W10GW0G0O4GO400a1001800400W9G00052040045G8M000G0604_@l124804200 -0100X04158W2akMP00W000W220020002802H010W00W880G820GH8H0100O8X000m0G2X100 -W2C0000o000_@t030H100000KW100000eW8W400240W2qe000008040800C000CW0000C0mW -040000006110004G200010010qK0X00Ke8600031101KC01O004X1O0000r60GG000G8W30A -050G8O860400W2e8mJZ68O000000WW00Yy@JWGW74M000W0G02020020Gm15400000123Wgz -P00GGKmd900e0008G00G00G000008500G0qAl10Y0000eGy0U2pZQmwi9GW090080400240W -00A0000qu0ibS20014040X4rl1W00W_xKYe0IOOS302000K80OKR3AWE100R9084sIxsWmsC -m0i92wlX0XmsB9YR004WQd0018L1gT31XW470WG042080040RD0Q0sq2ztWWGONA08KK06W_ -J0m1ib20084e1RD084sq2040WG0m0080OB105G6B0f6000Ij0020000C00804r020Og5OZ2W -140RD0O0sr2zt46k1nmnWP0CJ70000u10Ext00Sd80S706yU3ec10082O050C180o9wxA004 -h1A0@c20kh9_100yxDPmb00tV00_Q3E_JDytEDuu30qlt0eNH00YE20o92LyU2CYW9sH60_d -T0Stymh000N004@0S9_1Xjb0W100YV00C@0@O_1x1W1u20083000400WuE00HDWV0h0T0m1S -100o200OE00Wy300v7mFmFmU0S0k000x10087000QJ000WB00cV0k0c0P1m1O200e300GS00 -81E30O0P1W3m000W100mye0Cm1SHi2o2WK1I8CS3gBtWrfdv9T3ItB48100zmB150SIJ0000 -uhEW100e0v500CI00E1Wvh7RhyA00Godz_6W004_3mZn18vlo4QeM2G080J2Rmnv9aqa1vsd -mXv60Qh186W4ws@1G084bjdmelCKTKBF3pmTxL00088P@4_uCXnuO0000Cg00W0vUOpSaQ58 -acwCuA_72B_18000008uZ1GYw@D00G04e0GW5wJuCN30004iUC6VMm002G0G040202GW0000 -q000100402004G820408o9t000107UQ028W01500040082W2000001024280800a4LEP0G14 -000000yF0080C_Od1@3dmF@6SEl1W0420014020W04008000G000YFtdGYqL0010G109iW40 -024G00G1004e409000250e800G2010W8YY80308229G0G4X24e0400W40WGWK0084000040W -O0G230W0888AK200A282G0100C0e0000uU5000101W2C0n0i4W2K8010G0A2000008G8G0G0 -a4YH61XqrD0010002G00K08lLdmXqFC3G2G000C1G0O08210101H00Gm0y00G01W2G80016G -80040h90200H21021W038W9G002L01e1220050G08800K0W1K00380I216I4a00002G0mo0W -2000WpF0W001I0442W0WWW3802400G8m_y900080122220G02080e0G0GG00G280G404GR@6 -4nF3tkd000000K00GaH00004W00G0200W004000010W4002400208A@V3400W0808O@V3W03 -00200080400010011800101W20W0G0AIx409h000W80081005GuNyD0182004000W844GW09 -O4OiGD3jspm9yCq3@3tvdG2v90000X8000H0002800010006G0CHk1rcRm8@6000ma0000H4 -H08G40Y004H600Kll10000pq9XrmJOd83YytW9nDOnV300A80001W000mrcU00a0e1D3_st0 -400404W80G000180008210W008300G0004000kPU2080W0004Sml100G0QVE1400001000GS -i000GC3F38048240G4300000400820GG40g@d1000cRjdm9pFafM51xb000WXk1C000W2100 -G20000800wEH2820WfGRmNo6K6l1Y00241000io10404nzw90000G400oWq6y8T2PJa0051W -lIX9Z_400X0a6l18WW800H100a1OsU6G04e080G0008904100G44zsRmP@6000WWY0W0W000 -08YC00G0002220W0W890mqy9KqP2lRR0090001007aw10G4WpYDW80002008400G01000X80 -00H4XGaG4G80048ICO248eC01ill18W8000940WQ82G8AWOWH441C08I04VSs0S410000000 -Kk104Y0Y04X80908WC00020GH0HO2800YW0004000004G4W0Ynt0002C8140G09WKgk1D2l1 -Y0002200z@R0080400GX0W4K0W8L10000Y080W41P000W4800EztWKzD0009200W0412120W -8eW882400W0C2W800400GWY0000xE04dg1Y0G80G41AW8G1400Y000082G4lDQm3p9GW0001 -p0Itv9qwE6082880200W4aW80008002I1G8I02001YD100940I14080IY8100000VgF11W40 -000081K0800000G8040800aW00A200P2HG020G2000000CG90000I0000I10800aY451008A -0202008X8000We00G000Ga0W_mCu1V3_qxXx_b0O0Wf21W8006aK00W00GWSI830X0004000 -C00eKV300X00000H4000000C0088841Y0422000000W01G100G168PZR0005Y1zD00W0Wa00 -00028xXRmxw604290H08Gg0600W0GO0W00004000HW400_eO3O145W1000Y0G0000mW20040 -410004C00004u0m0G00884GD@C0WW02W000G11000018K00WG0WSra100GG1m30q@l1WOV60 -WmW02IW0000W184WhkD0m2402m0681XW4002a000I40X000220e00000G0A80YIo30a00e08 -000000Y00eJV3W200iwM20204MDtW3JIe2M30G00000014020401WmvD00GG20050800W000 -8PS0002W6GW81HRu6yUj1G0a4120G002W0H2a000020HC00I04QxFXDUz0ztGWGOZb8lP0xY -0RiR6auM20O0am20b00000208GCS60sQ0m0ip28h10XG230W02000000X8pD0S0aK1004bP8 -h40000i0018000G004YCt021OQ5oY0d120I90W00m2ir4DG27O_mIqUuaJ0KtL1eT71GVhmF -sTYVzHArf40@pE0_w@@6000UL300W9_1A0_tE0StP00cg0WkG0K000OX0Wa@J04Z0GQuI0WP -0qV00000OcZ@PG60_gC0SNZi300u@J2Ct3WV004@0@O_1x53N2nkPW3u2m4tC00P10047000 -0000a100n5G60E86E38E000u20We3m5H7030SWE00Wz000W300WGj000G500YT064TWv0m1w -000q9CE3800ax0L000x1W30000C700GC02apWcvCO0SUgFsWYkzW010m0X900Wi0002000yQ -7GmhQuL0umf70m@x0WV2Ghw6W000000e20000Fy0WK500Uvsc9mtOsxJ0R40iY_F53jHWy9S -5h1dydGtOXiyA3000Wy600S6Y1ZqRGCKUyak19qzmyxpikn9lzR000kZFqVes8OQQoZ_lDe@ -43_zjb3@JW0000G67W@@D0101GzTICRS5dp@GnGL00080W00X00WWjaC000o105G1000W020 -8Qcp00WY07kR080GmslJ8rv4cht00800a000000Wxr002W00GMy60400000euSw6i0l100G0 -lyxXq3J8PG3AGK20KGH8eWW000H800HGKG4W06002Wi8nsRW0Z8414GC210IG00400180088 -W0000W0G1600H4G848WG22010XWW0000G048a001102W04041000064G001000W00810W02G -0a0m_q60W20ONU3400004G0000Gmqy94BV2RUu10W20G008400080420a8WWWG0W1000I830 -4a6A0G400K02X280002W00004aOW100Y4W21005420A00mx2DWW20040204C8G40000tj1bs -j1WW008X80020004K000000K0040000010I0200yyD30100Url1tFw100O0K4G144018010P -0A0114400GWG00020W00809WyGl1fVRGSO6asV22G0000080410ffV300G0aMl1000WW700q -3P20G04oUsW2@beqV6Mit0G000PFK10000GW00pSz000m4000Ga0002Sn3G03H0m00MppWu@ -J00W0JQdE1000wwm4000K0W00O6J3a0000HW0OkV3c1NYR@J020W0050WFkVGC_1GZbFyuvC -FSBX1Y1YHjJ0000Y80020O40600000cKqmT2PSpGo@6Czl10828000GKrT2000eIxrW1KNIY -0G400084W1182G1EDq0020H008010G0i2j19elnImIG00000WQIruRKg_C000Y0W04G0fe1H -0G480WawoDW0Z4YX65HJLbW000c5100iik1ReB1008GY60Ym0G0sk@1Gq60bb_GbwOytZAW1 -K04G0HG000G0082H0040K58W0280W000WG0O3H3EbLY6_D000W080G0841600W8MSq00W100 -00eERnWQJLfh_G800000W0u1D3GAKI8G010000061000620a20009W0G00000G2n2f900018 -503QIp0004008A800a000e0uWU300imD62380216L2Z@MR10005GA0000W000C0MoE100080 -0I0010WSqB38240G00GCBR2V6Q0G04W_9Du0I30ia0y@l100G1000028G08Q63szF70Gm005 -W00RWW0e0G0W0100ex0m00Or5Q002YW0_J000YmnjF00100044Hax600G1eTs4000GgL00e@ -V38C000000a00Gmon@00I34H2980042mG010G140009Wq00OwQ90820aCE3FYR000eY0FDen -H6000cF7y3NFy300W8100Y0010410X20G000q3106WDX0ID000cq204a6g10e40G00C0WI00 -00mmvf60010G10000O04b004OA508000042000W000609X000YZN0_yb10Hc40O0a2TqZIhz -000K3@FhMggo@KL5xP@0yxPQFn90CWJ08IJ0m9A00000Z4CYDC000epm9AW00e600GDWEWx0 -T0H1k100o200GC0vUR0C000O0m000008300qRg14P00000o0W100000100G4000W000oT020 -00T0010000I200GC000MhFVD130008G600GI000W1OUxS000F300r10AeWd100CC00H_R00w -0GxE2WsTaV000@bm_600Wg0ux94ik1_x90w_F10DA0JWFMcSy000Wa104GNvK5lz3Vb@GetC -4zK2@sX100utKP_fGzJsa3ZirDe6MF0fL0izGTXUlnZw6q6E3U701kZOfIvD000K00800080 -0FGbGRx9yha780G0gid10G00G00000m@F7l1020WcWtWyXDu0VL_@l200058000G420Tbg10 -0008102001800W4GDwC002000004220W_wDOCz4004Ga_c1nsR0uR000010W0200000aij1n -17oN6aWW0800081000Y1qDePD3E7tWxyDe1V3IMqWWBa0009GGw60W0000006f000W00G014 -0gjsWDxB200W0GG000W01040WpotWgwDePs4Aqd10W01Zwd04W0W4rDufw400G000W0ld@4k -Aq0000GXEYnm2gW2008ww42YK20010z8dmEYR000GOSV30vH0Cck1fCaGgBNLPvCxlR0008K -z200JE_GFeg46E6Ji@GA@6KXE3zUIIS@9000690F3sedXzE5wty4MIj20800P7knoh94OS2W -XC0QBCXQz4ADV36tt008207iRGz_6KMF3W000293ZMEP008000040000am6000020G400guX -VwRb10K20TcomuiF000G000GL6nR4vV2a200W00000GA600GIa_600GW0404W000WKTzOOVF -000GX00104002902mwkD0a0000W2Wt@D0I01000GAG00apkvnxt68200y@V3036IaKV2W400 -41440G818Z7X0W0W1220unV30004100KeR630H2000G0200G80O2Wf_h0X000000841800W8 -mkpt0000aw2C0wxt000G8000m040PyUG277R32Y08010800426ns0U00000W2G8000000G0W -X000182008Gm004500apN5GG10W0WWS3d180W0011000mp500800K0040H100020G85W208K -0Y1G@oyy9a108200H00jzl15Zd01W2080W0004H0G8GCAC6000W0W04T_j1408200000cp30 -0G0W00KeKKD0010GU9jijl400sI008e15040000W3000W00060fK090084I189M30W0mg40E -0Rj0GWWL000GwJU3C0020aI0m18X20088TJF0040052000H006G080O30G0XOBt2Z4100004 -H40KG0gnc700wzO6G@z700KbwI00O200u70100mU0O00000h1008700GC10000O2m4000EW9 -00mUn_bmV0L0000GI0S0000WmmD0uK3408C09IC1SZO2a4G7W9WIKM0bOW0OypMT000u0s32 -0004qV0yWCpeALTm@0GmPC3W7c8aP0001Qwa400CgvrTrRvdygD6Vep0Wp5WEzQiBqAoktWv -tORY1O00uR6LeMrebpV_6y3V20x10QZd10120ZRj4GW000004JZd00W0YlWC8zFC0400CNl1 -vaRW020WaKDGzH018000G014R3Pmts6K3tFdc_mO_9CDk7d5om9E6y@l100C73rZ1W028NFk -4000c5WV0800qM2Cq5f70G01_@t0GIh0004000GWqNd1p@RGDSOSi9aD5OQc2@V9n@LQGUGr -dCql10W8G_@t000TSfzoMV@dqyl1nbd0WZ7WCK_@2cINuiYZyJ8KOFkqt0W0000PN0sus900 -0v2F8I1KGKe8jGH0U94H82C6GW78Wqfb51008C8qHqK0W0004O008uS0Y2u400045I75mB00 -0LW00oV004yLMof18000G000X00020004@F08Y0010002Y2u44000954rkqUC8k100Weqe90 -C203H1K100810me900000rV0aSq@7FzVnL@Fyr@1dz@lR0306000000mR4WPeOxp@M000o1m -700000Br00WDzoCf030W4Sys9OPlRGC_FqaF3dvNHwvC0G51ez@7UitWYr_l8VO00mmUx8@9 -Ndmp@UKPV5byRGGM60000Ih00mI@6W00000W2mZ5Oi7A37y72020WXyD8Fx4ATz10W04ZlMH -q@9KrD300amh@t010W05Udmbt68002uztDgNF40030G00W00000408u7L9G080a_D3b@Rmr@ -94sk100012mt0090000000W040060ArK36Tt0W001l4Zn7hX43eGd8p00006E30032kHY@9K -JWJ9_t20W0p@sD08G0000108a02hEpmBk5DHjGY028Ebs040G400I004000I808iwPMJMB00 -0ea700a00005000W18m6_9Kbl137U800s540000200a00400080m00000000G10R6frmcp0K -w520C0008W0404I0I8WIas02100G0W0c4FmOpD00006Z0G00920098O8W0202A6010G3X00W -rpD04G0GwuaiTVK0004100000eW20G20000000doWAW80000W0eA10G00YW2A0010000eG0a -41W08WK00mvr8rsVB0e00Q_t0X00000000dD00Gm0000080020Y20000008A02q3W1G0GG00 -0YkIHTG100Iwt0X1100008Ka008000000121G4040040000G010ne8Y02406001WMAy302W0 -284000Df00080G002W4W80e40000W080Qm730420S4FIJnpGA0KCAV2vmR0000M008G0C400 -0411M0G40062000H008G000O12W01mOWL08204R1020000480o3FGWHlW2c0a4C42982GIG2 -6aW4COXG8mtyWNz@9WG00004m4W0890b8n000eP8m9Yu2I4n5m5YB8B0N0N0Eni0SY43e496 -19Qk3O200a5G7iZ7LggA2ym34KL000Si101Fq12_70_NLgym3EuX78OLLHm31WW7U00Fy0@3 -00_7002t@d4tg0801GLlR45m30aN0MEwmcfJ0402Huq6KEj10008eDWGaOy958D600040004 -01G00000a_V2002g04W0q_@dWjI0gKZ400W0tcrIjm6iJu34G00_@t3G004nk91000AY300R -C0pLLjiac10020E0O00010F4OGmr60002e7wA000GiXD3000uQnUZLuifTR6020000e08yE3 -04000400fqD3_@t00G01vyX1GW0W@@J0qv2mgaUq8zIPFeo@@C000Wqg00GiVay3tU00uZov -t04000FzRmrH_D_V50z60UWBmc@760WMNJw9qhe17d@VIgveO40001000G000400G800W000 -SIn@PvZD000Mz100PPy90WjfIIP0000nXYKr@M5T1S280000Y00@@@@@@5100C8by4oAs00W -00hUkt@@L0wj08nx7IK7folP0020mqld0001u2S6G000000WmC00m9qdySEIVp330W_yyvDe -vv40200qHF6H58qygg4rE3WOC0EUGYvrtRHR9000WxG008kaJoGU608008000sok2010000H -4UE_XMjh00mt@xzL000020W0oAvCioDCDrYnz_6Cml1hdd0100WzlD8ts7Uwt0mLE0ZzR020 -000G040020IBtW5@VeBkP0W00qoN57@R0081WDoJ81T30010akW480000000ZP0000200000 -100202040_@F1a000TnXqs_OazW4jyN100YYZHaeKU3008Way83h_R34100e000G10000W0G -0010W00040000O0044046nDaz@J0Os2m@@j0411uvJU4000aKl1Bu720Y00G000Y000000Hm -1008PPjAWl28Y80hut20Wscpt7304b000000G0HRktI2@90uK1ew@J000H8200ewSLG400a@ -V2411W0004W0H80000228Y88821Jz72041040004100000WY900ur@J0W8Y0008eupM00H00 -0X00041010W40008004Y001W00040001mhxUG800eRq400iJzxVBJskn3fR001000GA000G0 -0080Fa6o3b6qs93WxA0MFL5H000Lfg20I0WGyJ0800WG0000020o0006@qWgJL1000AN10Wg -QF1000MScp060000000O01WqWJeDL3Qsd400W0G00000ehbRYA0E04_@t0C000@@V2500W@@ -DW041000G120000005000G882083aG0020qth101N0IlN501008220o4hbF3C0300GCn6080 -0000G00G2WcYteZU6000O000W4o00mu@a0088u_pM000e030000G13000000m00y0000WZOW -e2WY240L010G310A200wxt3f0000u@f0u@0008KwtPLS000IG1U000Km1_6y@l700410000G -400e3W40Y0Y04141028200GayV3PcO3Y2m0G8001Fh2100aY1COIrgRzt00060BAD30WBj4u -eg3Q30400qhF3j1@Gys6KuF90n20Q7UZunnen@D2r5rMjD8pW7wNMYZ0C8q@G00CMTok11Ag -2000ey5D8TnM6QiYErF18X1Gzsjiq_ClJFJc_C000WsN00Gn_WrtFC9m@000ZkSxJO_ukQJl -YwyF1WA0GA2T5uVNG400oH3ckpl20nQ@Gu@7a_@1s39006WsvseRV2100WHK00eFkGYttF00 -dGBsiq@@N10h0eDkA0448ieaYq000gjhYo6D8KQaInd10W02vws20WOY4on8RV5FT03000W4 -K00IWxa@@13600m_Say@Fvvdn3eD6W@@fwkIL6uFXtzn0000ZA10Ww@nOvC6IWJht@JuaUC0 -04B74cPXmm3un2WwptuI_4Yt7o@@ZgjU9gMj500Gf78_mByKrEk45kt20A3WOxPuHe33Cx@@ -s_llk@txx@y2@@kn@hhy@vE@Fkq@39VL0900@@R0u10WkP@VRt@NqlH00QhhtFpNo@7fG5Q3 -00glLnq_r54S0mmiCitgYd@d0008Q_000tgp9000000677@pmb2M2wt18W@76q0I000Om700 -_@t0082000800200Ge008C_61048@@F38001_iVIm1C0@@p010GWqCW4000jp00W@@J0041I -PhJ20uG9ERFIAWq@@tRPy40mK0aNh4O000_dkHu000@@p000u1V0eV3St80W_t1WV00Y0G3I -@N2y@Z8@V8q@@@FR0W20oZAXj_D0401G4NGYH008a@4wxtW6uX40WXv@@FaMF31d@@lx@tR@ -@x_@@@@@@@@@@@@@@@@@@@@@@@@@@R0000440000W0mTPD00000G56m6SX40W0100000240r -oQ0000K2320Z5am@@@@@@9TbPm@@F02K1uLu4_@VZIn3PNWA_@l8000yK700_@t60400@yR6 -00Jf@@FfcT9oQdX85GAxXA09Y0yRjb@@@VM@@Vi@@vw_@jm@Nhy@pI@Vis@@AEpbpRGrkv0y -U1eNV6ch2c5Yrwtu4000mf000OCk4EGsW_ZfgR_PgDB100l7@@R0001mqoC0400m@ngKbiPW -zE06FudqyE9_v4gVxXOq210008x10W4v81000K_Rg8000OaD6wPpWbsC0W02m@@m00GfPCZ4 -00000200ACSX0004STt34000000W00100000KXUI0100u@VF0Pp0CeN2XXRGSX64fG5@@dJn -t94ntC000Gz800a9o3fcZHqVyan7F00Qt@@NKnQF0@@RsCdv0000Ms00m6y@dAahBic30002 -t0003oQ600W0I100WWG0_@7600nudPa6004alOj1432m@@ZzUa1HuCJi76mD00u@VpMl9a@@ -n0007p@@Z10GA0000aU0GW_Nj1mg3m@@@@@F30m_300000300u@VO00qHy@@@NLRmFr6iKT2 -0200A9EXRYP0000uarF000G400200004x30000W0cCEXpfgO0B3_wM5000400204000Kyj10 -W20I1WXfeDOoEFYLc100mDf2a000WWJkPu7B6AaEXAfDuvD3sUB10001xvz00W00G002DaR0 -0008O000000WIA810810vVN1W00eydV0H0000000ml6095aGRN6CQj1Vpz06000000GnUXHz -9vSQN80080000GZN000008m0U9SgD97NRmqrFaYU200400408a1E90G00W400quD6FQRW040 -000@P0000000e00G0W000oGxCy1W1ziRGsuC0400vUS300G20000082021001000I0100002 -004W0OumM0O00iHk100007gt0020WDVp001000n60vVR0004WZs2PGU3sltWEvPe@U6UbWXX -r310012000axnJ00010000400Y0Ji@Gyw6W400O613MQdX85a0G00mja900W0wpz4W0G008G -00000Ga264IN5fKA104008001lmZnfT6011000WEU7b600G09bn7EQ430108FpRGOy60810W -000G3x6Sn_LW040_@@10W80@@t8008KM30075yM8@m00Gdy@V3000H0000W800GJySkwY1TF -OM8@j000WsO00m35o5FRB00qZ_@F1Y000820Y0G441Y80u@@k0500qMOB1fOmjk9GAe4uZ2m -G4004VX1PAgYV00W@@J00C120G00020K@@36004X@@L100Vur390000W011000mW4Fpv@@h0 -0y0y@F3W8000201GG0089ph0200000W40000GX0WOWLHZ00m@@C0s00G00mo@@D208m@@V6K -0000W7M0W@3m@@NXO20u2mP0xq0y@l100820006W800WA000n10W@@R4300m@@6mTL0000qz -ksB10018hxSI_c100@8L43JIu2zTS2xax104G0000180000ks048mC0010AOsW8cDe2zDoMl -Y@mDOGzG4G00004G0000iK10W01cv_PIo4dd8vP00G3PIrI4PU8FMdGfN9q9S8H0W0800WSt -DeWG3Yk_XaRCO9R3WG00y@l100Cr@@tWJ0C0008W20008000048ewfFX0mVuPR30A0000040 -00G0010m6xJuH@4MrtWUtDG01WmYu9K9k1DEO00A80100008004G00CDc100W04G81000041 -XGWG00000G1G800G0000io200040088400012000G0404_j10100G0140020014GGooC0020 -WCm0mso6i2k10Y20sNE10004FuR0080W0_D000G01000000W5YRGA090000K0400W00020m0 -8001Ikt00GWWaG6000G3W244S6T3800800004s40000001G1200110000G860001000W06m0 -000000X0010W000200myu60400G00CAG10W1yJ01G01O00WMqI08W0ITqI4SU2400100024v -l1p3omxhOG0200000000yoc_D8UT3_bW10W02d0am@@I0O00000emXiyy@V500O0OW08241J -100GG8x602000OoW0000G6a0G00601009axl108000006Sy@O@@@00Y108W0W0G0G8000G00 -G0a0afC11000GqGM0400000C18a02000016a0Pco4e102082H0G8I53oc_jxxD00G0H5e900 -mu3mG0m4_60W8Y8WH3U8NeHivv@V3O4HW0W0W400a08000Gw700230080100000H41000000 -0G2bFRmQyo100K4C0W02812aWGHA2380C0pO6401000isB98e002u0H144I4X820YKmCZPm2 -1102000301800yZuL@@Z1Q0Q018O0m044824G0054Gm000114000KpW0200aW0H08e00H414 -14010004W080G4HG0400400mH1i10000c0000000I100D0Om@@60ML1u@V3Y1uXbvIu@@t6x -CXlMJ0000Trj643m3hCEpL@51088uRu40A00aVZ100sBY1W10440FpRmsygaJ2Lf3@0ON7Wh -mIu@eMEMkY@@@10WK300W9e001X1P0Gg140m4A0000j58r43W4010000JWK_WSY0mh90W7AZ -Tx62FCZC_I10EJL0KL000qnO30tH40c9LsuQ2Cp3ouEH0u3p0GL1OwSy00W100mF06060C0u -0O000m0000E000u7086WA08000O2GutCLc5g80C3WUf00bv1LPo9_Y2Pyg20y1L1uX000e5Z -80Qo90qXPSdh5O6FSmT41m7K5WPQ60FK60se6g200Sd8VwppJNCaya1b7E300Rxs_pfWS6W0 -01KQT85UpGUtICI_300003vn0G000WLQ023FXtoDu6y76M@aMjzOIE3oFZX8@EvkT3wdnWyl -bO7m4_@d40I02tbKnmTC4ws6HAK1GW000040002000qH6fm3XnM48W0Y@hVukT3_bFX0wauR -k401000W000WG0Gt3600000Ov0mOz6000WG010Gjn8100400G0100K00000WG01Q0mWtkPur -i4011004000000ndw908000G01mG@6000020009k02WaQDeGV300GGy@V20208opNYgzJeZ1 -3QJWXF@J00G080H00W0400610000W0140000026G0WYoDeR_4040000001200nUz60600010 -00004G000604G0180G02000W02000ottND0e00qyrFSR03DYB10000008G@@R0W01aRix2iR -3GU@941d13IdvE1TMdm@pHyVS6@@6o@jfy@QC@dcp@d1zVKgKb3k10000LD00q_@UbLxnQt6 -00899vQ600G00000PHRUAeIwmzhujP64004000WGC00Gx@9SBc104086Hbj@@PuvF3080000 -00SOw400KnL9i1vwBH_W6yHQ89qRW005Xnxpvuy4_@t000440280AatWSvD0Gx100000014G -808W000m08W0e3T30100agc1zKmmpg6W280uiD3kLFXe3JW000HOojyRl1B0K0W000000W00 -G0004WiEk10010YRt0m1F0G00008W0210X0000C8W0WGtD08000002H000Wngp000900000G -140008Wydl100040080000GPJV3400WSKh4lr2JyU9q@l1000ue74046T5j7t2000uaar20W -UJu@90H00000WG406iONZ0ZA0c4cXb@OORl3100mwJ00ePIIw1y@@x31eh2GijC00908KH81 -000xQ00OwV30W80i1l18600YVy@tB@lzp@NFrOm000N@d00g2000m@k3GL2TjH00z7Jl@00m -0WWdF9X@q07a0S@V200WVoFt0@300@@Nqs1v000GuJz40000cil1v9OJn_OClV28000cRF10 -0G0XyhIo@C00u0FLv4_F8dFpbOuS30008De63bKrY000W3oJ0aL0G3w60401u@8mUqMbIrP0 -0006l10Ws@pvyT3UX7Z2tD8YQ66xFaYgPeU_400Sw2000000Kmkx9G4W000004G00WYvVu@w -4o7WXhogONU3kOpWsvDecU608404eF30024kqtWP0C00800002G000GfmPGqz60eg12W1000 -00gwxV0000KTT946E6ruRGJS6yre402020080Crj1bwpGst9iVk10X00AttWHlD09000802W -KuI8ZR3u500G000W2W0GhzC04G00008IEuCycG20040IS81W000@2amsad4ru9vfd00W0000 -OCBcR0840WU_D80o4cwdazpcyyy@BV@@nx@Fy@@@@@@@@@@@@@@@@@@@b6007nFlLKmhMHar -o@@60W80e@Q6_@VI000SO300ExsZZu340mo600000C00@@hLoN60002exx7ctZ1000W04000 -800_@V2LQR0004020000i20000000118DS365t00200W0G0UHdXscVONS3I2m082001Hwqqv -F0000HB00m@@60048e__7gQ6fAjnu_SCIEtW3mJ00GIxiw9GW00u@V30060aJk1XfJIt@dSL -l4bEomIw6abN5800002p0S0P2Tzzm1_C000mOxT9Ykt0H000Xtdsnr@Nyz@3d@Vmx@@R@@z_ -l1ua3W1d2v@V@000WHU008PNXAl@@n@H5F00masRyLC9@@pVrt90Mo1u@@hAZkYO6KfCy400 -0WAK008VEmsSp68000pcR00WemzY@70K0mN@CStl40000tzxXW@b0000f800WHy@PxDRUyN5 -00iHJLhLL_CKa@3NvZHVSL0OT1eJRjMNtWSDc9rk7q600azmOjY@@gw@dA@@dw@@@@@@@@@@ -@@@@@@@@@@7qXw6vRS3k1bW@GA0900OfxFS3Q2GY5xqQCTO0Hm0S3_Xzl@GA09000WLz0000 -08Wc0gu@@V0400iEl10200AvscMkD00G3@@@QD9E3bb@m@@j0QA0ehEjMHtWtn@1000DR00W -LzC3800Is3s00WbAxx@lE@@gt@Vw_@Z_@@@@@@@@@@@@@@@@Ff_@Fw@@@@@ViVR0BX04w_30 -G00ozcXelDOWEOUmR9000GE700whFjF@j10GHMOwdCGtFL_p3OF2WfqV0040G8151041u@V3 -wdFXE@da80000000000H@@@30Yr_kr@7000WC10Wpv@SbY4cyd70018rwV500WvLAC8203IA -mI0000000f_@N8000mO100cpqi@@p100pKIgT1W0W8I1EH000004400000W80Wi7_1q00GJg -T900000e0m@@y008W_@Vj000T1000m@x2e@10W@@v14E0m@@T1006000OMGA@izhDHAyy@@F -4zX4FO@mDs9Sdi1Y0000Yw0K7W4XX8q1t600028BS6s8GYJeO8Gw7I181000WE000MiwA400 -0XcBnMpp00WpuSzqAJ732000ri@000K000G0VSR0Y000WHF0vURGSsCCyjD@@Z1200Y8lv10 -00dG00W0tVu8UX00150000G00G020000400@qd000800C00FLymlz60W02uCUC00WO_@FL0W -100002CNl18G00kVF10800A0000002yfF3NvO024GW@@nW3d2GySHrgV2zwRGK8sasU2000y -v100KNVKBzj4080000dxb8GIyvo5_F30EJ0Y7yjq4yeyV9000mRS00einGcHyXdEIO6A3G00 -0a2m3lzN4400aCXC00moM_4A_@@30088_@t0mK703JIIzFCyTI2vAKn782zNI2W002000800 -0WJM00GFdRyVf7b5PGSHCii1F000I_@@1208W000000i_LyMBraoGg06KBm3H3ym@@j0011u -@@70140000004Q0GeegqAw3f2OGj0F4Dm3@@@@@@@d5O5zvA10WC1W0000002000WcuY1zHP -GM0LSpJQl0@0209A21004IH000OOk6y3P1yG@dZ11000008XGW824Y40f0a000082CH8W822 -XC000i30000002H200W82W820o2uX@@@@@@G80G243j10003oo8G800000005040KdH2f0y0 -820WeDg8R36w08XK0U0W10OK0Ly@lA09G00084H420001I0H8041200@@R0G8200000f0O00 -00I5000@@BX090441IW128Hg0F8m098A09a31007Gu40000Su0000GE8W3002dva205800S8 -I20000oZW0000Wa8U2W8I000_@t00a70f0a0000G2a31f281892019I200004aW0000W4H22 -0HI8dK1C8506a0a455W4@@@@@@@@@@@JY@Vaw@@8@@Dw@@2S@dWt@70_V1Y@F0v@1O_@@d@t -Vw@xl_V_j@V@x@r7@@yp@7Vz@lV@Vxv@l__@ft@@v@@@@@@ho@Vg_@VS_@5l@@mz@7y@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@00m@v@@b0020y@FL -W@F0_@V92000@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lh00MK_@t0G000@@VIL0LyoqC0W00 -_@@4mZ50lAX1W00WmbI8KWAU6m000G0@@d00O0WjfY2000Dv100000000e0_@@1000W@@RmC -0FSNW1fMnGjL6qYW13IH500KW@@9PgW7Y20b@@HoN00000002080X4m00K80100002X00400 -K3G200400G0000019uX4020043W1L0Om@@N10eB720080000000X040418W0000G40008010 -00K8104H0000400284152829e00G01GW000018W0200240028W0CG01Oa00W0W4W028000G0 -28W04G80@@75WZ3000200G0010AW00G01G0000020002G0000eI8118G00012W0G90g04AGG -1GWG0080004I2WW00O0800220b24G0e80000G84Y0G0004G02014UNBA0004Mc00w0v1C000 -0O04W000yqX4nT8108000G40@@dGx3K10ecQTE944004GX1Hlbm396yEn3xaO00W00W000RV -amDSK9Uf087l40000Dad1200W000010088W43cI8100G09wP0040001008000Q0mW@@D0204 -mnUK100W6W00ICU6aZN25mO0W0G000000m0m080000G00100mDACKyY12000Y2m020W103G0 -0G0W0021u@@e00e6cso3ZemmfV6qvY1200W_hnWMPCeo63Y2uX@@l2mo10W00044000W0010 -0WG0000105mv96W100030C0O0I000A8000400G000268W630010G020Y0000G000Im004018 -C0C0H0040800oLXH1000Lv00000402040G0000008000G0WGK000G102000H1001000040W0 -G0W0800000W020W0G1000001200JK06G10H10WW02000000208080G01y@VK00Q3BanW0HI0 -000830GAW0dM014G6c9100080100_aX1000a0qG0858mHhO09A06AdXAWv90XNo000024H00 -jZO01C2W@@D00m0mta64Z93W002W00W02108AW46UoWNA@@@VE1gr0im53000Oe00Wf0wZ10 -00s4NU45G5TUfo@@g000W40hMhQrgMrgpcoLdDjc1RQjQ1u@V30mgrgMrgLjQjfSrQJh1mMM -hrLZ2uaahmhub7Y20b@@@@@V83DxaEmK9GAgO500y2C6P6@mIT64hsL@@l1005rQbn0004mT -U60028uP660020001O8ol40004W020u1W3gzJb4fRXV00Gjo9yhy340W0G0000002Oh03000 -34zd100W0g0mWreDG0840000W70EeP1v_@dG00048300000e00010200000C000W10306000 -000410084mNX6G000W0200G00Wz2J01000303Wo5D00WWGWZ60020000Om@@H1WumEIR9800 -0aze1n4Om349Kxe14000EUqWy7C000400G0W40JOgGg0Ze00000QSD3cUa120400000wImWH -2J0G00GSv6yIO2GW00UCm06060G0000200cQEL_600AK7ZmFJ8Kn40100ih83dWcGuwKz@V2 -00oh1000000Au@V30W2000202A000GO000W00G800C0C0G0080C0WW000aw9CW0020002000 -010204008000WG00040060c85CeLJ6_@79mlC000009200LOc100004040W100000A210X04 -080bOO008G000000K01YFp00m0008080010W06W00404680G0008800401044KY1H5waA10W -tBbWK007e05akKPOtC30A00CwA30GAW07KWac935QI50WMt@@V04G29000408W80G0YYA330 -G400002180045m30000WW004j@@@@dP_8I06008qCFY2OZGpo@@@y10W0000We103wfEXK0O -8Nv461cXE0C85032fsWfUD0W000ud7Wigvv@VC2es0X0000800w7sWKgD8ZO36fn00040000 -WQ0mWvgP00010Y00W8eJ00009e10WLiDu6xAIhgE08G0rRp00WKpdoIefQa0080008020W00 -024800G002G0I1W102400000080G00GW00002800YkoD8TJ3I1G2W000WFN0gh_gcqJ0410G -Qw68002G004002eWanD0004W0G0e6jh00040500WeqD0000d010WjjtOaz7cEtWlpDOEy4kE -wX1sDemt4Y_r01000000010G0W8020G040202000K00A00MRpWMqD0000qVx6CZU2VLO00Wt -rarJOMf4YC@104000Y00g3lYIvDOMU66SN200O0hkR00220040200W0C010KBX1A804W2000 -042Ozz40010000KuQ_70PI0CJl7ps@mHu60400OjPCwfF1G8009rR0100008040000000O00 -0HW00200G0mJAC8LoDC0000W00O903O200a6X1r2pmczC00040006GIqLSSl1TFy00000002 -1040WMfc10G000900oFN240800080Uet000Y100O0cOeYoqlAf@4a0a2iml10084styX31De -S@4U_tWF@bGai2mE1KLyh1hYO0040400200Y0G000000J03000W00102020G00000O000200 -O0004000W1000300G0002C0G000O6m00WK0C0000Xz10Wy_T20X00008400G001400G204Wl -1484000008G00uyU3Ex6ZD@h00WNOT@HH2W04GO040G66m000200103050G002K0C4801601 -qCW100000W0W2W002040101008H066000X080P010000W440We00848WC3C00G000C000000 -0W2E0f2H5X00O4000004f040C0O0A208K001e80e0W000000K09080eA338Wm018410000aW -02010H8A60AW4W40OGG2W00000080100000W100WaIf1xzl40200000985000000WK00mC02 -008H210dMGc4IJ1m000m0000WG2010000C5060W1a0h8W3SWG2I00We0I00a000WTcu_Z2X0 -0004CWbvD000088821226W40008e00CFl151O0086We0O0v4H404C0aBW0GGm07gt0000n00 -0088000EV0OcQmU1KYK0euEsD0QK0idDOlxNn5@aqtl1000O5B00KtVKNI@00AW_ifh8lQ3g -xt000W2H1O0803WE@V003qzS@E100MDbw70004100Y8bQIY2e2j200PueL_lISR@30I04I6J -220G0d6B1f10002G0xmR00Y0WQRD8@R90120ixm93CPm@mFKfg1TlcmLnCC3W10W00400800 -428FW46CE100Aw00808002LKl10880_Sq0W00000W0wSrWpZD081aW002W02IG080002000W -0W080Csjt01010NuRGwZ6Say30010A7M24000NOPGAM60020W018mooI0IM000W00084WTRD -OI13m80020002080nBp900W8422W0800Wq9DG0040100W2qC02400002We_J8@uAADAXv4C8 -mZAMY630004i0001G028000OTj4ky7ZjMhO@93W000qU_3ZJRGuq900I18Vw4oes010W0051 -0wW_X13CG000GRh6S2W100Gt8G0WGW02X0000W0G01G00000GUPs040GK008G41018W0GGW0 -02004210W001YW0001XW0800G40G00204GW0CaW0QGW2000q0H00W0041W0100G2W005W000 -014G800W00G018002G00401280540020W000018W14U1m04G8080W20024W00801020AG404 -G02OWW20000W06HG04W00000mk900000W024e069G0G0018001804G0YI0I0m020cG1400O0 -0G850W2W024G1180012W14W2G10G121809W00140a8A00e00G2WOaG0KW08IG4G00W000818 -0060100G0G8e00G00014G008CC0G0084G8G0040G00804GW20W05GE08W02G2W00030G042G -08W0W205W002G00540308002G00004480000WvPR0WGG1342000W1400484G0W8O010a24WC -o828GW0G810WW8G888X290001C8OI00CZ00GY0200W2042022G10W1OOG8gO00e0508ZGpz9 -qxj4VlnG70EyJE3O000_Xs020008X120020000WLy2W0G4W1C80001028420qjj1XGR0C000 -010WG00AK0W0CTi14008000C000m200016100G002G51am00808000YAW0010G0XG0LDBnp4 -60a00u3zAEIF100G0zBQGwu9800000010000S00000210MNdXc0CG0000202WwoD0008maR9 -ioR2FjR0081W9pPO@Q30a10Sl73R2OmqyI008C8kq700002000Q6z4sis0nL10NIomlw9000 -Y8kI3wFzXqcD0G80W0I0I00000m0010001120ewU6QosWPyD8E5300060000100W1GC1iDyD -00W0m5bC800G020200001210A0000G00c45G2000OLA00y@F3P1OGBl600088L03W080aiV5 -fTRWG00WCrC0081I6@C0G00OZ130G00020102001110WpnJ0800nGrC00040824000000W0G -00GG0400ScT200kk0010qdl1P1a02000110WHNdmlsC4iV254O00G2WwzDem860400005000 -50OR@6O0O000040W0C082o482eH030GaxT20041MJt0WC000W0W400088a0mG8221128Z030 -04W0U8t0mt4080088m0000604000010W030Z000WX04W18K040m0D2O00G0e080048wBrWt_ -C0040001002400G200W0W0PWW1m8m0000G8W000040000WWiYk1n@P00GO00GIX0G4X00GH0 -080000140040005002001G00Tij100024040O00805020H088Q004000W040G00GW2000550 -0eloJevT30023000A40490W2cXi0IW001m0t60W000000Y00W0C0C04002DCWWG900c00110 -00O018W00KW_@@1008W000f40MO1OI8Dgi7QLz10200aAai85mW45m300WL00W04TD301021 -80I98fYABW4000310002900G8sC00004aW00fY0BP1498300oKZXapP000022800002a4800 -IEB100800001pG@1GG41000G090800Z28AW70KC0a5m38400008G21G88BW7_@@1GG00000G -Gj004iM29fbm@@LCbD3001OYCBaRGD00320000kk0g00020020W6QD0180GqGO0000G110mK -j9S5k7bsQ0000900008W01QCR301000W101200002G0500000NrqTtWG0000G0G400800622 -_l2002201000WG4040000CGGevIaIT2HbPGA_CiU@3vLRWS00We0OeGV30_r04Tz6P1mGi_F -4506fKB10q100u20fK7IK0O000WG0hMRg0ETah70020MREXF_F10WfoBUj4EyF000GootWfb -hu@V30W00zMx3Wn2066ibgfJ8cV30W000000QDPaYp63m100F1wnumdaqD9020008G028008 -tD3UD4Z_sJ8bR300qCsG0CZOpmVt6020GO@@70028SdT27Vp0400X6jt8AS30W80yiD34400 -0EA0W000G01000WGYwjD0X08W008000e2zaR00880A004dSdW00G0A0001UbGIQ9qsj10008 -00144PS28G12IrsWllD0X40G5L6W000004G020W14000PdPGxU641W1000IG0120060104K2 -G0W0000OE012W0108020004000801CGW0000G40gXW0W2018802000Y000080000858W088G -S34001y8k10002W01000G00100028000021000I4020yvc108W1CG00Sxc1G00000011X02G -004G2v6014G00C4000010WG008W0wcs01W00z1O04200A0002010W02000GB71A8042O0100 -0K400WW2C8W10W01AWXa0H380280G400030X10WW0W006G0240000018m040010W020Gvv9C -@d1G0100020atUBLlN1081WouD0W0002140K000WHH02__102000008odtWk9Cu@R6kbtWvv -J00CW0000nZmJ0000nNz601010001000KWtbC001G008X0W0K2VY@00O0m7YD0100W0W0000 -02800A4080q7H20050000W8H0000000104W@@J8BE304W0000208G0mQ_6iXl1hup0303040 -Wa8000G400CMl1bvRmry6aDV2LsRG6Y601G0emU3080045m30002042600000010804Xa0jP -00HcGl_F0W4WSdV300W00GG00000001GW6_VesS6EYoWa_t82I380000400000QIePC4BV50 -140000W4V63W140IJmWJlDuEz480010400X00OIIQCqHj1rcc02000000G00401W00o4m004 -000G00mRNIOsT3sbBXLamegv7_1pWitVmO00Ggx9i2b100000GAGiK733r_mGw6CPM2@DPmy -wC0G00eFT3000008202080040620m084A8G2DB1G0W0vZP0600000m000W10328000444G00 -W4eeynC02W00YW0000bupTQmez6041000808O4001W446900GHG0O4O0040W4G0040200002 -0C000q5g10G040e8000O020001Ga8AWCAC00004480adk10O0W000G0000I100W0W80400WA -00a0C01IG0W8qC3cmtWSUC8Xj40W00GWW0WI330i04802000680YSs0GpA000001W0W002We -zV38088800a0X000X194422WvdPGf_9080804000G200G8082O008GXG0WY00I4000000034 -0W00GAt@1000400G08L2Q1B089qiDwyt008L0m2A0H2804vC3000mdqpW@@P0K1a0f0HEQX0 -9hqOGKPCCPF304001WK1bAW47x@0G0Y80008W4W02QEXyna004100WWG020001A18202g800 -8_C6008g@s@30XG0000W0E00022IKePR00O80X000G0B0A0WWf2yG7sgqcz9WSD0wR6Ze_Ju -ti4MK6ZlqD8qCF2uOZ2zbufC3_wt0000a61006MkYW2VOKDCgztWvgU8eP6o7hYe0EPwP300 -CQ7Qc7PHXHK0O4Q6mLGFs_0zLVGWzbRGhn9aPk1f0a000GvS6_@cY@hvu@PI_Fcb@Vfv@MU_ -Vbe@JPw@Jg_lah@793lmgbO6V300iOdasy000mUiMYiuDG400qbm90Y008YV36Pt0000G008 -12QF100420A00AmF1v100nO7o1@6CIU2xIdGUnCyFW10WW0E8810000v_QGpl60GC2020200 -88G80W22aW040288G02028Y00000040200005G0O0100G010X42001G00v_QW040000RppBA -102010080rxdmby600480014Geo90WG8ONv4IPN201G095mGj_64dD30082kWqZ60CenU30s -41iYl42G00goN22W007GpGgaUyhB37eQ000XG5G0800W0K210G1a2G08801G101GWG800000 -08W0000G140290004G00KW0002Cimg10004000G86008ZVCocFXf@DuIL3AYF180G0ByRm4z -9Sol19_RWG1000400Y0000J04y@l10200RjEXP6C00000018WJTPOzt4040408006Z000W04 -000I940010000H0040G084102400280W15040008002280WW00110G800100W210010092X0 -0KGWUqD00W0200100048Rvd000W00W4W0Y2YWH0808A80I000W2Y0014G0YG2G004iPj10W0 -2G018802AG11G008e0400180X2K001eW021H14W0eA804beY1WY819Z8c0000W4W8000Wa21 -21W04G0GW42A0000W0AeGX000W0044000Cuk128004G008W028lQ3G200000WG014000801K -00hVR0008044002O00401184W0K0124105WVhD01H51210015G000540O084fX1GW11Mjs00 -010G0048020008mW0G000W00X00040780G989YfeWKH0088HA000qM8098W04G4GmW2X00W0 -G43K0X01010W8200G00A14002X05W01K202G010G120002Xp9x6W010084G0500400080Q00 -0W08W004m00GW18GA000G0W10m0WW00610402uDo9iYD30004m0140002G110040002C008W -008GW4W02WW40052420G0W00080Qkt000znjaa020G0200G01800GG3qkg40O000W0000120 -0380W0000800008W2Q538000PApW000Wv@3vyP6UdtW@vJ04s0GRzIW0002001mlrCCn@6B1 -8nov6aWV2fiQ000A50WY000G0008008008mV9a0a0acl1G10000G00000fGR3000nZ908uBP -3MJsWIvD0040000340021tXaGJr6yDl1000W2OtW@rDG800ots6001000G0oH@CyfT20G00W -08000108wQ306000400vBR60W900W00004G202140001vLp000pmh7Iu3V6sWm00020vPRGH -s6aHl1tIdG2@60020108GG8s601G0evR32wd190902000kmtWt_VO1x4QzE1G00W3h81u86W -_LUG2G2100G08000DXdmow9SwV20G000G2G2200W0O000G0WqqDOjb7G0W000W0000W00121 -000W0aa000580WHW10020G010W0800100103000404000020WK00W0G00W58W2000eGm0CAO -00000580000100WHP00000206010008000410W6000H0000540a100GW2fOR001100010000 -88010i7l14001W0WYO004GG00W20X223m8W1000G0W4mk10a000408000000500040488008 -W9000LW88Y11W000W0YWKgJO8230081004O0m1800KG804qK0A81020000e0Y2G00002000H -6F3RGSt6004000C0C0K00JG8W2OAW4CmW0G000m0L0800eatD02W0W200000K00001026000 -484W1050221W01000AWG04000e0Omy7001000fY1MO10I83WcuD00J0GAr6ilE303I0XA0I9 -8iW2Ya0I8s6000EAwQ30yN00G00uA_40000120G40I5CfW2HWGA0rpR0061Wyib00G0WG0GA -197M0W4GIsU3002H040002W2G044iEV3K4000O008bQ9004000GWZ0YWGqr945W1000GHD00 -adz3840002i8842CALW4G400adj1Ftd000020H80004m0G00aAm39mZn2_6qaa43OBnk_6qz -S2008YZB2320G0Nwd00W1mWZsOJe4YvDaRgJ0W40mMLUSfX1H1O0W54WKhg000G000H04000 -0001008002908k@A0a40SPX10000n00Wicl4fKNn@_6ihF3000S000mf0wZ1000tr@9004W8 -V43m3004Tz6fOdGEs9CaT29RRGg064503HNxwIFRqi363Wpmhw90Eq1OgzAYcFXWjsuID3QU -FXOwD8zzD_Wt0W008BiP0080WZuJ0402000W044W0ViPG4OC000WGN00GA09iAV20G00Y2uX -cnOOxj4wZF12002LjXnPzF0000Sqz72aJYC1U000FJoydiYl1TXnGR7LKi@9400GgCX1008G -0000W02048c13ydmlT60KZ00080GOK6ipV2XHPGq0X0020iPV32qpWR_DOmX440000G0WeY_ -4_0t0G0W0W000G00000410000004G1088ELXR080W0H008001000W08050010e02G01K4G00 -00GG5040100uK9300840010OJl4_XpWq_CG4A0080400G84@1Pm3@6W2000008W00000400p -_RW004000G1000001180088OXD300140020W04G804W040038000G0H200X00L080Y00240W -0620X0G1400HG0J010C0O010K0008G42KG0W00G01100WlP4040A0e0K0G186e0290G0G280 -0400A24G8GGX0aG20001Y0080042000004m00G00200500200Xl1D00W0Y00000001200I0G -00W02G100000K8WF2D001600100O000G010W008e0a0028201041080224X8W00X004Km008 -00HO0240060H0eXYH0208203880600G000c0004003G00004g6092O0G8m80G0P010HHW044 -140220OGG0H04G018W000G04uhT30004g00O4WA0W100108004000ogp0240000X10020H00 -G8FG3olp0C00GFa810G0WfsCO2Y4026eyeH20W0GAjp00m004KGG8424G20G4000jv00WSfC -8pE3QyF10014m0G8cZm0000WmO00MU8XbBJ0400Hpb9q_d1O400gNqWlmIG800GuX6840000 -40n_Z9iLl1O0G0W000iMl100040G00000G2WKWm@@681000WG0000Sw@@P0W2000C0C0000G -00WMltWiqa8873gom00800020400644pf1FIc008000G00jJcW00009000lgbmCP6aQ83heb -0080ma4bGOc3msX6yBP20008G0000008W8010012Wt7D87K3Y2uX3CD01W0HJbCi4P2BSPm@ -@90814000WG1264C53THcm0Z6080018000W0q4W104XcP00004J000rI_00G00000G0WGG0G -0CaVM2LHOm@@IG80008040W0maaqC0042o4JC4Cq3P2zm5MCqyP2nFOm@@C00m9V8J3YXpWq -9JuSI9oKZXC8COJ69E3D10K0GL7OW00882020G0008005e0W6030C0O0A00028lVP0800XxD -JG0202G0002W40GA2088I0O4O0000W0G008G000WKF000000050vgK3C0000008H0000bW80 -80Gf42aH130W044010X08080002W001W1Y7r019080G1000JG0e00H411aHX10W2o001W0MZ -D120800002000102G8000W0011WFPD0040G8E9001020A08000880042020C008WG01WG054 -111YynCOIL3e400CHh1G0200080000O0m080080G0GYm0104Zwq00010hhQGsb60000X000W -0WW000W004028C04XG00eqM30080@yf4C10f00SQ14G09cJ6YUu1000WWq008LCO1E089fC3 -GA00aKc100M6@@N2W0WK29Bi089c2000000OA00uWGpa000080D10I52MY24II5813100nd9 -12W40002440008W00S7c4@@d0G80000080CW00WW14l4344000Mu0y@@384I000842010e5j -4o2mW@@P0H00008W080080K0GI5m000W000023DRZ@@RPtM6000mRD00OyeD6PrWa5Ju@VFU -wuX@@vPoN32zr000@oL9ZHBlCyR73jg5IATFG100Bqi7QqiYe0CGE00GIPCKsi1W@M02DRZr -lUOvP6o70ZGpau@V32DZ7XjQjXcTr@@@@@FOl9x1010WopCO1J3W000000W3u00mPsFy@lJN -FBnfYR00W0eHR6Uis000RZh987100WG4EvGR30Sk0ixME@mJ5G000G0200100ELm00200000 -8G500y@FLTU@Gw3LKEc14001kNt000G02000gmqWExDuhT300qQidE3nQdGE5B5_q3v6cGQx -9qWn6TRP0065W@@fAZT9G000KbU2frR020W008009TdGlq9ypE32000000W3R00uGzAI_0fx -uVu8LFYgd102003eQ00W9iyIKfSXhEmc1W04GjCRmhq6y@l10bU0shPlFyJm000000W000W8 -000AW001a_B300W8a200KiJTHyRG2qFCYl1HN@GOmICfGTbLQ000000G100080UMtWnzD0W0 -00Ge0WfYmZ800e0A0WS5D03002101080GGe2GG08840A061C0010G0a7sD0c00GdIxrMO200 -X00X02008G004C202k0W010XOR0006000JrXFXtfzF0881af08b0a2A03289kz0861WOF2Sf -Q3004000G802Y00ZA2WOuO00003Q00WwiiC4x400eycxbb5sp0Gv0WAcsBGEC_Tx1U200DfL -N8sdOjM1OhN3c5HB000000842DoWlmP00400010WDsJOib4gDd100800010W00000T100000 -4G0eMyP000OmIICyWT5Jnd0820WIzPG080mrxF00002220mbs9SMT2TTp000Ye9rDugz7G40 -41000bv04000000X00DmRm386W000020GmgtCa@T502008000yef1h@dGGsF8000014A0008 -WuwJO1V3Mm_XmcDuX03__F1800Z0WH000aW0040004G8200WEwD8tP3AVtWfhPO6tAou2cy@ -DW080G0_FS_l19vN10000m2B0PyNnN@C4G76ZHO0G80W7yCenV3Gm084jZ100e0g@t001G04 -010W4014xV22082UBt00022010XMet00W000H104001004000W400200aW0000150000u314 -I0AeW0221000040OG0A800002uYV30803q@l1K0000080G12002000240000G0KAG00W2209 -G1008W0440eArDeN@4W0008000G0840020000022000_Kp000G4BlRW08000mG0A000WG04i -yk1080040140003G0040090H0W000C004118000000GEE0080G0GW20W21G400W0000K0003 -40000080W200W00008G140000GWGoC0X4000H0XdhJeLU90008800A0808000G438004000w -gm04G20pbQ000G00509G001C01A0000I1e00X000080080W240I00a28022444818300A408 -1W000O0000ir00G40008I92G108W0WG080H8000X00400020G104200004K0200Gpv60W0GH -02841400CCI1000080GW001200100G01WNvPeM@7G008GW00G00WGZhIyrU2XyRGawFGWW00 -110000069AW0GOe1W000040010024W0000022P_R080WWMcJ02W0m4xC00010030W004W_xP -ug@40008Kel10a00smt004000020cSc1G0003Tamt_6006000G04W00Wq@J8nV300yEyxF30 -W0048000000040140W0WY@J8Wq7Eyt00W000G0W0004ySF31wRG8oF8000yxV3W200qsj1Pe -aGasCCrl1811Wcpt00200040202008620G000008000O00Vy@0400ms@J00G0Ob_6ijB3p_R -mZR9ajV2XXRGgs90W080002Ibm604J0xEV30480Kel1zyRmh_9G008080WGK064Tj10008y2 -00CMV2tyd000050WY8000Wo28Xj4C8wx427C1400W0104800c4YT2DL@GUv6W0G04380mgkL -aVk100G0004400048kc7000000G3ioM9I5qWtsOeJx72jn00002fLO00GKWN_Degy40W201W -004000450O020204000W1W58208aG0C2O000000K9lR00801010104000030G210X2400826 -0n01G85B0C2C000040C080010002504000G030SKl1O0048080W000GX0G021W031q8W10G1 -20W0WWW00000808WKwD0080W24040m000WO0HIW0XmW0AA0302010204w2T3oXt000G0WOa0 -0WC410W42G151W04000CG000008G0G800482000G00000800W0404W800001GG0b001404WH -1O00018000yw00W00WWqBW100800081020G00G048069WWCW3obmO_6W01000C0nup680840 -00C04000210I8408gqt00G2GB4@00W4GM0WK22Ae089W4Oj1bVRW600G000eVvp00C00I5WS -W28IEHrWKgD04a00007mPZV00G2930GA105M0W4G0000000QiHS3G200aZ5300881X10aFW1 -7ORGK0608I0uHv7001000GWX2YW01B2W0hJOd7901G421001f04IUr64TD3WBM0ESN200244 -H04I5m000001MN10008800808aG0WW165W7Lr@W000WrZUO8_7QytWcMC0G000000o0ht088 -00200WINC8yILou634008O00000WWSlh70W0902304Bl1000O8000008REuxA0C000000210 -080008400mHRZHK0600X0vRV3YocXifJW200NqrF0000gbQ6IfkYgjJ040000B3WzjP8FR3K -0@n0000RQW4QAlYajDGC00Hg0F4Ls9HNtY0Mjseh7J000m6T9KDB3lDJ20WA40080peIIywU -yWU21jR010G01000G001kgF101W00G80g0mWpOtuu_4M9oWx_D0Cy1mdILagE9Lhd0040WWU -OOPW7UcUc3_P0000Bi10akjfAp@4Azt0401020a80020000WOHU3caNYUTCuiR900q5TBr3b -UNnhRCK@j4000400W0Dvj1BsR00400A000DZP0400020000805UOs08e003xPGa_6yXY10GX -04000040K00000008aKmD0042082G00000WpL0W0000G5XW6G00028000e21ePGm_64oV200 -01ovF14800TwR022000e8204004000aDk4O8W0G010aUi10000X0000008eGX40W08W02Aub -V34000004GepV30G10ea00G0000G2004K00GG00000Wup000014GY@68WG001W4W00001000 -AWWG8G80e00801WGW02000G000WW0G000eW0400480008010W0000G0040a1W1pDnmzT6G02 -G0200myQ6CLk108W00G03Kdk1OWW0CW200104002G000O03C00DdRW10eWx2C010W850S080 -0000IJ9G00W021K402000e0I0026a01000280080220W11W10WG008018000G1000224000G -14C00GO000W020062000C1WW000C01Xl@00800C0009zPGow6006OuAw42U73W0039WPGL96 -CDj100000zr04Ih1O0200008K4J2001001200004OIC6_UNYGnIu333AQzXGpC008G000000 -900jldGCy9qVT2NiR02000C008W0G0s7C100200008OC00y@l18080Y9a100240910A9sWJv -D00001100mvvD000G0000O4000020n0008080XuVU3_gt00003FdPmd_6qrf1W04Wa020KlS -2h4AHTaFCGQ208KjgmdXZ_DewH3At7ZX5Pub@DMq@13100G10084Wc4lC3001008044Tj1h6 -c0301080401DR0O000W100W300ESc1000220Y00000_tl1820JoSs0008CLVyGK_64dX10O0 -0Y28X6jD8w7CYXZXhMPe0I6W00e4kW15xR0000S_00GlVo000W001W000010210rsj1@EaGD -II000e00000H00XTOV040G000GWeoC0040400000G440P00G8H0OKO00W0W0G008G0000022 -40G0Shd10001028000022W5W10K190D3C0000G0e00000000SyKI60402u@V3Gm0m0W00080 -18I1001040616008040K00vJ_4Iqs004b001G10W8G1GeG8AW400e0W880000000G040W00P -_R040K63000240105881HG000004W00Yd@D00080000XWcDG01011A0008800I8W08X00000 -8qC305000SZ18QQ3001G0000I100000802000A400e3G2hGG040004W000000KvZRGG86084 -000101K2000K00OWAGGLXW45G25R910D40I50SY24IGA004QM2vZz000000Ge10Gg0eLK065 -G21DR0000QY0009_om@K6Gg0aIM02IM0Fa1x300Y30WK10Bv2CV062DJ20G84000G0O08001 -38Uv7Y2u10W0001G0W002cnl11Dd000Vx@@V0842400040Y00Wme0I5O3003X021002X1004 -4CV0Fc1nZEay040040000mh909_hI_05D0R8a000_ZF1000I000uZNl2000WW00000002I00 -ecwPI5JYGpUONN9Y2eY@@D00Gzu@@F06008@iA2DpZubd9qC8J9tog2KDLX@Fbu@ID_VKa@3 -Lv@FP_lJd@t4w@Cb_@Ig@hqw@9n_FIj@Vax@6z_VHm@JKy@39@lGp@74z@0L@@Fs@xpz@zW@ -FFv@lZ_@wi@VEy@ZJ@@tu@lD@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -3147,24 +3242,9 @@ FFv@lZ_@wi@VEy@ZJ@@tu@lD@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@n000m0000G7PWfIW1040W0000W -210000O001080000WH00000208102Y00XG0W880G240e020C0X6ZPmWa6O86W4G0W00Ge48n -1300e40000030C0010000030e00ZUm000eyggJuZUm000B1vEy3 +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@f106000 +0_0ecHBC0G0040000K40000830300m400008102Y00XG0W880G240e020C018JQGYW6C030G +0010GG9039EO0G90000030O003Um05080HFO00blAT2F3HFO0O90WS7u100yF0 ; ' // Loading device with a `jstart` instruction. IRSCAN 10, $03cc @@ -3237,4 +3317,4 @@ IF D > 2500 THEN CALL ADJUST_BIG_DELAY; IF D <= 2500 THEN CALL ADJUST_SMALL_DELAY; ENDPROC; -CRC DF32; +CRC E36B; diff --git a/trb_cts/cts.vhd b/trb_cts/cts.vhd index 0d05422..43e0b5f 100644 --- a/trb_cts/cts.vhd +++ b/trb_cts/cts.vhd @@ -7,6 +7,8 @@ library work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.all; +use work.trb_net_std.all; + entity cts is port ( @@ -23,7 +25,7 @@ entity cts is ------------------------------------------------------------------------- -- ETRAX connections ------------------------------------------------------------------------- - FS_PB : out std_logic_vector (17 downto 0); + FS_PB : inout std_logic_vector (17 downto 0); FS_PC : inout std_logic_vector (17 downto 0); ETRAX_IRQ : out std_logic; --check what is the correct value ------------------------------------------------------------------------- @@ -148,12 +150,12 @@ entity cts is ); end cts; architecture cts of cts is - component etrax_interface + component etrax_interfacev2 port ( CLK : in std_logic; RESET : in std_logic; DATA_BUS : in std_logic_vector(31 downto 0); - ETRAX_DATA_BUS_B : out std_logic_vector(17 downto 0); + ETRAX_DATA_BUS_B : inout std_logic_vector(17 downto 0); ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0); DATA_VALID : in std_logic; ETRAX_BUS_BUSY : out std_logic; @@ -184,88 +186,128 @@ architecture cts of cts is FPGA_REGISTER_0B : in std_logic_vector(31 downto 0); FPGA_REGISTER_0C : in std_logic_vector(31 downto 0); FPGA_REGISTER_0D : in std_logic_vector(31 downto 0); + FPGA_REGISTER_0E : out std_logic_vector(31 downto 0); EXTERNAL_RESET : out std_logic; LVL2_VALID : in std_logic ); end component; - component trb_net16_endpoint_0_trg_1_api - generic ( - API_TYPE : integer range 0 to 1; - INIT_DEPTH : integer range 0 to 6; - REPLY_DEPTH : integer range 0 to 6; - FIFO_TO_INT_DEPTH : integer range 0 to 6; - FIFO_TO_APL_DEPTH : integer range 0 to 6; - SBUF_VERSION : integer range 0 to 1; - MUX_WIDTH : integer range 1 to 5; - MUX_SECURE_MODE : integer range 0 to 1; - DAT_CHANNEL : integer range 0 to 3; - DATA_WIDTH : integer; - NUM_WIDTH : integer); - port ( - CLK : in std_logic; - RESET : in std_logic; - CLK_EN : in std_logic; - MED_DATAREADY_OUT : out std_logic; - MED_DATA_OUT : out std_logic_vector (15 downto 0); - MED_PACKET_NUM_OUT : out std_logic_vector (1 downto 0); - MED_READ_IN : in std_logic; - MED_DATAREADY_IN : in std_logic; - MED_DATA_IN : in std_logic_vector (15 downto 0); - MED_PACKET_NUM_IN : in std_logic_vector (1 downto 0); - MED_READ_OUT : out std_logic; - MED_ERROR_IN : in std_logic_vector (2 downto 0); - APL_DATA_IN : in std_logic_vector (15 downto 0); - APL_PACKET_NUM_IN : in std_logic_vector (1 downto 0); - APL_WRITE_IN : in std_logic; - APL_FIFO_FULL_OUT : out std_logic; - APL_SHORT_TRANSFER_IN : in std_logic; - APL_DTYPE_IN : in std_logic_vector (3 downto 0); - APL_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0); - APL_SEND_IN : in std_logic; - APL_TARGET_ADDRESS_IN : in std_logic_vector (15 downto 0); - APL_DATA_OUT : out std_logic_vector (15 downto 0); - APL_PACKET_NUM_OUT : out std_logic_vector (1 downto 0); - APL_TYP_OUT : out std_logic_vector (2 downto 0); - APL_DATAREADY_OUT : out std_logic; - APL_READ_IN : in std_logic; - APL_RUN_OUT : out std_logic; - APL_MY_ADDRESS_IN : in std_logic_vector (15 downto 0); - APL_SEQNR_OUT : out std_logic_vector (7 downto 0); - STAT_GEN : out std_logic_vector (31 downto 0); - STAT_LOCKED : out std_logic_vector (31 downto 0); - STAT_INIT_BUFFER : out std_logic_vector (31 downto 0); - STAT_REPLY_BUFFER : out std_logic_vector (31 downto 0); - STAT_api_control_signals : out std_logic_vector(31 downto 0); - STAT_MPLEX : out std_logic_vector(31 downto 0); - CTRL_GEN : in std_logic_vector (31 downto 0); - CTRL_LOCKED : in std_logic_vector (31 downto 0); - STAT_CTRL_INIT_BUFFER : in std_logic_vector (31 downto 0); - STAT_CTRL_REPLY_BUFFER : in std_logic_vector (31 downto 0); - MPLEX_CTRL : in std_logic_vector (31 downto 0); - API_STAT_FIFO_TO_INT : out std_logic_vector(31 downto 0); - API_STAT_FIFO_TO_APL : out std_logic_vector(31 downto 0)); - end component; - component trbv2_tlk_api + component dtu_interface port ( - RESET : in std_logic; - CLK : in std_logic; - TLK_CLK : in std_logic; - TLK_ENABLE : out std_logic; - TLK_LCKREFN : out std_logic; - TLK_LOOPEN : out std_logic; - TLK_PRBSEN : out std_logic; - TLK_RXD : in std_logic_vector(15 downto 0); - TLK_RX_CLK : in std_logic; - TLK_RX_DV : in std_logic; - TLK_RX_ER : in std_logic; - TLK_TXD : out std_logic_vector(15 downto 0); - TLK_TX_EN : out std_logic; - TLK_TX_ER : out std_logic; - DATA_OUT : out std_logic_vector(15 downto 0); - DATA_IN : in std_logic_vector(15 downto 0); - DATA_VALID_IN : in std_logic; - DATA_VALID_OUT : out std_logic; - TLK_API_REGISTER_00 : out std_logic_vector(31 downto 0)); + CLK : in std_logic; + RESET : in std_logic; + LVL1_TRIGGER_BUS : in std_logic; + LVL1_DATA_TRIGGER_BUS : in std_logic; + LVL1_DATA_BUS : in std_logic_vector(3 downto 0); + LVL1_ERROR_BUS : out std_logic; + LVL1_BUSY_BUS : out std_logic; + LVL1_TRIGGER : out std_logic; + LVL1_CODE : out std_logic_vector(3 downto 0); + LVL1_TAG : out std_logic_vector(7 downto 0); + LVL1_BUSY : in std_logic; + LVL2_TRIGGER_BUS : in std_logic; + LVL2_DATA_TRIGGER_BUS : in std_logic; + LVL2_DATA_BUS : in std_logic_vector(3 downto 0); + LVL2_ERROR_BUS : out std_logic; + LVL2_BUSY_BUS : out std_logic; + LVL2_TRIGGER : out std_logic; + LVL2_CODE : out std_logic_vector(3 downto 0); + LVL2_TAG : out std_logic_vector(7 downto 0); + LVL2_BUSY : in std_logic; + LVL2_TRB_ACK : in std_logic; + DTU_DEBUG_00 : out std_logic_vector( 31 downto 0)); + end component; +-- component trb_net16_endpoint_0_trg_1_api +-- generic ( +-- API_TYPE : integer range 0 to 1 := c_API_PASSIVE; +-- IBUF_DEPTH : integer range 0 to 6 := 6;--c_FIFO_BRAM; +-- FIFO_TO_INT_DEPTH : integer range 0 to 6 := 6;--c_FIFO_SMALL; +-- FIFO_TO_APL_DEPTH : integer range 0 to 6 := 0;--c_FIFO_SMALL; +-- SBUF_VERSION : integer range 0 to 1 := c_SBUF_FULL; +-- IBUF_SECURE_MODE : integer range 0 to 1 := c_SECURE_MODE; +-- API_SECURE_MODE_TO_APL : integer range 0 to 1 := c_NON_SECURE_MODE; +-- API_SECURE_MODE_TO_INT : integer range 0 to 1 := c_SECURE_MODE; +-- OBUF_DATA_COUNT_WIDTH : integer range 0 to 7 := std_DATA_COUNT_WIDTH; +-- INIT_CAN_SEND_DATA : integer range 0 to 1 := c_NO; +-- REPLY_CAN_SEND_DATA : integer range 0 to 1 := c_YES; +-- USE_CHECKSUM : integer range 0 to 1 := c_YES; +-- DAT_CHANNEL : integer range 0 to 3 := c_SLOW_CTRL_CHANNEL +-- ); +-- port ( +-- CLK : in std_logic; +-- RESET : in std_logic; +-- CLK_EN : in std_logic; +-- MED_DATAREADY_OUT : out std_logic; +-- MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0); +-- MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0); +-- MED_READ_IN : in std_logic; +-- MED_DATAREADY_IN : in std_logic; +-- MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0); +-- MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0); +-- MED_READ_OUT : out std_logic; +-- MED_ERROR_IN : in std_logic_vector (2 downto 0); +-- MED_STAT_OP : in std_logic_vector (15 downto 0); +-- MED_CTRL_OP : out std_logic_vector (15 downto 0); +-- APL_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0); +-- APL_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0); +-- APL_DATAREADY_IN : in std_logic; +-- APL_READ_OUT : out std_logic; +-- APL_SHORT_TRANSFER_IN : in std_logic; +-- APL_DTYPE_IN : in std_logic_vector (3 downto 0); +-- APL_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0); +-- APL_SEND_IN : in std_logic; +-- APL_TARGET_ADDRESS_IN : in std_logic_vector (15 downto 0); +-- APL_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0); +-- APL_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0); +-- APL_TYP_OUT : out std_logic_vector (2 downto 0); +-- APL_DATAREADY_OUT : out std_logic; +-- APL_READ_IN : in std_logic; +-- APL_RUN_OUT : out std_logic; +-- APL_MY_ADDRESS_IN : in std_logic_vector (15 downto 0); +-- APL_SEQNR_OUT : out std_logic_vector (7 downto 0); +-- STAT_GEN : out std_logic_vector (31 downto 0); +-- STAT_LOCKED : out std_logic_vector (31 downto 0); +-- STAT_INIT_BUFFER : out std_logic_vector (31 downto 0); +-- STAT_REPLY_BUFFER : out std_logic_vector (31 downto 0); +-- STAT_api_control_signals : out std_logic_vector(31 downto 0); +-- STAT_MPLEX : out std_logic_vector(31 downto 0); +-- CTRL_GEN : in std_logic_vector (31 downto 0); +-- CTRL_LOCKED : in std_logic_vector (31 downto 0); +-- STAT_CTRL_INIT_BUFFER : in std_logic_vector (31 downto 0); +-- STAT_CTRL_REPLY_BUFFER : in std_logic_vector (31 downto 0); +-- MPLEX_CTRL : in std_logic_vector (31 downto 0); +-- API_STAT_FIFO_TO_INT : out std_logic_vector(31 downto 0); +-- API_STAT_FIFO_TO_APL : out std_logic_vector(31 downto 0)); +-- end component; + component trb_net16_med_tlk + port ( + RESET : in std_logic; + CLK : in std_logic; + TLK_CLK : in std_logic; + TLK_ENABLE : out std_logic; + TLK_LCKREFN : out std_logic; + TLK_LOOPEN : out std_logic; + TLK_PRBSEN : out std_logic; + TLK_RXD : in std_logic_vector(15 downto 0); + TLK_RX_CLK : in std_logic; + TLK_RX_DV : in std_logic; + TLK_RX_ER : in std_logic; + TLK_TXD : out std_logic_vector(15 downto 0); + TLK_TX_EN : out std_logic; + TLK_TX_ER : out std_logic; + SFP_LOS : in std_logic; + SFP_TX_DIS : out std_logic; + MED_DATAREADY_IN : in std_logic; + MED_READ_IN : in std_logic; + MED_DATA_IN : in std_logic_vector (15 downto 0); + MED_PACKET_NUM_IN : in std_logic_vector (1 downto 0); + MED_DATAREADY_OUT : out std_logic; + MED_READ_OUT : out std_logic; + MED_DATA_OUT : out std_logic_vector (15 downto 0); + MED_PACKET_NUM_OUT : out std_logic_vector (1 downto 0); + MED_ERROR_OUT : out std_logic_vector (2 downto 0); + STAT : out std_logic_vector (63 downto 0); + STAT_OP : out std_logic_vector (15 downto 0); + CTRL_OP : in std_logic_vector (15 downto 0)); end component; component vulom_interface port ( @@ -331,31 +373,7 @@ architecture cts of cts is DEBUGSTATE_MACHINE : out std_logic_vector(31 downto 0) ); end component; - component dtu_interface - port ( - CLK : in std_logic; - RESET : in std_logic; - LVL1_TRIGGER_BUS : in std_logic; - LVL1_DATA_TRIGGER_BUS : in std_logic; - LVL1_DATA_BUS : in std_logic_vector(3 downto 0); - LVL1_ERROR_BUS : out std_logic; - LVL1_BUSY_BUS : out std_logic; - LVL1_TRIGGER : out std_logic; - LVL1_CODE : out std_logic_vector(3 downto 0); - LVL1_TAG : out std_logic_vector(7 downto 0); - LVL1_BUSY : in std_logic; - LVL2_TRIGGER_BUS : in std_logic; - LVL2_DATA_TRIGGER_BUS : in std_logic; - LVL2_DATA_BUS : in std_logic_vector(3 downto 0); - LVL2_ERROR_BUS : out std_logic; - LVL2_BUSY_BUS : out std_logic; - LVL2_TRIGGER : out std_logic; - LVL2_CODE : out std_logic_vector(3 downto 0); - LVL2_TAG : out std_logic_vector(7 downto 0); - LVL2_BUSY : in std_logic; - LVL2_TRB_ACK : in std_logic; - DTU_DEBUG_00 : out std_logic_vector( 31 downto 0)); - end component; + component sdram_interface port ( @@ -403,6 +421,9 @@ architecture cts of cts is DATA_VALID : out std_logic; ETRAX_IS_READY_TO_READ : in std_logic; LVL1_BUSY : out std_logic; + LVL2_START : in std_logic; + LVL2_CODE : in std_logic; + LVL2_TRB_ACK : out std_logic; CTS_ETRAX_REGISTER_00 : out std_logic_vector(31 downto 0); CTS_ETRAX_REGISTER_01 : out std_logic_vector(31 downto 0); CTS_ETRAX_REGISTER_02 : out std_logic_vector(31 downto 0); @@ -415,7 +436,73 @@ architecture cts of cts is UP : in std_logic; CLK : in std_logic; CLR : in std_logic); - end component; + end + component; + ------------------------------------------------------------------------------- + -- api + ------------------------------------------------------------------------------- + component trb_net16_endpoint_0_trg_1_api + generic ( + API_TYPE : integer range 0 to 1 := c_API_ACTIVE; + IBUF_DEPTH : integer range 0 to 6 := 6;--c_FIFO_BRAM; + FIFO_TO_INT_DEPTH : integer range 0 to 6 := 6;--c_FIFO_SMALL; + FIFO_TO_APL_DEPTH : integer range 0 to 6 := 0;--c_FIFO_SMALL; + SBUF_VERSION : integer range 0 to 1 := c_SBUF_FULL; + IBUF_SECURE_MODE : integer range 0 to 1 := c_SECURE_MODE; + API_SECURE_MODE_TO_APL : integer range 0 to 1 := c_NON_SECURE_MODE; + API_SECURE_MODE_TO_INT : integer range 0 to 1 := c_SECURE_MODE; + OBUF_DATA_COUNT_WIDTH : integer range 0 to 7 := std_DATA_COUNT_WIDTH; + INIT_CAN_SEND_DATA : integer range 0 to 1 := c_NO; + REPLY_CAN_SEND_DATA : integer range 0 to 1 := c_YES; + USE_CHECKSUM : integer range 0 to 1 := c_YES; + DAT_CHANNEL : integer range 0 to 3 := c_SLOW_CTRL_CHANNEL + ); + port ( + CLK : in std_logic; + RESET : in std_logic; + CLK_EN : in std_logic; + MED_DATAREADY_OUT : out std_logic; + MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0); + MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0); + MED_READ_IN : in std_logic; + MED_DATAREADY_IN : in std_logic; + MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0); + MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0); + MED_READ_OUT : out std_logic; + MED_ERROR_IN : in std_logic_vector (2 downto 0); + MED_STAT_OP : out std_logic_vector (15 downto 0); + MED_CTRL_OP : in std_logic_vector (15 downto 0); + APL_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0); + APL_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0); + APL_DATAREADY_IN : in std_logic; + APL_READ_OUT : out std_logic; + APL_SHORT_TRANSFER_IN : in std_logic; + APL_DTYPE_IN : in std_logic_vector (3 downto 0); + APL_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0); + APL_SEND_IN : in std_logic; + APL_TARGET_ADDRESS_IN : in std_logic_vector (15 downto 0); + APL_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0); + APL_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0); + APL_TYP_OUT : out std_logic_vector (2 downto 0); + APL_DATAREADY_OUT : out std_logic; + APL_READ_IN : in std_logic; + APL_RUN_OUT : out std_logic; + APL_MY_ADDRESS_IN : in std_logic_vector (15 downto 0); + APL_SEQNR_OUT : out std_logic_vector (7 downto 0); + STAT_GEN : out std_logic_vector (31 downto 0); + STAT_LOCKED : out std_logic_vector (31 downto 0); + STAT_INIT_BUFFER : out std_logic_vector (31 downto 0); + STAT_REPLY_BUFFER : out std_logic_vector (31 downto 0); + STAT_api_control_signals : out std_logic_vector(31 downto 0); + STAT_MPLEX : out std_logic_vector(31 downto 0); + CTRL_GEN : in std_logic_vector (31 downto 0); + CTRL_LOCKED : in std_logic_vector (31 downto 0); + STAT_CTRL_INIT_BUFFER : in std_logic_vector (31 downto 0); + STAT_CTRL_REPLY_BUFFER : in std_logic_vector (31 downto 0); + MPLEX_CTRL : in std_logic_vector (31 downto 0); + API_STAT_FIFO_TO_INT : out std_logic_vector(31 downto 0); + API_STAT_FIFO_TO_APL : out std_logic_vector(31 downto 0)); + end component; ----------------------------------------------------------------------------- -- EDGE TO PULSE @@ -489,6 +576,7 @@ architecture cts of cts is signal fpga_register_0b_i : std_logic_vector(31 downto 0); signal fpga_register_0c_i : std_logic_vector(31 downto 0); signal fpga_register_0d_i : std_logic_vector(31 downto 0); + signal fpga_register_0e_i : std_logic_vector(31 downto 0); --lvds bus signal lvds_add_on_data : std_logic_vector(31 downto 0); --sdram @@ -530,6 +618,15 @@ architecture cts of cts is signal lvl1_busy_i : std_logic; signal data_valid_i : std_logic; signal data_out_i : std_logic_vector(31 downto 0); +--ctu + signal dtu_debug_00_i : std_logic_vector(31 downto 0); + signal lvl2_trigger_i : std_logic; + signal lvl2_trigger_code_i : std_logic_vector(3 downto 0); + signal lvl2_trigger_tag_i : std_logic_vector(7 downto 0); + signal lvl2_trb_ack_i : std_logic; + signal lvl1_trigger_i : std_logic; + signal lvl1_trigger_code_i : std_logic_vector(3 downto 0); + signal lvl1_trigger_tag_i : std_logic_vector(7 downto 0); --cts_etrax signal cts_etrax_register_00_i : std_logic_vector(31 downto 0); signal cts_etrax_register_01_i : std_logic_vector(31 downto 0); @@ -538,13 +635,66 @@ architecture cts of cts is signal cts_etrax_register_04_i : std_logic_vector(31 downto 0); signal cts_etrax_register_05_i : std_logic_vector(31 downto 0); --trbv2_med_api - signal media_data_valid_in_i : std_logic; - signal media_data_valid_out_i : std_logic; - signal media_data_out_i : std_logic_vector(15 downto 0); - signal media_data_in_i : std_logic_vector(15 downto 0); - signal tlk_api_register_00_i : std_logic_vector(31 downto 0); + + signal med_dataready_out_i : std_logic; + signal med_data_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0); + signal MED_PACKET_NUM_OUT_i : std_logic_vector (c_NUM_WIDTH-1 downto 0); + signal MED_READ_IN_i : std_logic; + signal MED_DATAREADY_IN_i : std_logic; + signal MED_DATA_IN_i : std_logic_vector (c_DATA_WIDTH-1 downto 0); + signal med_error_out_i : std_logic_vector(2 downto 0); + signal MED_READ_OUT_i : std_logic; + signal MED_ERROR_IN_i : std_logic_vector (2 downto 0); + signal MED_STAT_OP_i : std_logic_vector (15 downto 0); + signal MED_CTRL_OP_i : std_logic_vector (15 downto 0); + signal APL_DATA_IN_i : std_logic_vector (c_DATA_WIDTH-1 downto 0); + signal APL_PACKET_NUM_IN_i : std_logic_vector (c_NUM_WIDTH-1 downto 0); + signal APL_DATAREADY_IN_i : std_logic; + signal APL_READ_OUT_i : std_logic; + signal APL_SHORT_TRANSFER_IN_i : std_logic; + signal APL_DTYPE_IN_i : std_logic_vector (3 downto 0); + signal APL_ERROR_PATTERN_IN_i : std_logic_vector (31 downto 0); + signal APL_SEND_IN_i : std_logic; + signal APL_TARGET_ADDRESS_IN_i : std_logic_vector (15 downto 0); + signal APL_DATA_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0); + signal APL_PACKET_NUM_OUT_i : std_logic_vector (c_NUM_WIDTH-1 downto 0); + signal APL_TYP_OUT_i : std_logic_vector (2 downto 0); + signal APL_DATAREADY_OUT_i : std_logic; + signal APL_READ_IN_i : std_logic; + + signal STAT_GEN_i : std_logic_vector (31 downto 0); + signal STAT_LOCKED_i : std_logic_vector (31 downto 0); + signal STAT_INIT_BUFFER_i : std_logic_vector (31 downto 0); + signal STAT_REPLY_BUFFER_i : std_logic_vector (31 downto 0); + signal STAT_api_control_signals_i : std_logic_vector(31 downto 0); + signal STAT_MPLEX_i : std_logic_vector(31 downto 0); + signal CTRL_GEN_i : std_logic_vector (31 downto 0); + signal CTRL_LOCKED_i : std_logic_vector (31 downto 0); + signal STAT_CTRL_INIT_BUFFER_i : std_logic_vector (31 downto 0); + signal STAT_CTRL_REPLY_BUFFER_i : std_logic_vector (31 downto 0); + signal MPLEX_CTRL_i : std_logic_vector (31 downto 0); + signal API_STAT_FIFO_TO_INT_i : std_logic_vector(31 downto 0); + signal API_STAT_FIFO_TO_APL_i : std_logic_vector(31 downto 0); + +-- signal media_data_valid_in_i : std_logic; +-- signal media_data_valid_out_i : std_logic; +-- signal media_data_out_i : std_logic_vector(15 downto 0); +-- signal media_data_in_i : std_logic_vector(15 downto 0); +-- signal tlk_api_register_00_i : std_logic_vector(31 downto 0); +-- signal med_dataready_in_i : std_logic; +-- signal med_read_in_i : std_logic; +-- signal med_data_in_i : std_logic_vector (15 downto 0); + +-- signal med_dataready_out_i : std_logic; +-- signal med_read_out_i : std_logic; +-- signal med_data_out_i : std_logic_vector (15 downto 0); +-- signal med_packet_num_out_i : std_logic_vector (1 downto 0); +-- signal med_error_out_i : std_logic_vector (2 downto 0); + signal stat_i : std_logic_vector (63 downto 0); + signal stat_op_i : std_logic_vector (15 downto 0); + signal ctrl_op_i : std_logic_vector (15 downto 0); begin ------------------------------------------------------------------------------ -- LVDS signals @@ -670,161 +820,223 @@ begin ------------------------------------------------------------------------------- -- api ------------------------------------------------------------------------------- - API_INST: trb_net16_endpoint_0_trg_1_api - generic map ( - API_TYPE => 1, - INIT_DEPTH => 1, - REPLY_DEPTH => 1, - FIFO_TO_INT_DEPTH => 1, - FIFO_TO_APL_DEPTH => 1, - SBUF_VERSION => 0, - MUX_WIDTH => 1, - MUX_SECURE_MODE => 0, - DAT_CHANNEL => 0, - DATA_WIDTH => 16, - NUM_WIDTH => 2) - port map ( - CLK => CLK, - RESET => external_reset_i, - CLK_EN => '1', - MED_DATAREADY_OUT => media_data_valid_in_i, - MED_DATA_OUT => media_data_in_i, - MED_PACKET_NUM_OUT => open,--MED_PACKET_NUM_OUT_i, - MED_READ_IN => '1', - MED_DATAREADY_IN => media_data_valid_out_i, - MED_DATA_IN => media_data_out_i, - MED_PACKET_NUM_IN => med_packet_num_in_i, - MED_READ_OUT => open, --MED_READ_OUT_i, enable reading - --data from trbv2_tlk_api_fifo - MED_ERROR_IN => "000",--MED_ERROR_IN_i, - if there is - --link lok - APL_DATA_IN => x"0000", - APL_PACKET_NUM_IN => "00", - APL_WRITE_IN => '0', - APL_FIFO_FULL_OUT => open, - APL_SHORT_TRANSFER_IN => '1', - APL_DTYPE_IN => counter_0_i(3 downto 0), - APL_ERROR_PATTERN_IN => x"12345678", - APL_SEND_IN => start_readout_i, - APL_TARGET_ADDRESS_IN => x"FFFF", - APL_DATA_OUT => open, - APL_PACKET_NUM_OUT => open, - APL_TYP_OUT => open, - APL_DATAREADY_OUT => open, - APL_READ_IN => '1', - APL_RUN_OUT => apl_run_out_i, - APL_MY_ADDRESS_IN => x"0001", - APL_SEQNR_OUT => apl_seqnr_out_i, - STAT_GEN => open,--STAT_GEN_i, - STAT_LOCKED => open,--STAT_LOCKED_i, - STAT_INIT_BUFFER => open,--STAT_INIT_BUFFER_i, - STAT_REPLY_BUFFER => open,--STAT_REPLY_BUFFER_i, - STAT_api_control_signals => open,--STAT_api_control_signals_i, - STAT_MPLEX => open,--STAT_MPLEX_i, - CTRL_GEN => x"00000000",--CTRL_GEN_i, - CTRL_LOCKED => x"00000000", - STAT_CTRL_INIT_BUFFER => x"00000000",--STAT_CTRL_INIT_BUFFER_i, - STAT_CTRL_REPLY_BUFFER => x"00000000",--STAT_CTRL_REPLY_BUFFER_i, - MPLEX_CTRL => x"00000000",--MPLEX_CTRL_i, - API_STAT_FIFO_TO_INT => open,--API_STAT_FIFO_TO_INT_i, - API_STAT_FIFO_TO_APL => open--API_STAT_FIFO_TO_APL_i - ); - COUNTER_FOR_API: process (CLK, external_reset_i) - begin - if rising_edge(CLK) then - if external_reset_i = '1' then - med_packet_num_in_i <= (others => '0'); - elsif media_data_valid_out_i = '1' then - med_packet_num_in_i <= med_packet_num_in_i + 1; - end if; - end if; - end process COUNTER_FOR_API; ------------------------------------------------------------------------------ --- media to api, api to media ------------------------------------------------------------------------------ --- TLK_RX_CLK_BUFR: BUFR --- port map( --- CE => '1', --- CLR => '0', --- I => TLK_RX_CLK, --- O => tlk_rx_clk_r --- ); --- TLK_CLK_BUFR: BUFR --- port map( --- CE => '1', --- CLR => '0', --- I => TLK_CLK, --- O => tlk_clk_r --- ); --- SFP_TX_DIS <= '0'; --- OPTICAL_MEDIA_TO_FROM_API: trbv2_tlk_api --- port map ( --- RESET => external_reset_i, --- CLK => CLK, --- TLK_CLK => tlk_clk_r, --- TLK_ENABLE => TLK_ENABLE, --- TLK_LCKREFN => TLK_LCKREFN, --- TLK_LOOPEN => TLK_LOOPEN, --- TLK_PRBSEN => TLK_PRBSEN, --- TLK_RXD => TLK_RXD, --- TLK_RX_CLK => tlk_rx_clk_r, --- TLK_RX_DV => TLK_RX_DV, --- TLK_RX_ER => TLK_RX_ER, --- TLK_TXD => TLK_TXD, --- TLK_TX_EN => TLK_TX_EN, --- TLK_TX_ER => TLK_TX_ER, --- DATA_OUT => media_data_out_i, --- DATA_IN => media_data_in_i, --- DATA_VALID_IN => media_data_valid_in_i, --- DATA_VALID_OUT => media_data_valid_out_i, --- TLK_API_REGISTER_00 => tlk_api_register_00_i); --- fpga_register_0a_i <= media_data_valid_in_i & media_data_valid_out_i & media_data_out_i & media_data_in_i(13 downto 0); +-- trb_net_16_int: trb_net16_endpoint_0_trg_1_api +-- generic map ( +-- API_TYPE => c_API_ACTIVE, +-- IBUF_DEPTH => 6, +-- FIFO_TO_INT_DEPTH => 6, +-- FIFO_TO_APL_DEPTH => 6, +-- SBUF_VERSION => c_SBUF_FULL, +-- IBUF_SECURE_MODE => c_SECURE_MODE, +-- API_SECURE_MODE_TO_APL => c_SECURE_MODE, +-- API_SECURE_MODE_TO_INT => c_SECURE_MODE, +-- OBUF_DATA_COUNT_WIDTH => std_DATA_COUNT_WIDTH, +-- INIT_CAN_SEND_DATA => c_YES, +-- REPLY_CAN_SEND_DATA => c_YES, +-- USE_CHECKSUM => c_YES, +-- DAT_CHANNEL => c_TRG_LVL1_CHANNEL) +-- port map ( +-- CLK => CLK, +-- RESET => external_reset, +-- CLK_EN => '1', +-- MED_DATAREADY_OUT => med_dataready_in_i, +-- MED_DATA_OUT => med_data_in_i, +-- MED_PACKET_NUM_OUT => med_packet_num_in_i, +-- MED_READ_IN => med_read_out_i, +-- MED_DATAREADY_IN => med_dataready_out_i, +-- MED_DATA_IN => med_data_out_i, +-- MED_PACKET_NUM_IN => med_packet_num_out_i, +-- MED_READ_OUT => med_read_in_i, +-- MED_ERROR_IN => med_error_out_i, +-- MED_STAT_OP => x"0000",--med_stat_op_i, +-- MED_CTRL_OP => med_ctrl_op_i,--x"0000", +-- APL_DATA_IN => apl_data_in_i, +-- APL_PACKET_NUM_IN => apl_packet_num_in_i, +-- APL_DATAREADY_IN => apl_dataready_in_i, +-- APL_READ_OUT => apl_read_out_i, +-- APL_SHORT_TRANSFER_IN => '1', +-- APL_DTYPE_IN => counter_0_i(3 downto 0), +-- APL_ERROR_PATTERN_IN => x"01234567", +-- APL_SEND_IN => start_readout_i, +-- APL_TARGET_ADDRESS_IN => x"FFFF", +-- APL_DATA_OUT => apl_data_out_i, +-- APL_PACKET_NUM_OUT => apl_packet_num_out_i, +-- APL_TYP_OUT => apl_typ_out_i, +-- APL_DATAREADY_OUT => apl_dataready_out_i, +-- APL_READ_IN => '1', +-- APL_RUN_OUT => apl_run_out_i, +-- APL_MY_ADDRESS_IN => x"F001", +-- APL_SEQNR_OUT => apl_seqnr_out_i, +-- STAT_GEN => stat_gen_i, +-- STAT_LOCKED => stat_locked_i, +-- STAT_INIT_BUFFER => stat_init_buffer_i, +-- STAT_REPLY_BUFFER => stat_reply_buffer_i, +-- STAT_api_control_signals => stat_api_control_signals_i, +-- STAT_MPLEX => stat_mplex_i, +-- CTRL_GEN => x"00000000",--ctrl_gen_i, +-- CTRL_LOCKED => x"00000000",--ctrl_locked_i, +-- STAT_CTRL_INIT_BUFFER => stat_ctrl_init_buffer_i, +-- STAT_CTRL_REPLY_BUFFER => stat_ctrl_reply_buffer_i, +-- MPLEX_CTRL => x"00000000", +-- API_STAT_FIFO_TO_INT => api_stat_fifo_to_int_i, +-- API_STAT_FIFO_TO_APL => api_stat_fifo_to_apl_i); + + + +-- ----------------------------------------------------------------------------- +-- -- media to api, api to media +-- ----------------------------------------------------------------------------- +-- TLK_RX_CLK_BUFR: BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_RX_CLK, +-- O => tlk_rx_clk_r +-- ); +-- TLK_CLK_BUFR: BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_CLK, +-- O => tlk_clk_r +-- ); +-- TLK_API_INT: trb_net16_med_tlk +-- port map ( +-- RESET => external_reset_i, +-- CLK => CLK, +-- TLK_CLK => tlk_clk_r, +-- TLK_ENABLE => TLK_ENABLE, +-- TLK_LCKREFN => TLK_LCKREFN, +-- TLK_LOOPEN => TLK_LOOPEN, +-- TLK_PRBSEN => TLK_PRBSEN, +-- TLK_RXD => TLK_RXD, +-- TLK_RX_CLK => tlk_rx_clk_r, +-- TLK_RX_DV => TLK_RX_DV, +-- TLK_RX_ER => TLK_RX_ER, +-- TLK_TXD => TLK_TXD, +-- TLK_TX_EN => TLK_TX_EN, +-- TLK_TX_ER => TLK_TX_ER, +-- SFP_LOS => SFP_LOS, +-- SFP_TX_DIS => SFP_TX_DIS, +-- MED_DATAREADY_IN => med_dataready_in_i, +-- MED_READ_IN => med_read_in_i, +-- MED_DATA_IN => med_data_in_i, +-- MED_PACKET_NUM_IN => med_packet_num_in_i, +-- MED_DATAREADY_OUT => med_dataready_out_i, +-- MED_READ_OUT => med_read_out_i, +-- MED_DATA_OUT => med_data_out_i, +-- MED_PACKET_NUM_OUT => med_packet_num_out_i, +-- MED_ERROR_OUT => med_error_out_i, +-- STAT => stat_i, +-- STAT_OP => stat_op_i, +-- CTRL_OP => ctrl_op_i); ------------------------------------------------------------------------------- -- Vulom interafce ------------------------------------------------------------------------------- - VULOM_INT : vulom_interface - port map ( - CLK => CLK, - DATA_CLK => ADDON_CLK2, - RESET => external_reset_i, - DATA_IN => ADO_TTL(3 downto 2), --lvds_add_on_data (3 downto 0), - BUSY => open, --lvds_add_on_data (4), - ACK_TO_VULOM => ADO_TTL(5), - ERR_TO_VULOM => ADO_TTL(6), - API_DATA => open, - API_RUN_OUT => apl_run_out_i, - API_SHORT_TRANSFER => open, - API_DTYPE => open, - API_SEND => open, - API_WRITE_IN => open, - API_TARGET_ADDRESS => open, - API_SEQNR_OUT => apl_seqnr_out_i,--x"00", - VULOM_INT_REG => vulom_int_reg_00, - INTERFACE_CONTROL => fpga_register_06_i(11 downto 8), - EVENT_REGISTER_00 => counter_0_i, - EVENT_REGISTER_01 => counter_1_i, - EVENT_REGISTER_02 => counter_2_i, - EVENT_REGISTER_03 => counter_3_i, - EVENT_REGISTER_04 => counter_4_i, - EVENT_REGISTER_05 => counter_5_i, - EVENT_REGISTER_06 => counter_6_i, - EVENT_REGISTER_07 => counter_7_i, - EVENT_REGISTER_08 => counter_8_i, - EVENT_REGISTER_09 => counter_9_i, - EVENT_REGISTER_0a => counter_a_i, - EVENT_REGISTER_0b => counter_b_i, - EVENT_REGISTER_0c => counter_c_i, - START_READOUT => start_readout_i, - LVL1_TRANSFER_BUSY => lvl1_busy_i - ); + VULOM_INT : vulom_interface + port map ( + CLK => CLK, + DATA_CLK => ADDON_CLK2, + RESET => external_reset_i, + DATA_IN => ADO_TTL(3 downto 2), --lvds_add_on_data (3 downto 0), + BUSY => open, --lvds_add_on_data (4), + ACK_TO_VULOM => ADO_TTL(5), + ERR_TO_VULOM => ADO_TTL(6), + API_DATA => open, + API_RUN_OUT => apl_run_out_i, + API_SHORT_TRANSFER => open, + API_DTYPE => open, + API_SEND => open,--apl_send_in_i, + API_WRITE_IN => open, + API_TARGET_ADDRESS => open, + API_SEQNR_OUT => apl_seqnr_out_i,--x"00", + VULOM_INT_REG => vulom_int_reg_00, + INTERFACE_CONTROL => fpga_register_06_i(11 downto 8), + EVENT_REGISTER_00 => counter_0_i, + EVENT_REGISTER_01 => counter_1_i, + EVENT_REGISTER_02 => counter_2_i, + EVENT_REGISTER_03 => counter_3_i, + EVENT_REGISTER_04 => counter_4_i, + EVENT_REGISTER_05 => counter_5_i, + EVENT_REGISTER_06 => counter_6_i, + EVENT_REGISTER_07 => counter_7_i, + EVENT_REGISTER_08 => counter_8_i, + EVENT_REGISTER_09 => counter_9_i, + EVENT_REGISTER_0a => counter_a_i, + EVENT_REGISTER_0b => counter_b_i, + EVENT_REGISTER_0c => counter_c_i, + START_READOUT => start_readout_i, + LVL1_TRANSFER_BUSY => lvl1_busy_i + ); + ADO_TTL(3 downto 2) <= (others => 'Z'); + ADO_TTL(4) <= lvl1_busy_i; + ADO_TTL(15 downto 8) <= vulom_int_reg_00(7 downto 0); + -------------------------------------------------------------------------- + -- dtu interface + -------------------------------------------------------------------------- +-- DTU_INT: dtu_interface +-- port map ( +-- CLK => CLK, +-- RESET => external_reset_i, +-- LVL1_TRIGGER_BUS => ADO_TTL(4), +-- LVL1_DATA_TRIGGER_BUS => ADO_TTL(9), +-- LVL1_DATA_BUS => ADO_TTL(8 downto 5), +-- LVL1_ERROR_BUS => ADO_TTL(1), +-- LVL1_BUSY_BUS => ADO_TTL(0), +-- LVL1_TRIGGER => lvl1_trigger_i, +-- LVL1_CODE => lvl1_trigger_code_i, +-- LVL1_TAG => lvl1_trigger_tag_i, +-- LVL1_BUSY => lvl1_busy_i, +-- LVL2_TRIGGER_BUS => ADO_TTL(10), +-- LVL2_DATA_TRIGGER_BUS => ADO_TTL(15), +-- LVL2_DATA_BUS => ADO_TTL(14 downto 11), +-- LVL2_ERROR_BUS => open,--ADO_TTL(3), +-- LVL2_BUSY_BUS => open,--ADO_TTL(2), --here +-- LVL2_TRIGGER => lvl2_trigger_i, +-- LVL2_CODE => lvl2_trigger_code_i, +-- LVL2_TAG => open, +-- LVL2_BUSY => '0',--lvl2_busy_i, --1:1 downscaling +-- LVL2_TRB_ACK => lvl2_trb_ack_i, +-- DTU_DEBUG_00 => open); +-- ADO_TTL(15 downto 4) <= (others => 'Z'); +-- ADO_TTL(2) <= '0'; +-- ADO_TTL(3) <= '0'; + +-- DTU_INT: dtu_interface +-- port map ( +-- CLK => CLK, +-- RESET => external_reset_i, +-- LVL1_TRIGGER_BUS => '0', +-- LVL1_DATA_TRIGGER_BUS => '0', +-- LVL1_DATA_BUS => x"0", +-- LVL1_ERROR_BUS => open, +-- LVL1_BUSY_BUS => open, +-- LVL1_TRIGGER => open, +-- LVL1_CODE => open, +-- LVL1_TAG => open, +-- LVL1_BUSY => '0', +-- LVL2_TRIGGER_BUS => ADO_TTL(10), +-- LVL2_DATA_TRIGGER_BUS => ADO_TTL(15), +-- LVL2_DATA_BUS => ADO_TTL(14 downto 11), +-- LVL2_ERROR_BUS => ADO_TTL(9), +-- LVL2_BUSY_BUS => ADO_TTL(8), +-- LVL2_TRIGGER => lvl2_trigger_i, +-- LVL2_CODE => lvl2_code_i, +-- LVL2_TAG => lvl2_tag_i, +-- LVL2_BUSY => '0', +-- LVL2_TRB_ACK => lvl2_trb_ack_i, +-- DTU_DEBUG_00 => dtu_debug_00_i); +-- ADO_TTL(15 downto 10) <= (others => 'Z'); -------------------------------------------------------------------------- -- event from cts to etrax -------------------------------------------------------------------------- + counter_0_i <= x"0000000" & lvl1_trigger_code_i; + counter_1_i <= x"000000" & lvl1_trigger_tag_i; FPGA_ETRAX_INT : fpga_to_etrax_data_flow port map ( CLK => CLK, RESET => external_reset_i, - START_READOUT => start_readout_i, + START_READOUT => start_readout_i,--lvl1_trigger_i,--start_readout_i, HOW_MANY_ADD_DATA => x"0a", COUNTER_0 => counter_0_i, COUNTER_1 => counter_1_i, @@ -841,14 +1053,18 @@ begin DATA_VALID => data_valid_i, ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i, LVL1_BUSY => lvl1_busy_i, + LVL2_START => lvl2_trigger_i, + LVL2_CODE => lvl2_trigger_code_i(3), + LVL2_TRB_ACK => lvl2_trb_ack_i, CTS_ETRAX_REGISTER_00 => cts_etrax_register_00_i, CTS_ETRAX_REGISTER_01 => cts_etrax_register_01_i, CTS_ETRAX_REGISTER_02 => cts_etrax_register_02_i, CTS_ETRAX_REGISTER_03 => cts_etrax_register_03_i, CTS_ETRAX_REGISTER_04 => cts_etrax_register_04_i); - ADO_TTL(3 downto 2) <= (others => 'Z'); - ADO_TTL(15 downto 10) <= TLK_TXD_i (5 downto 0); - ADO_TTL(9) <= TLK_TX_EN_i; + + +-- ADO_TTL(15 downto 11) <= TLK_TXD_i (4 downto 0); +-- ADO_TTL(9) <= TLK_TX_EN_i; -- ADO_TTL(8) <= not TLK_CLK_i; -- ADO_TTL(15) <= TLK_TX_EN_i; @@ -906,7 +1122,8 @@ begin -------------------------------------------------------------------------- -- etrax interface, sending events, control and status -------------------------------------------------------------------------- - ETRAX_INTERFACE_LOGIC : etrax_interface +-- ADO_TTL(7) <= FS_PB(17); + ETRAX_INTERFACE_LOGIC : etrax_interfacev2 port map ( CLK => CLK, RESET => '0', @@ -942,17 +1159,22 @@ begin FPGA_REGISTER_0b => x"00000000",--fpga_register_0b_i, FPGA_REGISTER_0c => x"00000000",--fpga_register_0c_i, FPGA_REGISTER_0d => x"00000000",--fpga_register_0d_i, + FPGA_REGISTER_0e => fpga_register_0e_i,--fpga_register_0d_i, EXTERNAL_RESET => external_reset, LVL2_VALID => '0' ); fpga_register_01_i <= vulom_int_reg_00; fpga_register_02_i <= '0' & "000" & "00"& TLK_RX_DV & TLK_RX_ER & TLK_RXD & x"00";-- --apl_seqnr_out_i; - fpga_register_03_i <= x"bacd0000";--med_data_out_i_saved(31 downto 0); - fpga_register_04_i <= x"00001234";--med_data_out_i_saved(63 downto 32); + fpga_register_03_i <= cts_etrax_register_00_i;-- x"bacd0000";--med_data_out_i_saved(31 downto 0); + fpga_register_04_i <= cts_etrax_register_01_i;--x"00001234";--med_data_out_i_saved(63 downto 32); + fpga_register_05_i <= cts_etrax_register_02_i; + fpga_register_09_i <= cts_etrax_register_03_i; + fpga_register_0a_i <= cts_etrax_register_04_i; -- ADO_TTL(5)<= vulom_int_reg_00(0); -- ADO_TTL(6) <= vulom_int_reg_00(1);--K_RX_ER; -- ADO_TTL(7) <= ADDON_CLK1;--vulom_int_reg_00(2); + fpga_register_08_i <= dtu_debug_00_i; SYNCH_RESET: process (CLK) begin -- process SYNCH_RESET if rising_edge(CLK) then -- rising clock edge diff --git a/trb_cts/cts_syn.prj b/trb_cts/cts_syn.prj index fa3f9e5..efe1668 100644 --- a/trb_cts/cts_syn.prj +++ b/trb_cts/cts_syn.prj @@ -3,7 +3,47 @@ #add_file options +add_file -vhdl -lib work "~/trbnet/trb_net16_med_tlk" +add_file -vhdl -lib work "~/trbnet/trb_net_rom_16x8.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_onewire.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_ram_dp.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_ram.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_ram_16x8_dp.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_addresses.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_hub_func.vhd" +#add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/lattice_scm_fifo_18x1k.vhd" +#add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/lattice_scm_fifo_18x16.vhd" +#add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/lattice_scm_fifo_18x32.vhd" +#add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/lattice_scm_fifo_18x64.vhd" +add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/trb_net16_fifo_arch.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_io_multiplexer.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_regIO.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_api_base.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_obuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_ibuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_std.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_fifo.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_iobuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_CRC.vhd" +add_file -vhdl -lib work "~/trbnet/xilinx/shift_lut_x16.vhd" +add_file -vhdl -lib work "~/trbnet/xilinx/trb_net_fifo_16bit_bram_dualport_arch.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_dummy_fifo.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_pattern_gen.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_priority_arbiter.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_priority_encoder.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_sbuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_sbuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_hub_base.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_hub_logic.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_hub_func.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_endpoint_0_trg_1_api.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_med_tlk.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_term.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_term_ibuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_term_buf.vhd" add_file -vhdl -lib work "etrax_interface.vhd" +add_file -vhdl -lib work "~/trbv2/etrax_interfacev2.vhd" +add_file -vhdl -lib work "~/trbv2/dtu_interface.vhd" add_file -vhdl -lib work "f_divider.vhd" add_file -vhdl -lib work "cts.vhd" add_file -vhdl -lib work "vulom_interface.vhd" @@ -17,24 +57,6 @@ add_file -vhdl -lib work "trbv2_tlk_api_fifo.vhd" add_file -vhdl -lib work "trbv2_tlk_api.vhd" -add_file -vhdl -lib work "api/trbnet/xilinx/trb_net_fifo_arch.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_std.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_fifo.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_iobuf.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_active_api.vhd" -add_file -vhdl -lib work "api/trbnet/xilinx/shift_lut_x16.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_dummy_fifo.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_ibuf.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_io_multiplexer.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_obuf.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_pattern_gen.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_priority_arbiter.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_priority_encoder.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_sbuf.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_term_ibuf.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_term.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_active_apimbuf.vhd" -add_file -vhdl -lib work "api/trbnet/trb_net_base_api.vhd" #add_file -constraint "cts_syn.sdc" diff --git a/trb_cts/cts_tb.vhd b/trb_cts/cts_tb.vhd index d2e33df..dbc4697 100644 --- a/trb_cts/cts_tb.vhd +++ b/trb_cts/cts_tb.vhd @@ -19,7 +19,7 @@ entity cts_tb is DGOOD : out std_logic; DINT : out std_logic; DWAIT : out std_logic; - FS_PB : out std_logic_vector (17 downto 0); + FS_PB : inout std_logic_vector (17 downto 0); FS_PC : inout std_logic_vector (17 downto 0); ETRAX_IRQ : out std_logic; DSPADDR : out std_logic_vector (31 downto 0); @@ -81,7 +81,7 @@ component cts DGOOD : out std_logic; DINT : out std_logic; DWAIT : out std_logic; - FS_PB : out std_logic_vector (17 downto 0); + FS_PB : inout std_logic_vector (17 downto 0); FS_PC : inout std_logic_vector (17 downto 0); ETRAX_IRQ : out std_logic; DSPADDR : out std_logic_vector (31 downto 0); @@ -188,7 +188,9 @@ signal ADO_TTL_i : std_logic_vector(46 downto 2); signal ADO_CLK1_i : std_logic; signal ADO_CLK2_i : std_logic; signal vulom_lvl1_tag : std_logic_vector(15 downto 0); - +signal dtu_lvl2_tag : std_logic_vector(7 downto 0):=x"00"; +signal lvl2_trig : std_logic; +signal dtu_clk : std_logic; begin -- cts_tb test: cts @@ -271,110 +273,110 @@ begin -- cts_tb TLK_RX_CLK_i <= '1'; wait for 5 ns; end process; - ------------------------------------------------------------------------------- +------------------------------------------------------------------------------- -- etrax_int_test ------------------------------------------------------------------------------- - etrax_intf : PROCESS - BEGIN +-- etrax_intf : PROCESS +-- BEGIN - --reading DSP(dev number 1) - wait for 10 ns; - RESET_VIRT_i <= '0'; +-- --reading DSP(dev number 1) +-- wait for 10 ns; +-- RESET_VIRT_i <= '0'; - wait for 10 ns; - FS_PC_i(16) <= '1'; - FS_PC_i(17) <= '1'; - RESET_VIRT_i <= '1'; - wait for 10 ns; +-- wait for 10 ns; +-- FS_PC_i(16) <= '1'; +-- FS_PC_i(17) <= '1'; +-- RESET_VIRT_i <= '1'; +-- wait for 10 ns; - wait for 30 ns; - FS_PC_i(15 downto 0) <= x"0000"; - FS_PC_i(16) <= '0'; - FS_PC_i(17) <= '0'; - wait on VIRT_CLK_i until FS_PB_i(16) = '0'; - wait for 20 ns; - FS_PC_i(16) <= '1'; - wait for 20 ns; - FS_PC_i(15) <= '1'; --read mode(1) - FS_PC_i(14 downto 8) <= (others => '0'); - FS_PC_i(7 downto 0) <= x"00"; --device - FS_PC_i(16) <= '0'; - FS_PC_i(17) <= '0'; - wait for 20 ns; - FS_PC_i(15 downto 0) <= x"0000"; --address upper part - FS_PC_i(16) <= '1'; - FS_PC_i(17) <= '0'; - wait for 20 ns; - FS_PC_i(16) <= '0'; - wait for 20 ns; - FS_PC_i(16) <= '1'; - FS_PC_i(15 downto 0) <= x"0025"; --adrees lower part - FS_PC_i(16) <= '1'; - FS_PC_i(17) <= '0'; - wait for 20 ns; - FS_PC_i(16) <= '0'; - wait on VIRT_CLK_i until FS_PB_i(16)= '1'; - FS_PC_i(16) <= '1'; - wait for 20 ns; - FS_PC_i(16) <= '0'; - wait on VIRT_CLK_i until FS_PB_i(16) = '0'; - FS_PC_i(16) <= '1'; - wait for 20 ns; - FS_PC_i(16) <= '0'; - wait on VIRT_CLK_i until FS_PB_i(16)= '1'; - FS_PC_i(16) <= '1'; - wait for 20 ns; - FS_PC_i(16) <= '0'; - --writing DSP - wait for 20 ns; - FS_PC_i(16) <= '1'; - wait for 20 ns; - FS_PC_i(15) <= '0'; --write mode - FS_PC_i(14 downto 8) <= (others => '0'); - FS_PC_i(7 downto 0) <= x"00"; --device - FS_PC_i(16) <= '0'; - FS_PC_i(17) <= '0'; - wait for 20 ns; - FS_PC_i(15 downto 0) <= x"0000"; --address upper part - FS_PC_i(16) <= '1'; - FS_PC_i(17) <= '0'; - wait for 20 ns; - FS_PC_i(16) <= '0'; - wait for 20 ns; - FS_PC_i(16) <= '1'; - FS_PC_i(15 downto 0) <= x"0000"; --adrees lower part - FS_PC_i(16) <= '1'; - FS_PC_i(17) <= '0'; - wait for 20 ns; - FS_PC_i(16) <= '0'; - wait for 20 ns; - FS_PC_i(15 downto 0) <= x"0000"; --data upper part - FS_PC_i(16) <= '1'; - FS_PC_i(17) <= '0'; - wait for 20 ns; - FS_PC_i(16) <= '0'; - wait for 20 ns; - FS_PC_i(15 downto 0) <= x"0080"; --data lower part - 1 switch on - --internal generation of trigger - FS_PC_i(16) <= '1'; - FS_PC_i(17) <= '0'; - wait for 20 ns; - FS_PC_i(16) <= '0'; - wait for 20 ns; - FS_PC_i(16) <= '1'; - wait for 20 ns; - FS_PC_i(16) <= '0'; --- wait on VIRT_CLK_i until FS_PB_i(16)= '1'; - loop - wait on VIRT_CLK_i until FS_PB_i(16) = '1'; - FS_PC_i(17) <= '1'; - wait for 100 ns; - FS_PC_i(17) <= '0'; - wait for 100 ns; - end loop; +-- wait for 30 ns; +-- FS_PC_i(15 downto 0) <= x"0000"; +-- FS_PC_i(16) <= '0'; +-- FS_PC_i(17) <= '0'; +-- wait on VIRT_CLK_i until FS_PB_i(16) = '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '1'; +-- wait for 20 ns; +-- FS_PC_i(15) <= '1'; --read mode(1) +-- FS_PC_i(14 downto 8) <= (others => '0'); +-- FS_PC_i(7 downto 0) <= x"00"; --device +-- FS_PC_i(16) <= '0'; +-- FS_PC_i(17) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(15 downto 0) <= x"0000"; --address upper part +-- FS_PC_i(16) <= '1'; +-- FS_PC_i(17) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '1'; +-- FS_PC_i(15 downto 0) <= x"0025"; --adrees lower part +-- FS_PC_i(16) <= '1'; +-- FS_PC_i(17) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- wait on VIRT_CLK_i until FS_PB_i(16)= '1'; +-- FS_PC_i(16) <= '1'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- wait on VIRT_CLK_i until FS_PB_i(16) = '0'; +-- FS_PC_i(16) <= '1'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- wait on VIRT_CLK_i until FS_PB_i(16)= '1'; +-- FS_PC_i(16) <= '1'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- --writing DSP +-- wait for 20 ns; +-- FS_PC_i(16) <= '1'; +-- wait for 20 ns; +-- FS_PC_i(15) <= '0'; --write mode +-- FS_PC_i(14 downto 8) <= (others => '0'); +-- FS_PC_i(7 downto 0) <= x"00"; --device +-- FS_PC_i(16) <= '0'; +-- FS_PC_i(17) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(15 downto 0) <= x"0000"; --address upper part +-- FS_PC_i(16) <= '1'; +-- FS_PC_i(17) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '1'; +-- FS_PC_i(15 downto 0) <= x"0000"; --adrees lower part +-- FS_PC_i(16) <= '1'; +-- FS_PC_i(17) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(15 downto 0) <= x"0000"; --data upper part +-- FS_PC_i(16) <= '1'; +-- FS_PC_i(17) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(15 downto 0) <= x"0080"; --data lower part - 1 switch on +-- --internal generation of trigger +-- FS_PC_i(16) <= '1'; +-- FS_PC_i(17) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '1'; +-- wait for 20 ns; +-- FS_PC_i(16) <= '0'; +-- -- wait on VIRT_CLK_i until FS_PB_i(16)= '1'; +-- loop +-- wait on VIRT_CLK_i until FS_PB_i(16) = '1'; +-- FS_PC_i(17) <= '1'; +-- wait for 100 ns; +-- FS_PC_i(17) <= '0'; +-- wait for 100 ns; +-- end loop; - wait; -- will wait forever - end process; +-- wait; -- will wait forever +-- end process; ----------------------------------------------------------------------------- -- VULOM ----------------------------------------------------------------------------- @@ -384,6 +386,8 @@ begin -- cts_tb variable i,y : integer; begin vulom_lvl1_tag <= (others => '0'); + ADO_CLK2_i <= '0'; + ADO_TTL_i(3 downto 2) <= "00"; wait for 310 ns; loop @@ -393,6 +397,26 @@ begin -- cts_tb wait for 10 ns; ADO_CLK2_i <= '0'; wait for 10 ns; + ADO_TTL_i(3 downto 2) <= "10"; + ADO_CLK2_i <= '1'; + wait for 10 ns; + ADO_CLK2_i <= '0'; + wait for 10 ns; + ADO_TTL_i(3 downto 2) <= "01"; + ADO_CLK2_i <= '1'; + wait for 10 ns; + ADO_CLK2_i <= '0'; + wait for 10 ns; + ADO_TTL_i(3 downto 2) <= "10"; + ADO_CLK2_i <= '1'; + wait for 10 ns; + ADO_CLK2_i <= '0'; + wait for 10 ns; + ADO_TTL_i(3 downto 2) <= "10"; + ADO_CLK2_i <= '1'; + wait for 10 ns; + ADO_CLK2_i <= '0'; + wait for 10 ns; for i in 0 to 159 loop ADO_TTL_i(3 downto 2) <= vulom_lvl1_tag (((y mod 15)+1) downto (y mod 15)); ADO_CLK2_i <= '1'; @@ -401,8 +425,111 @@ begin -- cts_tb wait for 10 ns; y := y + 2; end loop; -- 40ns; - wait on VIRT_CLK_i until ADO_TTL_i(4) = '0'; + wait on VIRT_CLK_i until ADO_TTL_i(5) = '1'; + wait on VIRT_CLK_i until ADO_TTL_i(5) = '0'; vulom_lvl1_tag <= vulom_lvl1_tag + 1; end loop; end process VULOM_SENDS_TRIGGER; + ----------------------------------------------------------------------------- + -- DTU only lvl2 + ----------------------------------------------------------------------------- + clock_dtu : process + begin + wait for 34 ns; + loop + dtu_clk <= '0'; + wait for 50 ns; + dtu_clk <= '1'; + wait for 50 ns; + end loop; + end process; + + ADO_TTL_i(8) <= 'Z'; + LVL2_COUNT : process + begin + wait for 100 ns; + dtu_lvl2_tag <= x"00"; + wait on dtu_clk until ADO_TTL_i(8) = '0'; + loop + wait on dtu_clk until lvl2_trig = '1'; + wait for 500 ns; + dtu_lvl2_tag <= dtu_lvl2_tag +1; + wait on dtu_clk until ADO_TTL_i(8) = '0'; + end loop; + end process LVL2_COUNT; + ADO_TTL_i(10) <= lvl2_trig; + DTU_EMULATION_LVL2 : process + begin + ADO_TTL_i(15) <= '0'; + lvl2_trig <= '0'; +wait for 6000 ns; + loop + ADO_TTL_i(15) <= '0'; + wait until ADO_TTL_i(5) = '1'; --after lvl1 + -- -- wait on dtu_clk until dtu_lvl1_tag > dtu_lvl2_tag + 1; + wait until ADO_TTL_i(5) = '0'; --after lvl1 + wait for 6000 ns; + lvl2_trig <= '1'; + ADO_TTL_i(14 downto 11) <= x"1"; + wait for 100 ns; + lvl2_trig <= '0'; + wait for 100 ns; + ADO_TTL_i(15) <= '1'; + ADO_TTL_i(14 downto 11) <= dtu_lvl2_tag(3 downto 0); + wait for 100 ns; + ADO_TTL_i(15) <= '0'; + wait for 100 ns; + ADO_TTL_i(15) <= '1'; + ADO_TTL_i(14 downto 11) <= dtu_lvl2_tag(7 downto 4); + wait for 100 ns; + ADO_TTL_i(15) <= '0'; + wait for 100 ns; + ADO_TTL_i(15) <= '1'; + wait for 100 ns; + ADO_TTL_i(15) <= '0'; + end loop; + end process DTU_EMULATION_LVL2; + -- wait on dtu_clk until ADO_TTL(2) = '0'and dtu_clk = '1'; + -- wait on dtu_clk until dtu_lvl1_tag - dtu_lvl2_tag > x"01"; + -- wait for 100 ns; + -- lvl2_trig <= '1'; + -- ADO_TTL(14 downto 11) <= x"9"; + -- wait for 100 ns; + -- lvl2_trig <= '0'; + -- wait for 100 ns; + -- ADO_TTL(15) <= '1'; + -- ADO_TTL(14 downto 11) <= dtu_lvl2_tag(3 downto 0); + -- wait for 100 ns; + -- ADO_TTL(15) <= '0'; + -- wait for 100 ns; + -- ADO_TTL(15) <= '1'; + -- ADO_TTL(14 downto 11) <= dtu_lvl2_tag(7 downto 4); + -- wait for 100 ns; + -- ADO_TTL(15) <= '0'; + -- wait for 100 ns; + -- ADO_TTL(15) <= '1'; + -- wait for 100 ns; + -- ADO_TTL(15) <= '0'; + -- end loop; + -- end process DTU_EMULATION_LVL2; + ----------------------------------------------------------------------------- + -- etrax + ----------------------------------------------------------------------------- + + etrax_intf : PROCESS + BEGIN + loop + wait on VIRT_CLK_i until FS_PB_i(16) = '1'; + + wait for 50 ns; + FS_PB_i(17) <= '1'; + wait for 50 ns; + FS_PB_i(17) <= '0'; + wait for 50 ns; + + end loop; + + wait; -- will wait forever + end process; + end cts_tb; diff --git a/trb_cts/etrax_interface.vhd b/trb_cts/etrax_interface.vhd index 5354c78..2794699 100755 --- a/trb_cts/etrax_interface.vhd +++ b/trb_cts/etrax_interface.vhd @@ -10,7 +10,7 @@ use UNISIM.VCOMPONENTS.all; -- provided for instantiating Xilinx primitive components. -entity etrax_interface is +entity fpga_etrax_interface is port ( CLK : in std_logic; RESET : in std_logic; @@ -68,8 +68,8 @@ entity etrax_interface is LVL2_VALID : in std_logic -- DEBUG_REGISTER_OO : out std_logic_vector(31 downto 0) ); -end etrax_interface; -architecture etrax_interface of etrax_interface is +end fpga_etrax_interface; +architecture fpga_etrax_interface of fpga_etrax_interface is component edge_to_pulse port ( clock : in std_logic; @@ -485,4 +485,4 @@ ETRAX_DATA_BUS_B(17) <= CLK when DATA_VALID = '1' else '0'; end if; end if; end process DATA_SOURCE_SELECT; -end etrax_interface; +end fpga_etrax_interface; diff --git a/trb_cts/fpga_to_etrax_data_flow.vhd b/trb_cts/fpga_to_etrax_data_flow.vhd index f7206df..7e230c4 100644 --- a/trb_cts/fpga_to_etrax_data_flow.vhd +++ b/trb_cts/fpga_to_etrax_data_flow.vhd @@ -26,6 +26,9 @@ entity fpga_to_etrax_data_flow is DATA_VALID : out std_logic; -- The DATA_OUT can be written ETRAX_IS_READY_TO_READ : in std_logic; LVL1_BUSY : out std_logic; + LVL2_START : in std_logic; + LVL2_CODE : in std_logic; + LVL2_TRB_ACK : out std_logic; CTS_ETRAX_REGISTER_00 : out std_logic_vector(31 downto 0); CTS_ETRAX_REGISTER_01 : out std_logic_vector(31 downto 0); CTS_ETRAX_REGISTER_02 : out std_logic_vector(31 downto 0); @@ -106,7 +109,7 @@ architecture fpga_to_etrax_data_flow of fpga_to_etrax_data_flow is (IDLE, SEND_LVL1_TRIGG_1, SEND_LVL1_TRIGG_2, SEND_LVL1_TRIGG_3, SEND_LVL1_TRIGG_4, WAIT_FOR_TOKEN, SAVE_ADD_DATA_1, SAVE_ADD_DATA_2, SAVE_ADD_DATA_3, SAVE_ADD_DATA_4, SEND_LVL2_TRIGG); signal LVL1_START_fsm_currentstate, LVL1_START_fsm_nextstate : LVL1_START_FSM; type LVL2_START_FSM is - (IDLE, READOUT_WORD1, READOUT_WORD2 ,SAVE_EVENT_SIZE ,SEND_DATA1); + (IDLE, READOUT_WORD1, READOUT_WORD2 ,SAVE_EVENT_SIZE ,SEND_DATA1, SEND_DATA2); signal LVL2_START_fsm_currentstate, LVL2_START_fsm_nextstate : LVL2_START_FSM; signal lvl1_busy_i : std_logic; signal lvl1_busy_ff : std_logic; @@ -147,6 +150,9 @@ architecture fpga_to_etrax_data_flow of fpga_to_etrax_data_flow is signal lvl1_busy_i_fsm : std_logic; signal lvl1_fifo_rd_en_fsm : std_logic; signal tdc_data_valid_i_fsm : std_logic; + signal lvl2_finished : std_logic; + signal lvl2_finished_pulse : std_logic; + begin @@ -350,7 +356,7 @@ begin -- LVL2 logic (only CLK domain) ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- - LVL2_START : process (CLK, RESET) + LVL2_START_PROC : process (CLK, RESET) begin if rising_edge(CLK) then if RESET = '1' then @@ -363,8 +369,8 @@ begin LVL2_START_fsm_currentstate <= LVL2_START_fsm_nextstate; end if; end if; - end process LVL2_START; - START_LVL2_FSM: process (LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, etrax_is_ready_to_read) + end process LVL2_START_PROC; + START_LVL2_FSM: process (LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, etrax_is_ready_to_read, LVL2_START) begin lvl2_debug (2 downto 0) <= "000"; lvl1_fifo_rd_en_fsm <= '0'; @@ -376,6 +382,7 @@ begin lvl1_fifo_rd_en_fsm <= '0'; tdc_data_valid_i_fsm <= '0'; if LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG then +-- if LVL2_START = '1' then LVL2_START_fsm_nextstate <= READOUT_WORD1; else LVL2_START_fsm_nextstate <= IDLE; @@ -399,11 +406,20 @@ begin lvl2_debug (2 downto 0) <= "101"; lvl1_fifo_rd_en_fsm <= ETRAX_IS_READY_TO_READ; tdc_data_valid_i_fsm <= '1'; - if how_many_words_in_event(15 downto 0) = x"0000" then - LVL2_START_fsm_nextstate <= IDLE; + if how_many_words_in_event(15 downto 0) = x"0002" then + LVL2_START_fsm_nextstate <= SEND_DATA2; else LVL2_START_fsm_nextstate <= SEND_DATA1; end if; + when SEND_DATA2 => + lvl2_debug (2 downto 0) <= "110"; + lvl1_fifo_rd_en_fsm <= '0'; + tdc_data_valid_i_fsm <= '1'; + if how_many_words_in_event(15 downto 0) = x"0000" then + LVL2_START_fsm_nextstate <= IDLE; + else + LVL2_START_fsm_nextstate <= SEND_DATA2; + end if; end case; end process START_LVL2_FSM; COUNT_DOWN_READOUT: process (CLK, RESET,how_many_words_in_event) @@ -446,6 +462,7 @@ begin end if; end if; end process LVL2_BUSY_SET; + LVL2_TRB_ACK <= lvl2_busy_end_pulse; LVL2_BUSY_START_PULSER : edge_to_pulse port map ( clock => CLK, @@ -476,41 +493,41 @@ begin ----------------------------------------------------------------------------- -- end writing to fifo when TDC_LVL1_BUSY and read all data and send finish signal ----------------------------------------------------------------------------- --- TEST_COUNTER_a : up_counter_8bit --- port map ( --- CLK => CLK, --- UP => tdc_start_i, --- CLR => RESET, --- QOUT => test_counter_0 --- ); --- TEST_COUNTER_b : up_counter_8bit --- port map ( --- CLK => CLK, --- UP => RECEIVED_TDC_TOKEN, --- CLR => RESET, --- QOUT => test_counter_1 --- ); --- TEST_COUNTER_c : up_counter_8bit --- port map ( --- CLK => CLK, --- UP => lvl2_busy_start_pulse,--lvl2_trigger_pulse, --- CLR => RESET, --- QOUT => test_counter_2 --- ); --- TEST_COUNTER_d : up_counter_8bit --- port map ( --- CLK => CLK, --- UP => lvl2_busy_end_pulse, --- CLR => RESET, --- QOUT => test_counter_3 --- ); +-- TEST_COUNTER_a : up_counter_8bit +-- port map ( +-- CLK => CLK, +-- UP => tdc_start_i, +-- CLR => RESET, +-- QOUT => test_counter_0 +-- ); +-- TEST_COUNTER_b : up_counter_8bit +-- port map ( +-- CLK => CLK, +-- UP => RECEIVED_TDC_TOKEN, +-- CLR => RESET, +-- QOUT => test_counter_1 +-- ); + TEST_COUNTER_c : up_counter_8bit + port map ( + CLK => CLK, + UP => lvl2_busy_start_pulse,--lvl2_trigger_pulse, + CLR => RESET, + QOUT => test_counter_2 + ); + TEST_COUNTER_d : up_counter_8bit + port map ( + CLK => CLK, + UP => lvl2_busy_end_pulse, + CLR => RESET, + QOUT => test_counter_3 + ); REGITERING_SIGNALS: process (CLK, RESET) begin if rising_edge(CLK) then -- rising clock edge if RESET = '1' then LVL1_BUSY <= '0'; else - LVL1_BUSY <= lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i; --here + LVL1_BUSY <= lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i; --here end if; end if; end process REGITERING_SIGNALS; diff --git a/trb_cts/vulom_interface.vhd b/trb_cts/vulom_interface.vhd index 631da14..736609a 100644 --- a/trb_cts/vulom_interface.vhd +++ b/trb_cts/vulom_interface.vhd @@ -62,7 +62,7 @@ architecture vulom_interface of vulom_interface is signal trigger_code : std_logic_vector(3 downto 0); signal trigger_tag : std_logic_vector(15 downto 0); signal api_ready_pulse : std_logic; - signal vulom_interface_debug : std_logic_vector(3 downto 0); + signal vulom_interface_debug : std_logic_vector(7 downto 0); signal trigger_pulse : std_logic; signal trigger_error : std_logic; signal trigger_counter : std_logic_vector(15 downto 0); @@ -107,6 +107,7 @@ begin begin case (CHECK_current) is when IDLE => + vulom_interface_debug(7 downto 4) <=x"1"; seq_ok <= '0'; ack <= '0'; err <= '0'; @@ -118,6 +119,7 @@ begin end if; end if; when CHECK_1 => + vulom_interface_debug(7 downto 4) <=x"2"; seq_ok <= '0'; ack <= '0'; err <= '0'; @@ -129,6 +131,7 @@ begin end if; end if; when CHECK_2 => + vulom_interface_debug(7 downto 4) <=x"3"; seq_ok <= '0'; ack <= '0'; err <= '0'; @@ -140,6 +143,7 @@ begin end if; end if; when CHECK_3 => + vulom_interface_debug(7 downto 4) <=x"4"; seq_ok <= '0'; ack <= '0'; err <= '0'; @@ -151,21 +155,24 @@ begin end if; end if; when WAIT_FOR_END => + vulom_interface_debug(7 downto 4) <=x"5"; seq_ok <= '1'; ack <= '0'; if data_clock_counter = 161 then CHECK_next <= CHECK_SUM; end if; when CHECK_SUM => + vulom_interface_debug(7 downto 4) <=x"6"; seq_ok <= '0'; ack <= '0'; err <= '0'; - if check_sum_ok = '0' then - CHECK_next <= SEND_ERROR; - else +-- if check_sum_ok = '0' then +-- CHECK_next <= SEND_ERROR; +-- else CHECK_next <= SEND_ACK_1; - end if; +-- end if; when SEND_ACK_1 => + vulom_interface_debug(7 downto 4) <=x"7"; seq_ok <= '0'; ack <= '0'; err <= '0'; @@ -175,6 +182,7 @@ begin CHECK_next <= SEND_ACK_1; end if; when SEND_ACK_2 => + vulom_interface_debug(7 downto 4) <=x"8"; seq_ok <= '0'; ack <= '1'; err <= '0'; @@ -184,6 +192,7 @@ begin CHECK_next <= SEND_ACK_2; end if; when SEND_ERROR => + vulom_interface_debug(7 downto 4) <=x"9"; seq_ok <= '0'; ack <= '0'; err <= '1'; @@ -193,6 +202,7 @@ begin CHECK_next <= SEND_ERROR; end if; when others => + vulom_interface_debug(7 downto 4) <=x"a"; CHECK_next <= IDLE; end case; end process CHECK_BEG_SEQUENCE_FSM; @@ -320,7 +330,7 @@ begin begin case (VULOM_TO_API_current) is when IDLE => - vulom_interface_debug <= x"1"; + vulom_interface_debug(3 downto 0) <= x"1"; busy_i <= '0'; API_SEND <= '0'; if fast_trigg = '1' then @@ -330,28 +340,28 @@ begin end if; -- and INTERFACE_CONTROL(0) = '1' additional state for starting when SEND_TRIGGER_a => - vulom_interface_debug <= x"2"; + vulom_interface_debug(3 downto 0) <= x"2"; busy_i <= '1'; API_SEND <= '0'; VULOM_TO_API_next <= SEND_TRIGGER_b; when SEND_TRIGGER_b => - vulom_interface_debug <= x"3"; + vulom_interface_debug(3 downto 0) <= x"3"; busy_i <= '1'; API_SEND <= '1'; --api -- if API_SEQNR_OUT /= trigger_tag then --cts only - if trigger_counter /= trigger_tag then - VULOM_TO_API_next <= TRIGGER_MISSMATCH; - else +-- if trigger_counter /= trigger_tag then +-- VULOM_TO_API_next <= TRIGGER_MISSMATCH; +-- else VULOM_TO_API_next <= WAIT_FOR_END_BUSY; - end if; +-- end if; when WAIT_FOR_END_BUSY => - vulom_interface_debug <= x"6"; + vulom_interface_debug(3 downto 0) <= x"6"; busy_i <= '1'; API_SEND <= '0'; --api --- if api_ready_pulse = '1' then +-- if api_ready_pulse = '1' then --just cts if not_transfer_busy_pulse = '1' then VULOM_TO_API_next <= IDLE; @@ -362,23 +372,31 @@ begin -- else -- VULOM_TO_API_next <= WAIT_FOR_END_BUSY; -- end if; +-- when WAIT_FOR_CTS_END_TRANSFER --when other systems are faster then +-- cts . . +-- if not_transfer_busy_pulse = '1' then +-- VULOM_TO_API_next <= IDLE; +-- else +-- VULOM_TO_API_next <= WAIT_FOR_CTS_END_TRANSFER; +-- end if; + when TRIGGER_MISSMATCH => - vulom_interface_debug <= x"7"; + vulom_interface_debug(3 downto 0) <= x"7"; busy_i <= '1'; API_SEND <= '0'; VULOM_TO_API_next <= TRIGGER_MISSMATCH; when others => - vulom_interface_debug <= x"8"; + vulom_interface_debug(3 downto 0) <= x"8"; busy_i <= '0'; API_SEND <= '0'; VULOM_TO_API_next <= IDLE; end case; end process VULOM_TO_API_FSM; --- BUSY <=(busy_i or LVL1_TRANSFER_BUSY); +-- BUSY <=(busy_i or LVL1_TRANSFER_BUSY);6e1b17 BUSY <= ack; - VULOM_INT_REG(3 downto 0) <= vulom_interface_debug; - VULOM_INT_REG(7 downto 4) <= trigger_code; + VULOM_INT_REG(3 downto 0) <= vulom_interface_debug(3 downto 0); + VULOM_INT_REG(7 downto 4) <= vulom_interface_debug(7 downto 4); VULOM_INT_REG(15 downto 8) <= trigger_tag(7 downto 0); VULOM_INT_REG(23 downto 16) <= trigger_counter(7 downto 0); VULOM_INT_REG(31 downto 24) <= data_clock_counter(7 downto 0); diff --git a/trb_v2b_fpga.stapl b/trb_v2b_fpga.stapl index f5c40ea..7eb7538 100644 --- a/trb_v2b_fpga.stapl +++ b/trb_v2b_fpga.stapl @@ -1,5 +1,5 @@ NOTE "CREATOR" "Xilinx iMPACT Software"; -NOTE "DATE" "2008/01/07"; +NOTE "DATE" "2008/07/19"; NOTE "STAPL_VERSION" "JESD71"; NOTE "ALG_VERSION" "1"; NOTE "DEVICE" "UNSPECIFIED"; @@ -1258,2136 +1258,1856 @@ IF (!X) THEN GOTO F; DRSCAN 12259744, @q8s50000000@@l@@lAPggP8000003080010000E20000G000006081020GPrfC0e004GM9Go 1D00GI00000C0m00400060C0W2040006080WqC82421YW09G05G03m@3W@dV@F@x@yp@pV@F __@uV@7Vy@ud@dUz@ql@dU_@qt@7U@@m@_Fym@3VCX88P0000GYa6a3G280G0YbC10081000 -0m0020050ro600000W4088204210YW009G090@10iAWJ0400QAG24000t5a3000K_100r7y0 -000YC4YQN1U00O0cpW400806Rm904005Gm3Go5W19S2800m@3U4@G2nGm0400W8Bm0000131 -0W39CuIYAMVGhI9IOMY7IEG200L1V8So612LkW40400cNuXCCgWhs3mL6LycXM40006he500 -0K6400IUuj1LW10WHyG3jCqIHlX030G5WCEg8bXAUJ1FC000d7Gog8FSCo3bgG2400W51suD -cD00uddV3LprWHFC6ybJ2xXS2W41WOPa00G0000YW3I89b63gfXaqUyuVdD000mvC00evaPE -z1cLXu10Gxxw1d4IX7z69qfJR0EE186ePQ2gYBYivjfG000mGK00eYfzIAWqYRWyHgAoMY4G -WE0BXfrQDXif590008C600an3O000942Y8anc40002X400000WG400GLRR008U8ojb004HqE -W19N0F00OLs200DmP6030WA0I0000e00CWY0mOOF9o2GYG1C8L06Y6OZe0getjA480004Y41 -100W09G440IW2a0GG400K6O20G420IW0C8O504d0p2u1000008G202HWaAG22a00Y6OZe0U0 -0vG00m14S200007E0000872u00WmfS800u8I200004aW0000W4H220HI0I41GG0XJ00000W3 -000000011G49000D7A1000I729H08806Gy1GI402Ia4I5814n10T2OGq0I45G5lmyVBE@Fub -D7SQ0002vNuUxDHFc1j2mcE01UDJiclbQQ5tPQmVcHbe93TDg200@qiHVRtK6UVu4GS40xU5 -LtiE1000dP00mnaH5wHHnwc00WPiL20xtLX0j00y8xU5dTxToI00OKF6k@Vnx@ozOxl7I01o -0CLu@XVM400Xrm7@@xo@x_y@muP8A@J000G0700eK@w00O0y0m1Bj_VQyNT_l4004gNN7lSp -L1CK2mQl@7_7Cp_N10Wecy0heNWYoz73A000@ppG3zX02f0u@@t0003Sdz3zAwHI1WbzGH00 -Ywx@kYi7wgMRf100mZK00u@@M000HiiUEBsR30WNZ8Hp1Y00GQxc1Sc1ue@P0G0HSAFRy000 -Utscd2I30GupU@m0041Ow13AmScG@j1ui1G4yv0440OeVpuD00yENEFVkK6EE14000000400 -404101HmR68i6WwTpPZ6p000H5InC000W00400000CXyAIstU0030@@33C000Y0S10041wIE -jhic100iBAe00KTN0Fd@Vx@C0840OLPgIAWq@@@@@@@VW_@6i@V1y@JG@@3u@l0@@@@@@@@@ -@R@@Ro@@@@@@@@@R47U8W005@J2eR1Wgp@4000jP00W@@VxMLO00mpcilSrVdGs3d0ws1OP@ -@dH@@Ou@@5@@@@@@ad@@uw@B__@Xp@Fuz@ptIbths0000g010WG@Hg1iDYjAX@mc1000InMK -z@lJWDS0k5ugSAskzC900OF73t@pyp3040WOFI0V00GW_@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@V20003E00GVoya8DFJDV20W@YyZtxejJ0uh0KyyF@@RVKOC00000007_@@U0000w@@ -01x50y@F300G0_@dmInP0000Xt10Wt2lw@Va00SPcz0d000800000Mf1u@@F100noU00eUz@ -dd@@uzL4800000Lg@@dyUM6040000T0u@@9pj9XgSD8fW9@@d100300020@@@@o742809200 -0Mi100@@@Vxq@0OY000000Ov4W@@@@@Vr1Q@0CTzd000OrB00a1t@XXxz@@9000WsC00GOu@ -lE@@fx@@px@tS@@B@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fl@Vpz@ly@@pZ@Vyw@@E@@jx -@@@@@@@@@ll@VxzUtgDC000eDF00y@@@@@N1100WP@R1mp1m@@@76_@XX@@K_@@@@@vq@@D@ -@@@@@@@@@@@@@@@@@@3FG02000000080623c@@J00001S00W@@@@@@@@@t90080000080W0a -QdD00y3QA8j@@h00G000W0WT0s00000m06W85630W00080m@@h0G00mz76anc4r0O0000G73 -00@@@@@@90008CKnG6h03WBC0@@@9008Ms300rUOMD6Oy@V800Whl9qiU2V8AZ42Ca4mN30@ -@F60m000e00HGQ30004L200@@R6O00Wr2Oe35C_@N200Jj@@d6WK2Wc7me_19_@d1mh50VPc -MOc6qcf4LQw1000SQ000@@F6620800WWA000ExjY7Vz00mBzrLcX2W0e2N3000G00G0e7t7I -1uX@@V0uh2mQhZX2D070iR2X004000802080000WK00u@V300800000A5W7Yuw1e100@@@@@ -@60W3000U300O2S0m4m800Wpcz1C100f0ym@@F00r0u@@@@@F1W100bTd30WzX@@vfe33ghU -30W00G80000A0C5U22000UzmW2aD82P3cw2ZdnC0qn3mbNO00010WG00004W9oCubC30000U -xb1n0aG306iLc1rBBHXv6q163vWLncOIKsj10020000mwC00eKCC010028000400OlOC0080 -f7W46LBXKoCe7Q900010004eQz48000i5U2zhRG2xa00eLR3Up0001S2F31nd002004G00LD -bGkP600W0gqC60Y_0qvK5D1aGjrE1008000WOK4C0000C_Q3MPpW3vOe4x4q800KBt6jwlKJ -u6004000a00G00futI000Xm@@601W0e@g7g5t000nGt85oy@8z@V508008W00azW7WXU0oUO -iApd9PV6aB0043t@drRp@@F0QN0u@@@NYYXGAo10GS@@@ZDN79N@l1802WWzCBg0U0A00y@@ -@9jo0008Y@@j1qM1GQ6cbF2FS200_@VCi0000810Q8zX@@F10GFQEBBLr2F@@V8P00000o0@ -@F3I00W@@@@@VH10204Vg4PecGrGd0000t700GT1orXKB00_BsMhhut8v@@G0120y@lV@kHo -CjICQ@@HAyVziHrOv6@@B10WWcmm@@T_@Rt7C0010Zxr28e7W@@hx1JL000GdXJNlXNnUOm0 -00O_@@tofS6GlB0BI@spGm000Wsw00GXTlb3_6X@N10Wk_t7VB7SF6@l208E0ZX@@v@Fa3E9 -d@B10Wmdur@F8ENh797000000MNk38dT_J3er2GDn@4zUBxMrI2w9OJ00eP@@dGk8GF30BI@ -Mvrm0000si00GHp@FKz@1T@@Fv@tp_@xq@VE@@V1@@Lu@@@@@7c_@Vn@VN_@@@@@fg@@fy@N -g@@Ju@@@@@VZ@@@@@@gs@dA_@dg@Vfy@Fg@@Hu@VLuS_@l100uU_@djPud10000ex3WTu@F7 -@@@Y@@ky@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@t0001mVmC0G00e103_@@XQ0qu@V9G200y@VH000G00G0aJm3@@R0a008000 -00200000Ga001h5m00100G080@@R0010G0004j6Omg1C02Y1eE03o2m0G000z1a000000210 -12m0840WJ4Ue803o38Xa2g0010m@@9iL0314O0000e@1C8f0300G00G00OJ06000GB200801 -300G02000wQW400W0000We6X424m08000N4y0801000000088000Wy@V5020008002800uxX -7Q78X@@39f03_emfu1Iu_16YWm0002G@@F3GR5WB38v@VC0W00cZ13ZKO0080WjCCeM236p8 -X6AO8509srWXZDO0000dU14WjDy8e26cvGYrEgG0000000e_7C0001mb6900W0w@V3020WS_ -m3NHOG306y6n300e2suWXL9CO926Uc8Xl9a00W0000W002000100w59Xi7y8j1R0OL0az0Fj -58nd0Bz@l1000OLE00y@VEviy0044W@@f20nQ@@@KjLWJ00C0_@t90004X@RJs@O000WNp00 -m@@y4IW4080000X0Kt@I00Ak@@d7040032nm@@6WA00e@c4_@l8Wh30fPW4000nP5I00A1Gs -3BPQ00u@@V0W20q0W1pFOm656W000u@Va004zlHnF41000000G404v673000W0X800000W20 -0W@@H2uX0m@@@qOb10W20@i9XbcO8aYYyA00ClbGW000stA1Ke1u0WK2scP900gq@@p32b0O -0001000Wx0m0Q000JKiq@@Q1W3000U30000y@@N2K00m@@v0WH000I20000a60Cm800m@@es -h333NnG5HOy@V80fL0_@dddPaOG7R2Dp3@300@@B4020010G0L2fowGj00WVv@@kc2ZXIuUu -@VL0z60y@lG00010010G040u@Vg000WhF00O2CLcHq02A00Twb0014000000011EZoZX0Vu@ -@M008KzMcD00W0gio0I0080040088W000G010Wm@@K1oU1ulCO00W4ieN200mOcko0G410Ti -5IoLvWI00OzDL_@t04080000G2OA1020W00420000G040eY4R_@t300FD@@tIZL6y4s3pTo0 -0G0WYMQv@VI40G0qgsCr2oGBc68000uQj4000Ky@lJK300MKebrJD000080G0W14b0220m@@ -H108y@@@J0G0W0000000GKc8Cah633eQGRc9y@@I0U40_@@400G400G000YGy@l1W0W04200 -a8x3080000040004v@@b000mu8008IlJ04000141ujp400002140000W80042000200080e0 -00W8G0080GwZE100JgqWMeWI00W400GA100001c1Z20400088AG90G0Y141b0000W0YBnPG7 -NE1cD0u@@Je00Y0I00010e0251240000G00000Q00Hq000G004m0W0A10G108W10KNe1F0k4 -000Us200@@J200m30A20W2e0CX8004022GC180820094W0Z0000220g0A20324a008412OFd -Mn@@y00uly@@JQIE100W0G4804090aze1@5R0008H00G280W06Wq089Y0H5i4er1W@@F1D10 -208j101W820W8040qA00Y0fDGh449WK0UG300m@@eY900000Jm@@5108hw@@J0WH000dZa0o -0E1y64383uEAIGCGa2ue85WtHAGA0C8I10u@@e0OT0y@@92F0c1S00uVy1m@Oc9cnCJ11W@2 -Yvg44ymB8uX7Lm3VA09WP00e2EX2Dx1E000@@RM3qv00mKEqqPE0dXaHMw@VC0Qk446lPlIb -J0fvKKV2bM5r@@I00mQT5wG0002G0000008Gox60GG40000004G800W00G4408020000082W -820002800TXH50J7W@@FX00W04LX10004GG64_@t01300000C021000GG08800400WK0CeIw -eWB00S8y9001W400000W10A0000000010H0000W0mGarl12a006FAgk@D00Wp@O8X0008OhE -3GW0Ke000002H820000G0100G10090G00600001X8W008008W000i00CoTKW8H0cuVZPmCeg -C300000W0Y0W00GLcIqG1LXXp0k00W34310Y4GirCymi100X0wLq00020G0080W00a7jJp@d -00WWc@@31H00000W80800HWRGF_6000G0400Gor9C4MKp@p0uV7WEzEvrO3I_tWa9J000800 -00Y3pD00G0mGwH5_e1S4002f840200810000H088Y0002YmRq6801208000G00000Y040X00 -W00qZ9FfPaJY_6GK00W880042000005W0G4srF101002000G000020000020000K0W40DxJ5 -834W@@t009000K200200K20b00G080GKYI00f40mCA10W00Hp0WI0004X40Af40Y0HH4hI00 -00I1mWaKZYl00GzdRWG00010e108a00GW20YGC101400H84X0G00W0060008G10OW80W0200 -e60A0800A0W19IJ5007q@@t004040080IG02Y0W90dJ8000GG0831G14040GG02nWG18eo8E -W0We80GG4K021704O0uO108WW1Owwe06P0y@@6W08000028C000244000W2002W0G110W8W1 -98I18W40G04004X001I08W00G00GW09020GaaBkZ20008j10WzbtG000010WQ10GCG_5g5W0 -10vN4006400Y1H0GV02820z2z1mW00qB8203280HW80f0OGOuH10mDu@@D00yW20000zL500 -0000iR00eR0000OYPc100@30@N00_l802VH0y@Ym3UmLbgKL5c1mVB2UuMaP0MDFAGY40@@l -11i600u7OE8ImTKa2uW85WrHA0@0x0U3_Hy3y349u78o3EGa7uj8FmFWVWt0@0@I1m07000v -775210WAQt0y000LnCJ10W@2Irm54pCB8uX7u0yFCpaPKLLGeggWmSL1HLL32ymJ5LL5pK0I -OsSKJ56f@@D0q12GP@vSJU2Z7TLN@irupF00wW_@ddGSJ8vgk09F0iUSB7jomUzCqmDL9Id0 -Z00WGy395V30008004G022WG406qhl10K0000080100000W04G0Wxrf20WfTOumW1008Hu4G -00001000008mbq6y27L00000180y@l7xbRmWv6G0040140020G008W0dcRmX_6yRk18G02wz -0u030EWX@@du@_D_VVa@pNv@xP_lUd@d7w@ub_@Tg@Rtw@rn_FaoORrt00080Vtd001000A0 -0dyJo8@64bl100s@@@NB0F00D0O0060W@@Ju2W400000040_@V9I1G20K90Wl2cI3rI00m72 -c00000WII0WFfWCJ_SFG000ZjRml@d0q70ujS6240cs@RPiV3_mtWa2Qnp00GhxC0400ucyh -oWqRW990hhlHR490W00G0000GG80G8000G001010080GuAr700G200508WKge200S9n90404 -0000004G10O0000018400lzQ0410GXW04G10a0200KP1L00CiYKW400O0080G00H0800900K -0020W00G40RfQ020W80GY0808A00X0100OPPVg07O0aqRB00010W00Vsw3jtO000aWOYlYX0 -0mU@X88020000WG00W0GI0000100GaVeJG000000W804C00W80IsFA045ULqw1000nP@DG40 -0G11F0011eKV9G080iiVK08G0omr300WWfmKnms6ChI2lNdGRlH100Wab00GIqXS_j1lVBHU -qTb@V200UucxV304080000410W200020044008gc@J0082000400840n@d00820X020G240M -sFA02E0pzl1090008200G00Ga0H0011uSR60400e400e4T3ols000WW0001AQcAB100rzl18 -10WO@DG2A00000H1eWI00m040fA1081GJ100WW400W80KG10GG008150000G4I0GfazZ20Wl -TS@a0021000W0HC000G00q04480100040020G000006000000WW90420500008OrrK1mT0e7 -VF00WX00G00W000GG00010008Y80O182u00ORT34H00000044e000080Wi0C0001g_WX@@Ho -e00G@yXifl1000WG000008400800800agHI0028GGy60020eVTgAd8X@@t000K321019GxD0 -70Ir0G00S0840210wb00Wmk98y6W100D00006042010WOtG98Ow50_@FAGW00@@x10q70000 -5eF05_@V30mAh0000W1_1Kj@Li100Mrt3w0G000qH000d100y60083m1mRWC2J0@C00CH00W -H000I200a140e986nFGD20WxC008R@e004Gy@@6006_10000Cy38zT@0kn0izMH95qbC10Wr -e2PCe4Q_@10W00D_mmg7K100000G0OU@@qXe4@@750_4W@@zOSh4kFHYOaIe9f7_@t9000CA -7002rh2000420006lqWkjCO2E300W0KCf104108002iRf101W1001000G4eP6g00uS_Wp6hb -P0010WR1DG0eWGfA6010G42W01K1WWr1D008004W000W0000GK24aAGiA0xCk1060W34J000 -C0A000000m0W110090000W20300000200010080W40400000GI1GOFH100WcK00GGaL0000i -Xh40200U7M2ZdQ004020N00xmP000G0080100020084y@FL00_E@@FahtIG0000010010G0z -@PGWP6000OgLC6s3ZAX470Zc520W0000W80000400YG40Wubp4W100Cjc1000OYbrWRFe200 -0PYBX0000W000u6SC00W10G0000G0eyQDOhJ3W0020000KG10m@@H100Pv@@D0W80C6Q2V@n -Guf6000GizK300W0G0008YF3EkYA0u70@@J288G401008G0000G0100W004000080G100rdQ -02000W40000000X0C4KCLM600_oO3800099Q0W00008022aOYWWW0G8Y00802000e0g08000 -W2m40G10I90045000008180@@7500tx@@t000O600G90G800gm4e4000W8YW2A008H40cf00 -0000414A1000K4Ge45000829YK2000G0HKGALW3U0khp30G00K002W0040W000e000041060 -000G4505XW202K0440A0800Y4Y10m020W2001G18oLga10043t680000002Ya00440P4G410 -040X2410K0W10O2WH145WWG20W0080BuWL410Z80B25441W00e@@Z20mLSdsU0008028G0GG -e01008000G084802000208W01000G20IWG0QDs008G0e0W0G208y@FLWGK0sXo30800eU204 -3olWm04m0Cq000G010G4400Y500nWfN8M0240cUH008I0086W00j10W8OL300GScH5vw6000 -c1C0000y3N000000WR18ku2W1_H4CyZ2w18vC30GS1GSn10000NWSdy@lD00yo_@V300Wr00 -0@0s900yZYa03491qCI2e3e4GJGDWVWQW81F5W4u7e2mRmFYEWVa81T0HA00@@750p0W@@z0 -0@3000WAL5002F8cnSG0uVm1m@KL5cnCJ11W@2YvC54ymB8cP6cggA0yNLW7UG0m@WeA00y@ -lGPdo0000M81009XFs@@y00e2u@VL00088002ujC9ExrWFpguygY0xg0y@lAdJUL0fX48HB0 -G00G004KxR5vu6rVy900G5xS_G2xyXdmJ0000Lsx90440u3R30820CsVKWA406r0Zihn8a03 -0008@8E324G0gl@A000Kf2zmTzF0G00Owl70m0Gyik100O00C40KnL20200000Gq4oIr@d00 -0Tsq@pPCS300G0W0000031mcSFyq@IWiK0ADN50440ncR00200a010882000100200G01020 -000410800W04100y@lJ00WGL4004jXAdrR0400WtrD0000okd900Y00100GrdK10uUhk@MkN -d108008000000H82000005GDt6isBLWeB0kxNbfuIexV3020Wi5l100W0wutWPFe2000kM00 -WfVK12Y0mav6G800000G4002101G40000002WW4000003GhhK100eBlVL80021000wQT30mW -01W0821m8004H4Y8WGW000419101000NY0Gp_H10M18APLsqp01080K0000010qLe1Y00500 -010W4K00001030aehfoF00mN6g002000012800000G00A10G008LOl1W240000000WW8HS3U -st900@7nztI7y6W00240G000G0m0_D0W1600W000480G800a020ykVK0V50ky@40005t@R00 -00G0100A00G_@t002041dR00014W0087xlqIv600009YhJ_Sr0C9Y000O0008200Yb00Wm4J -8K2030380002ap04B300X9JIsFA000FnzV20uE0mmF0000YWBk00000qv1000000u70YsD0W -zCO0RUCmF503YNdA0s90FSr2c040Q2CHO2qH23t000Wc00040A0Q2G0u2eZ00WB2WmmfgSUa -0mh0000mIM10Qku_boQHlyRGzp6SYr9WI90w3xXKngeFS66jMYiuCG001msrX0080OCV3_7t -WHq91000SGZ9i4V5xVro1xN100FeVhP6E_XiuCe7EIQefYM0iOwV60300CMFCLmPGTz90000 -1010W008WjmHgvv700Kady@CZRJLsWR0sN1eclP0C000800SCnPUmkYTiz0000lz00WRTA22 -0WGMFBD@F300_ihname@zGeM3GV@@CSj15XsLsiRawl4810000000WW08509UCLB000NxZgI -Bu9aUk4nHjKw@I0iY08FHLgitWbwP00400100WrwfwzV6e900itlA440YsL@1W0Ge4100AJf -hi7K9u@A00G02080gKH6IsdAmNE0tzp3W8000G002000gdHB000WS0002WTc@PP8BE3C000K -KyL00GvpCkeFVx20l1miOva5N2BrIIOuyapKB2G1z1000W2w1exV30mv1G4t1e1tho@F100N -iny1pClFa5d100OYoM3uuzv1000gu10Wx_kQ4wAsH6Z3gPOfw700mP5iMBjWNHGAa4zgAbVB -1Wd2WTjJO0QU2MNeTrn0000gT00WFqo9sjJQt@700Fxh7uKc2@CCV5W7E0gbxdu@PW008GeP -K1V00eMEUIu@XaG_9vWA00uaCWdGzjmV42@@0n@DOy@28@dWo@7myV1E@F0q@vIVL1sC00u4 -O3kno3W700000aB0gxVck@F9jS3IUrcmpJ00005d00WW4OhfwP00iGM4VTLNt20@1Wc9Ohq@ -7MtcX2gh00G00000Gb100hpLKRxgiNU5Ps@GPuC000FQAzegkVfKbD0aT1G@sJ65AdjpR000 -2W6oP00WISkfG_2i40v10_yx@gvd1000utyF00Gs8Y8H1Aq0Stu@rL_@Sd@7Nw@JRR6020Wj -_b00001S00WglRCIP9008ZcHEO0001YZ@7W530PKBKst6CoT8lXRmsKm000WsZ00GOug4FU2 -VN@mXz68000uo_GY2mWG_R1005G7Usar_Ox@d0uZ1W5@L1030GgvR00208tSLM6N5000Cu50 -02NyJ00Q6pWyp_QvKfF31ux1ua6W6AiC7U6S300qF@IJY@VZw@l8@@9w@@@@@@@@@1DVx@@C -0au1O2d@@@@@cczBOS60001000WL800GdvrTHS8p8R0800000mDL6GIdwLG010OeP66YMYOn -zuqvG0G00000021000e01WkkzO7DC00G8C7z31N1JDwOKc_3000uPB00SWuL3otIcx9y9l40 -0alUp_aivg040G000G0000WDuRmtrXSP86BTdGht6iJv30550c1N8001G00W00GG0K7U8jxZ -10G0WKyDeKS3Y5d10008s400E0d74000800003000000010Gm6_2z@V2H_d000bzrDRPEz7o -IF1I0004008wD760G00410000026zl7WEI0IzN800cW5qR0200WzwEPPJIw@t000GS1sNa4G -4WI4CuaTg00yB@@tI0030UZdAml00LVZ4K41O0P20@ghY0000400Y0000M0eWh@t0ql3GP_2 -10141008Y2H0c3CCOhVd000WGL00urVXWJW000011n0mZ0HWnHzRX200m@@X00GCx@VX000a -XG000G0001000Gf40@@V2HG0WHLF1yT1Gio51008e573_PL5G4001@V2E10W@@5Y10000W08 -4001n3eo@@g000yu@VX000G21000AY48G801a880hMh2W08XY@91u53GR@810u2000GeL00W -iSZ2o00m@@21_w100ghlC3WV@7mxPz23000aoIy8n5am500G503200Ls@@j000ktV00Gu4X0 -_W1u@VX0038000K50020HC30A200@@F3001e60C8aYA4E00SFIHPkpGmx6axj4XYR00G0Wum -Du1U6IXtWQuJ0002G@u900WTgDuM00400W00gU_A6Ft00W00008G28lYHoVeDEF0800yNU2W -jC0gD664010xQpJ_vLask1rjN1000myQE9awD0002acs3v5w40WtePuh8AU9Egt04011FopG -cv6002G0102GM@Q1Ah0Ok_AcBnWy_s00W0Ohr900G000800G0GWczo9f0Fu8004uV50200cU -dXBtD8YV6cIqWO_D0Y40O5t5bBV500UFtPlY8wn010G0000Y306eiU3QMt00G00lmPmy_2jW -d1Hk@0uz2WB9_feJ32eCXRsNQCU3000XHU00u4_SsYF1069050QGyZyizF600kh7kCdPxV01 -K0002WuzKmO_UU0UE0CtlATzZHRz60010vW@VslJ204000008q00GydlAzmAHPi608K100o0 -WH9Y80G0G@@ppggF02000W0000W0wQQtu@V30001_NB6r0O000W020002W40000AB020u@@V -000A4rQ2LmQ08Z500000010WA3mZZTJORk7I1m00W81000W000D00008NdMAUUZ@OJ0000jP -10W8M@10000304WJQD00AGm2r@iDi1LQQGpj600e3Uew4EsjYYYDG180G1l9q1W1@@R00W3W -4ZD080W00Y002082@@@320GWAUb0Kg1G1nXCth100G0_xL2001080010Wb000000G90210G0 -K000HUd308G303008000020000W00400008500000c720000W6LR5000Wd5s0I0000W0281m -0aCy30W09I1810400WB0800Yhy@VK000M@@N8000s_700WA0KA0r7N0g2m@@v00060008900 -00W00J00000C10y@l1WVM22JtWp4Cu@@7A3m0300012mGg0I0408200m50120WA60@@75000 -SF000@@N40_70000zwEW_@@FA00f1BU@01W0W0zZgPV6cmLb@@J0qD3GP@FG000O4z40004E -@T5r_Rm7uC000W00O00900YLYiPLAF8E00y@F300WWMRdXTfyOtz72hnZJ18v@@A00SX5bk7 -PgRGDUOqVE301WGQDlbcsJuBeG0Om0MiU5bmRmYQ90010G000000800G000W02000W000100 -08G3z6W0080A40mD_68002OMU96cdX41DuSU9IeNYptD0000kJ10W7_b0800mGy9yIl10800 -002800020000801101W00Zmd00G0002203UhIq@6qTl49qBnT_600OWlgU92ytWcsV018001 -0001000000X0800040WepV60OG2SOV23@pGt@9q_V2xidGH@9S3L8WoA86slY_@DW020mux6 -q_k1400080100408O603Imr000W08082_H0ZehBA2uDwcFX2zzenyMAzV6044DDpAqGy6ife -M0ZT0oxt30005xwl10G0Wm2Je_aPYXxaioC8hlYUfyA00EAV@7ITdCiul400040GW00W808I -1j0fc04Xl7VhQGm@OCQt3VFG5000Y4oL9RkJQsFA00@_rzBK9U6KxXMWWA0_@d7040400080 -20008010040W080W0DYAgG3g6xa@xh00W0000X0000WWW00040GKy1L00gzc24ZdAF9Ku404 -0m00000e00GzwHLLf4@@pW7_7WrUCux6mcktZCSyuaQ3orVZs3DeME3G400qNFL00UlIsd72 -0m3p4KnKo64GJE12y00q7WawR9WW70a08C@F6080018202000itU30840COg100I000W0028 -1O_U3010W4Bk1040274F1000W0400X000808000002C100020W0010800G00000002vGGC0G -01egK3Q@c1300008G0010GqMU2fOP0200m7pP0002100400010FRdmpv60G000W00quv9008 -0i0T3kHdX_2C8LS300ypdtL2leR0016WnqJu6T6A8F10082fgRGAv6G000OKT300W1SjE300 -022Rc10010zSom4r9CRE3W040otd10400zQR0ei2W43aOhT60000ru_312R0001WodbO5U3M -Ep00000G010W020qVw3G000W001i5V2PeR00O000Wm000000G15kU_3000uf8000W04CM_40 -000204H0000W0200040004009800yjc140000G1G00011080040e0000200W20G0080089bR -340W800004W20m@@60010H000nru94Ql12G00_AWXxxDO9B6g9tWKgD8vU300qSUeT2NvdW0 -0WWeVJ0m0084000808200000I04000G0WWW00W0200a0W8G2W00uKDW108240YWH0Y0X00I0 -obz98W000001m1@900G00008G8@6qoF3j5O04000300000W1C02080008kV60J800002uUU3 -2oq0G00308000021000200K0A0fI000W02Y000010I0460W40AG800W040040G0222W1f200 -A00IO0008002880wrtWj@J04C0moT9a6V2G001kGt000W00201QzCXl1V0400I4@9uE008Yo -70048Srl4lqd0000G0W00G0W1W0054zV2000I00WWG0OO0004pIyH108JxrwG0400W000004 -80a001X4W8000aR@F10O0mrmv40G400820l@@W1h5WVmt8xT6000801101W00G7XC020WG02 -0GZ16qi@IvZPGx@9CLq9V@cW000WOxP0024H@Y94jtL00iMJu_4020G1uBnHC6001000WGq@ -@510H08x190Pl0CBk70002000Y0000Y00I1GA040G0000LIYrt000G4a200m410K8n35sB40 -0We40PWX00GYLUidl1G00GWG8200D81Y00GjzC86C00Y0W800OgO0OuhFd00WHTLh7Ryd000 -8049200I90_bc108202105E2ddUlme_V60O90S0g7r_p000YWe@PujU30C04a@FI08000500 -ial4PAo0000XbJz0300600005040tWO002000W00zoO0E00W@@5I000HmbI008A9Hb7YLfY@ -@J0W01GXxC800040400W40WIadfIPCYyFXguJ0K70m1@949E31Dz00840K304WWGJ_@F1CG0 -0081AX240Wm600000G8NRiklA0eg0mCY0000uEHw7mC00y@F6000n9E0000g2N0000000V1G -ax2WR@1600Wu@1u@V30GS1G1z1e8U3wo094100Z@@00W3q@@J8IS6I1WXsxD00Y000S600G4 -e000WG440y8k1f0O0P05WWInOXzY01u0y@@9G502G500SmC300W_50t_B0LP6d000go4WVkh -00G000C0m2VV0G004100W7BC8Hk40006asB6w100oxt30008JLP0W0GYy1U8QW4o3dXcsCeo -D3gosWmrI8Ex40800000XeRD30800stc10408080Ga7G2PlP0G00000eqTRBHeQ900008G03 -8000208W000W00W00040W000008W06Ez3dlP0000mHkD8f03sgq0W010lUN10W0WMqDuhs4Q -ooWovI8_E30Ej0K706Xad00001001102000220yKE6f7Om5uXKvM20008040W4Em3P6Om4wF -000WXsG0G_xU40V202006lo0G0000028IaFXUhnO0k4wts00GG1040000200H0000001080W -6wD0100GBB6008042000W000840000000020z_l100AJkBd10H00Dpdmit608040420008K2 -08W000Y2c4t052G1000a0088000mI184WW04A0mG1G2W0sdD10W08hZd00G1WQoIOdX7W008 -00G00080W000ZhxC0WG00030WAwDOqb40iI0y@l1G4040800000G0GI0Glv9000021KWmtv6 -W024100004265328WG4001G0W084K4X0020G80820YW0G001I08840TxU3G0W0y@V2e00010 -000005m000GD160000400G020W00G00WW00800300I0000G01004W008801CEVt0W000nvR0 -y10WncC00204001008042100QNt080200024m0X400080Z0m06G4GC00186HY04GaW0G0m0G -0C18290o108O0e081118G0050012W2WwsP0304Grw9C@13hAcGQ@C000XOxE3Ud@1008rFrp -GSw9CJV2pMOml@CG040mW04m646800220140W808W8m020e0QFFXt@pPG@7Art00c80rdv10 -0W80G04JPO0000028W8n3P00G00000O002000WG01118yQ3c5EdyvDeuqA0003ZV0084qJ00 -GG00200G00HQW6qXP20W01szAXtrLf@@7wqqW_xh00mmTRfg0044OrU3_0rWZDCW820G6@90 -840e6_MgJ0Zt@V0OH1G8ud0W118Ar4Ixt040G0W404hnq0eW000008gZ2cfnJ000WC00WG00 -0Wxf@00m00000yHN72002052008G40e0000G4040H004H01800W042000AG020000Om080W0 -Z00W00e80WG8_AlAnupG3_9itk400GMwkpZj@DW8040000A16O00CW8oOr08202001C81H8X -v284H040022G0400440026aX@@3vIc4e0000300OrR3__nWDtP0eW0mhmU00b0u@T300e000 -0K86E3e000m0000020040022gaI8204100A148HW80WnUu6S0dDjFpGR@90000nO00GrsR00 -0001Y0miq600021W0GGu@900006200200a04GW82n00001100000K01mAngyUl10EG000000 -e00u2O6c@d100nVvzlHT0600W000XW000a0W8800000GK000804WY000Y000810G020WG001 -qah10006W040awXAhQd0000140000004NKWX0uI04o3muZa80000242m_k9aAW100W4GY08z -Sl10010kamWKZDe9EFW000Suh1GI4100m000G0004u32102D010010IpjnW0uI0000OW4R00 -006000000W6Q0000a0AX280Wq60QMV30010000WG3Ka180aGl000foP0QBXO0GQ3Bx7500uh -v_t00i_F00004700x_ZXi2GL00GHU2B00Ww0z@lDO20089m4G6G600WC000WWwtC0m0mK0SC -0km1u@@D0Wr00000pH0000000c000S60083u0GG02W8W8000H000G0000l100c1G0S6Oc83W -B30WC20Lx75000IB200@@J200eU1e__2G15mjgm0elw6G920ypF00GV0O600e_xKGP001g00 -0i20WVCR9qT38001Cx13d@B100tK0040xld0000a5GC8Mk76gtWOuCOAD302G0G000uHH9kz -bXUtC0G00OeRF0G804WWWW0W0WmjDOeR3Q2u1020W2008EVt0WZ90XmnGvS94sj1JcRmFyC0 -40086E3Q_sW6TV0W00mI@9yEa100886zcXnmD000218000000GriRGG_6iCl1@@R0800G00W -0hYR0000cLcD8uJFoztfquD0240K4TLSLk400wNwkxX4uDeRS3cCFXK0I00G0004120008W0 -88IhNYtYO8d@7kwN2K00033QGpz6Sck1000W0000zhk1020067m0WE10pgp0W000G002Prd0 -010WMeJ8vy40W00iel1V2d0400gNID0000010IWPvDup73000010X08jT300G00004EnT6Qd -d11210x3O00G9000004420Ept0000Sg100kZtWAtJewF3cOsWH2I0000001478X01W104102 -002LH0W0W010K114I4m000WG0A2001000Y801WGG400lpR0820040000020410GiBL208K0M -Ld1004GR@Rm40600G001000170000G8010002G0000000GQA000WtkD084000020000WA000 -Y_t0041000100S00Ssl10HK1G0YW00X4000GYW9040G020f8101Ha0W080nC100O000H000W -10su_ai7Cuet4EYtWi7COaV3kvt0G000W2O0wUKYu@POA@40080Sul10002krt0G000pldmN -_604W0O_8FUos0288840WC40D0040WG0Y0X13W220a50003MntWAzV0000lU1W00009lMZH2 -_900A0010200G800280G0000210X20W000G0008WtiD8DSL40GG60i10080000a102000004 -Y024200WdUN10YKYx8tO_@4820G001000WGHay60041000G000YH2000Ga00wDvXvrF100YW -820000G42080100000K4fiTC0yF0Cd_6Nup000HWzuJ8eV3_VmWe@DOCSLUtt004000102G0 -04KxK2L@Y1000Q1200rsXHT@6aTl1000G00080100eN43Imt00G8008001WC044@93wR0020 -P16H02W2GW0BC00aYGHiW120X2eC1G08GHU0@Xn_D00mdrb16yTS5jTR00080410040aIW00 -420YW06411082100WG0024mo008m00m800000IXSzF10148010000042YHQ084000YW0WY08 -2G0G0Xn41pZ1OZ400000A400_5V3008000GG80WGW44G4010002W40089063X0ae02G08YKY -0800002030@@VoARCKwc1nkPGH0O000WVGS36fnWE1gWI0000100000XWGA00WIA1I0GG20e -my@6080040G82bK0W@@XvD@4IWxXf_h00WLK0y64vF65@d00001Wa0Y8W000W00000410400 -02a00W00XXKn8uRKrJ2C1002JE10WW500000002D_V50Bs1G10Wy@l420G0Yns0000240A0E -1m002W00G0000040006W000401W08088DyRm5kXq__3000Woar080413yamIw64iy3000uS5 -04yQE3pSdmkv608008HS30001W0A00G0Ymmy6iTh1G0K008G000004000S6taKpl10W0P000 -0W0Aq104mA20100G000040GXE000M901I0yDyI00mD648020ON42100TwRGji6G3W10040GC -S600Aq000082ed5W00fU180004420000X8040Wm44i1m00WN_@t6V50egF0SXBGCJL8sbuWd -f00bU18E0Z@N10x2W1@tG1d0000GKc300x@R00201D0G4Y0WYCb8Y00ZE0038204H40m0N41 -00W1k0Ch_9W0a10001000E000W10003W3060C0C0_100m00003000a4WObV0000lB9000m40 -0W9Wt2dXi0IWr00000x0000000Wo000E300i3m0e8G7Y806200Y000m0000D100c1A000eav -Vu@@A00ku200O6o9700@C0g_L00zr08Yx01AzXLig2al2WAP60pRzN10WGl5@F10150tv700 -00W870000009m00e@V30G0mG400000Kq@@gSKl1PlbGz59yxF6Wc7023daK1OeJMR___XAzD -OUH9gez10004000WJQGYCoJuFe4ovFXmRRPrrDwCtWi6D8FWG00OvKQYATrMHCSsqXSB0xB0 -YKOZEuIOGVg00W0000W0W80200018102Dz@GCy604010000ZE100000008400201aWl12000 -G00200000006000008W080000W5000G100000uQy6W000010010G10G003000Y00KG000020 -00Lgy6Knl10200W48000000GWWoj_60500100021200Y020014a800WKTh14000W8022G01e -oU3002Gq2l1TuR000_D0W0400800W0001010W01000CWibDep_4W1G0GG0100G000500401G -0004W8g40020LL4G0084101WY04400W0G0G0GQ4n4k@qW4zC0000Os@60084eUO300012G00 -444Y100iGG0820204W02211K02G00ohV6G0G008005001WwxD0Wn301020000A2082W004r_ -g1GW00G000apg1088c0C081e80080IW000003C00G0YGC1001X5628444442CK40040WX8G0 -10A00W01q@6LqwS2djcGENLipT5000uC000K@l1plP0000WPvDueP9UwnWw@J0080Ogz600G -8PZV3AUgYszn00W8W000YX@DW0W01W0WmHvDuyV900CmLFj4BsRm0w9W808u@@4G40Y000m0 -02410800W00H082o000H0048W005mGV60Y00OryJ000H0000400Xmu@68I00W440Gu@L8S11 -uLT60140y_l15ZN108aez@D0W0a9200W0dD0010On560X0YumEL0008800G0W00W44pCY067 -G050AR730004j59nLUI00W0G010W120040000800oSoW8_D0200GLz6a9g10K00_PL5W8200 -GO00002010GOWgD00ey_Xl1000AG40002200IGGGjpFi0_3tyR000040080jvR04W400100e -000YnsW1xFHWJm0022049094H0LW18X0229OUTC0Io0y_l1001410000H0944O0IYK6akM20 -8G00W0400180001A00080G10RpR008I42W100002GA80AG2G0G0o8G00ap3VeS@D04CZG2GW -0Y8YeOWW4C0OG02W9cbl200042300U_tWoNDG00000A0mKqV01W00000080106G10W810880 -0X8pG0X448000e4180KC88GW08280AW00W01E00@@h2008YOugez@700WVZ00Ke@w7g6tW5z -D8Rk76Dr00e41pxdWG0G1A106W00Ye4000081uR0Fo1Fan@h0OC2u8z9yHk10000N5@XT@De -CJ3G00081000101mSq600084W0G004AC0001K0000G2ISLx9000BQ@tWeUC00c0Gs@R8J00e -6V6CW004EU2XXdGhy6SfK200G0WW0000W00840000000HW040004880G020mG00mo_d00GGe -4E3gps00X00R@Z100GjXrJ00Y0G7y64E_3dlP04GiWUyP0Y0008040110WNcR000410W0081 -04IiZaz@D86E9mA10C_V5WeGOY4tWD_J00WW4H00WmnP01Q0000W0GW040WPH80002000100 -G914000I0bA1080O3000W10X0800eV2002oDnT200uB1eAp20qw14yg2Kwn6GV50WMF0O300 -b@NX_00WS6tW20000Cm0000000Wx4W8Y000004Ae300060v500mC00Jt10H_3W0o38WY2Gd3 -00eC006sNbOug8y@A008GY8008IS30100WB02000NGuu9000q4m400G70WmzD00S100O2G0G -5m803mS200A000G9000Z100w040i6qHu6eZ10WBxOVLiU0GH@0W7p0nCLXONaZPu20sO50v0 -C_V50mI0_@t008Wy00We1Uc10Hk3uPiAKP0CGL0000K200000p0000m03000P80NzV_V3000 -@30000055GqUaqEMB000ODF004t@9P@RGjZdqHT29TRmcqLCtA6jyRmsE6000000W@_Gu9Kr -T2000000CGSyx3Z1dG9r9S8S2hbdGVvCi1k1Pxk1100WqxnuNu70tB0CqN5W048YqRcqQbuD -i4_@7cl4I0000Yv10m1uPupV3wdL200WWbpR0000gZ@2PjWDsIo011G0000004011102000W -0408000G000100400K4H25kR0W00000YdpkR00G020402tC@mxu6010001000000240G0rlR -0000G8080A000EFtWkxU8GvAc7_XuLCu@@4sZEX9nJ00080W48W6uD0Cg220010W0205xRWG -0W40A000040E0OWvrD0W002W0140G0000019200GW0W10G08G20WmyD080002812Z0000GG1 -02000004OhD3wGMYByJudS3W0W0qPJ228040040KWl1Pvd000820G000800000m0D008FT30 -808800W000GY000WNBI000240m0GGG00000008BG0X0001020G02G04000W1000GG000000W -K000000X047iAnvoOSNl1020ast9Xa_DOLV3Axt010G0NtR000XB020W00GCAFAXsZDu6S3_ -@FXPsJ00800G0000005pmd0020H00840004ootWj5n8lVU0XL00100Ocy4000Ji9l1HDd000 -08W40000200020qsi1DO@0004WO0UedoMUQF400GC8400UrL20020000O2AB1W004DmP0040 -000G4G8000006rvk10400180YqVU2VJsIZyd008viWxA0WP000200600me@600080H0ImCB9 -q@l1010000I10006OiIgQmd1WxB0LcZHWm6qqe1200040G0qvl10040010HKSg1JPOmfvX0W -018aYSsEN20K400020001Yiff1YG600008WKGW0G0181100084001000G000W8G408e50084 -a000A00HsaFXZhK9JUL008s30C0O336YBn00Z800WB008H0000049GW00GG04WG0492000W0 -00C42402GBa6002240W0A0G4W9dPeAyJ6lN5mC410K100200101aI20e4Y000Xa00K04000G -0G1000W2000LW80800jpR01000AGWK40W0e41000pI00012G0080e00r0O0Y00G0a00h9XH0 -iv000WGl02Gku604G0eOS3G800G000040100K02W0040G1000XX00208IV3048W00480G000 -00G048000020000Y00G08jK6_@@X8mneb@J00ej20008ZE30000W00K0001oat6KWf101G00 -000GG6WwfO30K020IG0004002W00200000020W005Fl13bR0022W75meJXS0ER00000uun4W -0040W41uiV3WG1030040400C00GG0I00BfR0008IW0040000VaqWxWJ8HO32_0Z8mheKULy5 -C043d1070000G0WsR840as00A1000G2000W10b0G008c4G02aCXmmnD00W3000G80Gl4004s -P20b45W1060Y1000WG90uy6UE1VZ@@b000Kb0O5K0000maLG10000pm385062@d1V60YM400 -YXFa8mhOcVL06f453d1060l100o0yp008Z0002000W100G601WcWO4k0r800iY000Z000q40 -001e0mR020NWV600kee0I0W089G610WC2079J5000oePa0000WC3WLW20000S000008Q0000 -8oTMS680YW700004v6U0000Wuk08od1W0N18O@A2rAX@fz8bw4ofMY8bI00WaNrSd4hD3LtR -GwrLa4_3fuR0010WinV8JyD__M2Gz3071bGbIgS8U2dWpGKtFiGl14020YMt080W0vhBnw@9 -ybU5FsR0000Sc000tZRm0v94rU5LWPGZuC4qR5nOap9vO002000mnsstvyE@3Zb_mWR60100 -020W00000G00200G00400_0C3h@oGOw64Ig1rOd008008000W5K0AVtWIrVO1U3gxqWY@VeH -S3cO@10480G800EQgYKzD00080040WTaV00002000eZuOuVU368q00W000048omnWaoD00W0 -oV19KtV50G006Dt000W00080G0WW0400W0000I0GWOcJ0GW0mYyF0W008vV3MKpWTvJ0004W -00000010TePm@@901020000Szz64Fk100cf3TsWIyVOJV32tCX7xP00020020W8kDu@@4000 -82W00ONW4W000qnl1@gQmzh600800G00nC_Faz031_RmLv6W000us83W20006F1eu@401G0y -y@6r4OG1190W000W0200G010480llQm0JySvq6000WBVgY9kVOsQ3kvK200WWzRhI_@Xycd1 -j_P00001W000xZR00Y7D0000G00GExCacBh0002GHuaS8cA8000UIqWU6JW0000054Wg_2fW -l4kBHYKCFfJeJEwF1G008lla0000qOXDecU30200asl1D7dmdfRieW1nTUIMOdS6f1000G00 -42040400H000G0000MWhYOmaC6KMU2Tpo000O0000W00W82fcXZJJ0022mtNailF993QW000 -0G48002000100Y0000W000u63Wj@P00200200000m01PpGt86a8V5O500W200q@@9nm52W00 -09090K2800900ayl1000W0000AI000Y000W020H000n0a00G0084W2K0004209G90080@400 -0ASag1W400cJq00W00KI90000aL276nmf200W0A00X0002G00YydH20a0000CK000C000G02 -00qqrCeFV300051000W8Y0008a000002110Uxy1000G0002000cf80801Y0002Wm@@tORL3U -A94C00H008002Q03Ge0G010307028Q00W00003X200u0W000W4W200C002W0000100A00004 -00W8080G00480480008000QfK360Z100mG00e8Mat02800H7dpVj90W004248208G8HW08I5 -4100020C1W4000F30042G00e418812001gWIWW0aW00YIPCe@D3EWyXQXP05800A02axMDe0 -UFYXpZ4mJ0S1G008S582010e00W80m1W000WY0000nIm10100GHW8040W0086030e84w6W10 -0D000070420G0WM9000aY02a8Wk40W00084008892wl1S0m_B420000XWiwfG00WCjWggQHc -P6c0yF0y7UKLrYmCp51F000Yr2tYCpi51uVW3m@egAcHLLB2pCJ4p00mZeE53d7RWR0W9000 -K40AWB0K0N0h0k0tPC1iY00O41G1000WT4H0e0c0G1C1i2O2O4n4m820WH405O400Wa000P0 -10U3o800yp2exXXnD0m4100E300uD00mFm100Wl4W1Nw9a2000000SW700gND100AE5d2MLu -ga8_30C00ctt0WuF0z2mJ@l6SZP2xmR00400020W0G002tdXsqU8ZV6U3FXQrO8gn4wBsWdi -dv3P32fxXWoIG0008004WlfC81y70200Kvl1redGFuC4OT2000010G2a_l100a_ZnNbL2aOf -C3oD_d83gOFT60ra04E_C01GGQFLY_DDepV6U6c70200tjR0000Q6300XVdG7R94WV2von00 -000H000NsRmGy9aJd1@sRm6XFy6z3000G6VBXdvP8X_780000W08ejx4gbmWq@D020801004 -02WG000W4G00111400000480001W0XmP012W0000009WG8W00001GWG88210003GW0Fed016 -G40020depGniICJ@300G0ExVZ3yJ0uY0mHYF0049001Amzy6qEk1lr81080O20100002YzE1 -04004020cz@X6zV0W00GbzX00408U030100DQT28500000G0020G0801W02080000002U6qW -r_DG00W00m000401G000W1W40m0G008400G008W00ToRGry60W0GG00GGFlLqrlA0300_@t0 -000Q008H080000G000mYmGQvyRzLGW00000WW20G0080m4m68Uk18wwA00WWG4000200Gw_C -Sf@30G00kPqWv@Ju9kJAOV348000G0P0G00Tql1i440000Wu800G00G0200Y_sb8quAAfNY9 -pN200HJz460810u__400S@Vej7byPmf@9Ktl1DtOGxfCyBl1FYMHFpg0000642102g001204 -46O40GK0824104000uF6WZIJe1V6008WG404X008I5b9CPJ2hca004000008D0OGPx9yM8F0 -01048200045H0g404869401081Ga000G1K00W00G0W0Ga0@Jeg53A0DXrKV0G00mf@6CxV2T -tRGA0FynE3bjRmsEd00W0W240mY@C000TvBnA001080W0Qa63sAt00100a20004G4e40Y000 -10008YOvC00004W0GA0W800Y00I1mWdvbu5ULMirWe@D8nL300000aU1e7_4Icd102008W05 -e1041020eEE3000W0008010180050400000K0001A00D0004G00010600102000A00yK@3Xh -5owZL4fc1HAaWG00WLqJ8TG30010G0000u0000G0C2601hqR000I040400080W00WS7a10e0 -0070408W10G00000104002C000G000Llh4FLNHZjUyKk1P4R000iiYUb010000e400082000 -401W80e410082000W0KG000010G9009G20G000W01009I058000G0GK200041008041mmtg8 -S76UpqZ3uD8J_70OC0SkS2000W0040000850G10000GYW0080W9IaBX0va850A0e80y@VE00 -0010K00WP000CmGwU6Gr00040008E00003C9F@00AF0YAw2KLLofgg0mVc1W@B2kmNaPXl8y -WVHLfAum3U0ydgOcf51mVBgQcf0O0CLLrK0IWg00GuRIyUiA0GH0006020C0K0O0e000G100 -0000OjE00CMh1Z2m0200041G1O2e2m4m4W9W9000J00AWW0vI850AY28Xz9Qv@V9Cpi10600 -1Y82W0B04000O0102000G6DZ1D2jNy_X00mWEJ9Uwbza_uD8QLCktF4m0F09ZZ10W4WkmneW -S6whlYKxhulU9cob4000aq200YG7ZfTF9aNIkPW700ik32ZnR@OSU_3bENnr3LC7k15GlnWy -FCyc100000PR0SyyFjpp0000G0420BXrIz_Iqn@3000G9100qsV2Bsd0G80WeRJ87I32zt0W -00020000G02G000Os@76@t004009pZnxY9yAu6rBN100UcZZ31400G6p94wl16000oS_XK0I -ejvAMxdXnk9PUV3W100y_l1Wd90knN200129scmfmL0X00e_MF6CT9000aXeA10W4nYJmOix -7wjtWAKUuNbYc@d104CtpwMnD@Ca205H1inovBr_l1b@y0041W058PXD3YM8ahuH2Oc3mPnU -4iG5H14oekB100W6P00m@@C00WYua39UweYxEg0400G879KkFI00a3ttdXzED0m82G4F6K33 -30400I18XHuDO@V3Y2GYSm99c@M0gX0iDT20005G40G00W0W20000G00Ae000GA0Oc241000 -WP0eGA09010AX200GA060004A509Q0EA1100ve@mQ_6e80000Y0GE_Ca8k141WY00W0G450e -pT3gMnWK0Cu@@4IxcXRf520GATic9W000010H1G00GG1W201i0W00400G00G8I0040428808 -G0G020e04200O48003080120444WO48Y00G00100A41GG40W00100JxkY3i@94t70G000040 -0W0GmMu9810G00000400o1nJ000a200810W02002002000081020204G0WSsD0W48HEuUasD -C000uIp8Xw@D011Wa80e146W020W60002800Y000Ge008YK0e8AWAq000qFcevWxHzvp00WU -QfG6838200G6Ga2CW85GIHAWC0H091o0o0a1YC50AY20ZSlZ20GcGl@6000W5i00W@fhE1GL -L20Wm54pCB80_7uCpCggwVW7UGeggWI181m3FLgwVCbPGKb2G2H1mmJ8FCuUH4QP0cdNe@yt -0400uXqs0080OpV3000mhS008kDCYb5Z@bs84N9IA8dZiJ00mYLW@RKfT5vp_G1uaiqtF0gF -0IFkbPa39IS6cgtWDqIOl_AA5NYcnP000020008T100ZYXn_OayJ699zz3008pYqh8p69IKt -0W00GNgB1000auRyupV3gnPZg1auzU6uR20iRT53DQm0yCCGk100G0lsJYfnD8FlPwZd4000 -Gs700oqFaSk7xVeD00qFz8XJlw@GqwRibX7ZHzmusXK_k1v3SodfYs1A91d230WeXG26xJmA -2fNY2_n0W83Gk246nb7Jn6oVTRqnlVbOyyb2@7Sog0V60Ma6f4aGQuv7000W7Yh1hSAKo7N1 -80000mZv_GdaAWAxUl48YWG81000tU00000WGa0000008Y0WK1AQDb@7OVfuvDe306I1WYe0 -89Q0gwwt0W480000082100004W840Y01GWK0e8AWGU@t000r3pTZHg0s000oX1000vu4WE0O -0dvOGA0K45m6W000021vW04008S20000UvG00W04E121CGO400O6a32626WmPEf2mJqG@@iq -@DJz@Xwv0002ezV60G00000G0010GE@6a_S2zFR00G0W8eD8S@4Y18XE@D000UmcxE1004uD -V6QptWyyJOKV3UrtWGzPeNV3o38Xe0O000000A0WKoc720W000WWF5C0024mMn6000400400 -1G00000040100W000W00e8030W00G0048A03s@sWY0C8A03000000058hOpG0W0KKR5VoJ28 -q0WJtlwo_70100SZl13s_00a0WR@VucQ3IDd14300nuJrKjF002WfeR36ibXV@D0E00G@lF0 -80WO_V60W7e_ut@@@R000mWQ0q8aVI0RQ0CYgSnWoyHp600GEyHV6EdtWvvzuc_AgS_10a00 -@@BHA0CK4m6H14oV@8X1008gv7gP_XY0Cehv72TsWy0I0001000W1000eA400EqJeUWzOjd7 -c67ZQ_n00WiomnCaDQ55d230I80000008W4_@d1902000004020a2m3000H4200y@V2001G0 -0000W02fAT60FrW4I1C0000000aql_6XSRGIsFG000000WGlzCSiS54G006wFXMpD0000EA3 -0Wx8yu@@A0GG04f8O7n_VSpb2400000G08J000000WC1G00000020950AY2u4000gk100s1t -9H9400G85V9m040IK42008aYHb205H1S2000Y28L9@@J8H88212H200W84W8200W421Y8G8I -Ia205H1S200WKs200BhxqIc6y3F3FQQGA0K4509HAGr@@6SGX1JKOGA0K450A00000GhsDek -eYrU05000aQQ200m00000A003850AY2WaYJLvnVL0901Y0G82812000X8YG0008G2821W8m0 -9850AY2W4W4OE800S02d0000m170000oX0S008uC72I1WYe0818S200W80GI402Ia4000088 -1100009Y440Ya0af0GHK0dyS_@Dl@@ozMsylABzJ2Wk6WQXqw@@Y000GvA00u@VxZYVZal21 -00WOryF06e1u@@FpKKkiq8fi@700qk@tlJPF4Ic@X000m8s3pMi@@4@@@Zz@xe@@D_@@@@@@ -@@@gi@VAy@ZI@@du@l9@@@@NeEhhO8WG_@d100E7NxjNGRdaKmO5T@@Gv@7q_@@q@VF@@@@@ -@NK@V5t@FH_@Hi@@d@E1000Cs_S00q6aK0fnz@V6t89400ewQg_@@@i7_Fcy@VP@@Mw@Vb@@ -@@@@@@@@@@@@@@@@@@@@@CH000y@@F020000OkSUdeWL20_ZUlWzE1F00m@@W1W00u@VU00q -Y7MXeWHN0_@VlX5810002z10Wi7_@@@@3Z@@ly@@@@@@@@@@@@@@@@@@@@@@@@@@C502044c -108004020q0W1T0O0000XW0CG0040420W6nC8A034020C5T22010WG0044G20n60_@t900W0 -200000020000W00G0400W60C000G008000400f0O0040XY0C8B0380228000OpQ300048002 -010Gm_T6aK0L@@@@@@@tF@@xx@VO_@@@@@5w@@@@@@@@@@@@@@@@@@@@@@VE001WehB2N00m -@@y300Wu@@Y0Ct0y@@@@@@@Ft@tJ_@xi@VEz@Vp@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@Rr@VM@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vb@@5t@@m@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dF010WQ0qe8090400y@lJ00W0 -084010000YG0000800100008004080000001000G0WK0C0G0W0002001002090Q3m00002T4 -a0000110000200400000007f00m@@E101W08000008201000G00_@tWj1CW0000400WK0Cej -0300028000870369mW@@D008G000000001000000mulvGN00G00000kxm30480Y18Xv7Oe60 -3_T8XJ8I0OS2m@@E1000AGYeW100y@@@@@R90004W000PFy@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@5 -a@@0x@7G@@@x@@@@@Fo@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lP0000x0mXW0m8AW4I2GY -@@D00Wam@@H10W0udW400G000004001m@@Cq1W1f0OG408q3m312m0004X35ax@VO0O30iym -Lx9Omu360G00uIX4I18XT5C8204A3uXn8O0CC2G85cLxG5XHin@@90000DIXe0A0WybX1000 -GMqG21000DG030WNs@@TQc030200000040G0GD0F00048BW7_@d4W8B0dZ4LI6RqIm97Cm00 -00kqCYA6bAW800y@VE00mN_@NKnQF0@@RF0G0WE0S8n581G00qt13T0OW800W@@p1008o4EV -20000aF0m@@H1W0000A0000001e00pFa0400WK0C8fePeA00iHHK1pO0H0040000G0010000 -itI2ljSo@@C00eBx@@e050000008P63010GDAr3HBD38@1W@@fQz63klX10G00HumG85jOO0 -0u@VdGe4030080000S706G200004GOA06y@lD008I_@VU0C200GI0000Wq0W16100I1WXyni -XD00m@@@@@Fd2010_@V9O300@@RF048W@@N24O2m@@Hbjc10010G000KOc1h5cmjBICN39Q5 -00sPAAW0000004008WqYZ100G0002Wy@l101K00028y@VB00YI_@FA0804JNP08e0Wx4J0WG -0mZF6a5t3@@J2W53Wn7fgfk4000400009jk4001W00080140mkbjG500uJ8gMpaXxmCeznS0 -00Vz@FLJMXnPJ6000004W0m@@g84Y0uUKgYKq000080040W030G02000C0G7R600040180G7 -09aoM8000enF00aeWMNNbmb2IChm3@@l1005@wweQrq400020W0YA0Y4Q0m000G008600000 -y5d17d52m53WSzY2000Os86000W0WG0004011A000GK06er000O04000pfCX1L31000kz10W -@@ZI40e0b00I8AI4K2G0W0Y825b000G614KI90Y8YY82b08000H41XI00W02040e4W00W0oY -p300t2@@x400G1082e00020W8600G904A003C004e0041K0G01Gm030D5W4000W040001G0G -8D6y@@6WSN0_@t9084W2ZG000220k0020144W0GG410G8Y200000WWW000GG146WC8025tnb -W10000G10@@l100066100@@x44010200W00010009208I0824000804O1000G00X00G00W28 -10210000600W000YzW400pq@@x400WM18I08j1W0W810W8420q700YXTlGV0490szYG0Ga00 -GC21GA06WM04H0aIGGka0850u@@vTKa2mx85WCWB0l1P0_1_HY4yZ4f079I1yMa2u7m5mRWV -aVf0O01E2I2uD0000m@@F400im@@x400mV11Fy22Uu10ym3pCP6cP640yF8CNLGKLrWmCpK1 -UunKc@Jbg22JP640gA8u1F0000g0u@@G0wZ0CdQT1IrYK00W@@1BqpP_@d100ju@@@VYcO43 -7CPu450413W00000050Y080000082080400WW085NR01G0000W0TNd0100Wkh3100gx@@H10 -4G188W80001WW2800400Y21104008e000G00800802040800KIl1m000_@d4Gf40Bx7b0X02 -0000O00841000G00064000082GG0000000041cw@C0500Qst90GY042018200G8280W000Ge -G00AW0010X000200100100010Y04800f6RGs3a00WX8gmhAM@XePVu4vM0fM0iiVKW000800 -00100Sm_4Eyr02000G0W0ssp020000410sXd400GaO300_@t920023rBnm_6ixl17@R0080X -KAnBt@4_dm0040001802@FXX5IOLS6ksF4Gw10hP6b00402000K00GY_t0G00001046Wm020 -000H004000WG00Wg20mv_a000WMl00Gp_E9000y@V3G004008GuaI3ctt0W00080100204Vt -y3JNv10W_wazZ20G410KI90W8YY86b00000Hp0WI00W8Y0WK0I000Ye01G900O4Y00be4000 -W80CwVF0O9043NK23K0G010m0305W40050m00001K00GJ1W18O09f0a00000Wg10H5wHEJHX -8KG048G4WX050AW90L2XmO400010GC00e022G0230om0GaX0102A00CD0fG0G2000800W2_@ -t300nG9xx40084W01G00228402G405W08020G440c81aG0I0W22H0000G00049H00K0W2a00 -0G1221108wyG0ub0iilJ0W_0OG00w541W114W8G40q7G0Y0mNI1m0820n08W8W80qxc210Wl -GlR8600_2Y0000K800ail7a600Qst9PkegKTHcnCcgIL0y7y0ul5fgAB2VLM4Uuj8pCZAuX7 -uX3Fm3VHKLrYWt@5nCp92W@1000WfC10Wg@t00WcNo_E100EGa7uj8FmFWVWt0@0@0_1H2y3 -Yq174f3y6I7u7uAmRmTWVWx400t90KWJ0e0U3G1y3S000ud4zl7WsN0YXBA0yVGKLL00FyOA -p@1W@1XfC32WK0CWg00000m@azd9QceculbAs91000pKTZr9h4FOt2eC7WTupFUT6Y6MYDkR -100PwY2v300H028000G018002G000I2t00W02f0OmtnICWy6000ui300y@FL0002ouEXE0IO -Ml4_@l500Cf@4G5000840W00001GW00iKG2FnR008WWK0Iuq096@l2m_50ZF_Vub@@zv@Td_ -@sh@dTx@@OV_eva000000meT_n@V@y@rN@@yt@7V_@ll@Vxz@l_Vf000W_4tWU_D00maRzbU -WS20Oq@7I1uXRG730G20uhWfyCj400yXW900000Ce40uJAOZ5thOszMk_l2400Gzo7I_@900 -O1BoLm2Wdae@z0mA1msiWrkmF000ef000Kvwy4000H0W00G00eMT30020sfF3JxRGYz6qZB3 -vhl1033WPXf24001KW00W00001W0W04001000400240000W08zwRmI5g000WWC00GFyH1220 -600J1W002000400052Jr00011000080401004Ov_4YKW400Hm3kULbh9G000Ep19AXxa@@D0 -WI1mo_E10Y01100028W8W080LCR00820008W0G0100Y1b@l1Ga00_erW3f91000Xk00WczT2 -0C2uJq6W00000H4181080100zuQ000W2G0000080sorWxKE101EO6tK1200gpw40022yyF30 -820G000808Yuu334100iyV8WvR0sRHBW000000AI9y1418800001400a5U20GG0c_V3000uA -100sl9A044080004082a3k102000O0002000YW4080WPW0KK0Oa0G408D@p900aCJp8A3B00 -4G0WG46020W0G4HG34XO2000004W0W000G00100000A0G0083000G08YG0G400000GKq@U06 -91O7_e0G2HG1500a00WY02000GP9oa0W0000020K000010X82000W00W00G100000840c_t3 -l2005s75210000W8000Goks00G000G40U0mWLuJ000WmY26ayV800UBJsFAHW2000001W808 -2WW4000f000000044004UXo0W0vW0000GW00SSl10400owPZ@@D0OV2GZ2KLBg1G000000W0 -n200000000HWXzJ0W00mZL6450395inW06Cj@I8y6W103D000010420X00Em008ON4O0180W -K002003000000GeC0006G1XI0O0Ge9yDImF100tf@@x400uJ1eW_206_18000mp1u@@703@0 -0Wd92t1W1y30O00000805eF0000W_w@VF0v90iilJ0O400Wc000T050S1gHu2O510mA200K4 -00WB0000030D100O0w800m0000Z000aCh93_Hp3000iC600_@dA00W2q700uEGV908kJ0YWl -00zL0000m000000A30000GV50b10W@@F10GWp6lxzx@90wP06idAX0G0DWPmP7IKDa1RUamO -A9S0u6K500QsFA000mrzl40W0murkwTH38G004r56PDQGfZ6y@l7WkC0ErZA8082Ge0I0000 -000W20W00081X@@DG020G3X60W008lbDcaZ1000Ku300c9CAW010W8W000G00040000WIQT9 -01008W26Uk_400cG@@75004200000WX1G400W0000mI0400W0100000020020G0000001GM6 -ay@V20U70EpWA10y0TWp0001WTBDODk40000KiG2tVWHyH9eO00u@Vm0021CmP21Aeo@@900 -809fGgYGX1000800G00G0200H0G0000800WSGXHiU3GxZN10004200oG16q2h1jYcmWfj000 -WIF00mBbKzN8600402ZAa@@V00G2w@@Krqg1W000000020821000W00OWRrI00Y0n@@j0I41 -85re00W200020080W000YINC0H00040400012000XNuS6Y200bF6b8GG9A002C304f400200 -Y0CA0002800eWI00W4W0YA000000H2GEhg008sFEge000W2020002002DW00e1021K00W200 -4C10040008K060009v23e83W@@T20K00200002I101W8CK0W08GGWW004WG1C0050844G008 -000100028qcBCypS81I550002000W0200X008001G000W00005000100G88000G041001080 -G0W1IL10Gkn@@E1008M0240aUH008I0086W00j10W88L3q60H2WLD04048004100WmI8400q -m@@g02_0u@Vp0G00xP00000_vkkpW500u@VdCGa2Go85WVW80l1@8o0_HY4a14f079I1yMa2 -e3m5GIWEWC0P081o80y@lA00wn_@t900Gu2ILL5agg2SuX70_@FCpC8KLLG0m@W0Fy11W@1W -ggIbg000_740@@t2eI4W@@@@5gD_@N500Tu@@@@7Ia4lE9PSJ50000W01KFHRmwe6yI@3DXX -Hs@L008YPUYh0008008002000GG0bDAu10000Wa6Wbzf20W08W14WVpD0HI00G0000G00xgd -G1kXai@3m200YuwA200Gr1fIr@R000tfACjYIC10W800008G0W04wlDWIA0_@t90G40002OI -Ns0G000002000100004SNO3_@V600Gyq700QsFA0808f1dG8l6KgO2Xh13000000TGfcJrww -6ims33S1p_@90Y11OPVd000b000W0Y800000Yi7C00H0041240E40fRxHQlR0U00ePVd4148 -0mH000H0GWdC031G0420800000X00PFG8CJ00100G0000000A1I00G0Y0200420YG80I1000 -006dnC0SD0AeCgZmDG8X42080Wi@D048G010W00820ZfOJ_nH108510000810WzyD080G100 -08GW20000OtzyXU@F10G3MGpN10800082Gjw60040Stp42uT6GJE0Bxx40W1cSmD0u000002 -0qA100WK2GiC030cC93t4Yc16R100Df2600upehD8nOR004lailJvWR0000203Wt08000_P0 -a8U20WBG1000h800erVO0zh04lUKKMv08Yx06l_3080n9E00008khIvPYK8gevGzfN30400S -yF3nmb3eh4WTulQVTa00003Q00emUgWW00ygk4nmP300euazf2414mT@B1gZ1OJHxtQncj@n -00GzHo_K104Guk@b0060C6kM00W84101iu@F000eJNZgmjJ0W00GGOsC@F308MfdyKhj@D0G -40mZ@@02Q0O7Um0I20qX@FK400gpyA00008W8YG000aboF000Pt6LB000G00W8G2H4yu@F0s -M0sFMB0e00n4EJIgIanTK9@dm356qyOEv@d00W0y4fl20800000G000C0W20Uy@7GGC0nmfL -FJ5100WenqYgsj2000000029100W120OoVU00mY66_L00qN100p3el20Wd7mN16ivVEW040M -D@A0e90001GD2006nbGa100wu_@Doji2kYIIz1N300xg@VHusy_@30yI0IXtiQup1000X500 -WkrjC@@400qgje1R@@R3WL1WhFChffSoUOiZO_l8d@72w@Wa_@7g@xnw@Tm_F7j@lXx@Qy_V -6m@ZHy@Lum30000ef3WLuuCmU32Q6iNE@lbq@NPz@KQ@@at@B9_@Hc@Faw@@u_@Eo@@EQdwF -_7GZ20xmpF080W60C8IX@B3@G000m4300gk_@MpB2qY0mOu@7c_@Vn@VN_@@@@@@@@@@@@@@ -@@@@@@@@Q1400u@@40100qHSEWrN0_@dA9GG2G000000XqqC3h5OmNS6000GAkWDgGp00000 -0000100mvU80e203_@d1G000t70ptQF0122ORW7kOx10W000200_@l200m095m00W1D01009 -5y0000e50AuMFUsZsWy3C8aW4gGWXN4Uu@@D008nz@lJ000170C140000H00of810220@@@G -42d0yN08@RL0800q2X10002008W0011SbW402000004e933000W00G0X0W02011a@@J00W0n -U6p00000000dc0101000BSOm579y0m1f0mGL7Fy@l1Y000oi8Xy4D080Gm@@6010WW000Ogv -C0O00eG2R0of0y@l4LXOmO86y6I20002YKWXm6a8cG30040000W0002e0002010e5Na00W0W -aCa8z2I000Wg8010100Gj76CPH8@Vm0G020IG3000008100aXY10W0G62v1000Y1YO040000 -W0W0000W8Y00100eC3L000000m@A33L0800izk140010200SJF30001W000yLl1m80000400 -0201W08041000400G100W000010a8BU30081y@VBYU40_@N500G4Y020W000y6Z1D9O00oCJ -8A00vom0W002000A80G000WeW80W44000410000000030k7P60008w200_@@4W00800000W0 -0110G40000210082000240000000m8400I4c00O000YntO0001otCD0088G6E6S0m000202o -n0G000zk8ns@O00W9x@@P0W8Ym40040082000080C0@@R0H00000020G40802000a1G0i44e -0G01C10GO0002Y800000Z0Y0000O000Y00e82fe5Ge70@@V20140G00YGCW00008GaHKX0GW -004HL21050YPcfg0G0246nCo808K00Y004060W000W2G03000A3G0WKW0WW00WX510010QG4 -0CWu@@60W01u@@J00002J00OMoJMzn0G0W8G00411W0G8030Y000G0020000004502W0010G -102X8000002W20G400900C1a10G1002002050uP5C_@t300Spjis25K0000000GXG002000A -K00U0004GXz1CW200060001e0000080500y@l10G3060o00014Xac3mz3W@@FffeAO000q1W -3000800G400000120020040G40801C50HG4uh1000G0800qL4CPFeIQL60282W00G48Y00W4 -80W2000G10AA01G408W010GHGm824084040roa100620G0000W88Mu40050002WeK9O000GI -P00u@@J08000000Y0G08000800080W8000HW028800010804049000W00G4000W20000a100 -000m40000040200W40G00W40I0W@@j10mwr@@d002WW000W60002400Y000G000822Q0108W -00e100W020X80004004Y00D00006egmC000qD0020Oc10gwi0ypl0000y@@VR0Bn0y@VB000 -aW4000C8X4B03CM13Ii2C3O5OO09I81OW13WPmA03ZLW5000030004020C0n8O0e000KY000 -f0a080HajmI8HQLSE00y@F900W1G302Y61a182e9G6G7WE0D0T0QOm0qm0DfX1w0A3q4qK0C -m0mO01000A2000Gav0700mL00I0Kbf0S510We200011ml@3HL1WlO2m@@j00O9x@@J00r00O -60YQgHDrK3G@z3W_380z7G0ZQWKZQ0fMA06GDgf6GWvfWK3WidmOI860a00y@lA0uP0g2@gp -wI0004mGp60Y00uESLklB1000So5202GRZNyEvE6I001W49aA@@p000TAW0005zXHESvCRT2 -@qP01G2Whcd9Kl40S70y@VH3zb0042W@@D00e8m4t900001006I42s0000JI00G6V60000w7 -B9s1aX6wP021WGSYL00800G022G104000W20401AWW000000W2WG00G00WCFhOGoY6ihe1Dl -zGKLC4zN200C8cbBXu0P00W0m10341t30W0000040000gDV300080200W000mPvC0008QzG3 -s6qW14D0W088000YSpC0201G2M6S183XGo0004WT8V0yy20002Wr0b0W000800Wl0OuU5600 -08SI66002W00C0020008091W05eL3C00002W08aJcg84nDEUq0000uA300Ikq0000mNevHM_ -680000W000600Wq@C00100020Wv5J0002Gk_60010gDn7Att00m80@@V20G0408Y8000000G -ZCOL2Vz7Y8Y000W0400W0090H000W00G4000W00810082410W0008Y00W10008800000K0G0 -02GW10001012028004X004408000yOMB@OaW8F300G40@@V2Y40ovyPeQB3owq0000W02W00 -00080Y0200000Ga0Y0008W0o0W8c1820G020GHy600I0w@VIW8Y04GA3_500_@NbIDD8Zb70 -20WaGO2Y000001G8J00W0W0W0000q009000XoBp0G02p@@tYW00W3EC00mSmG76ajQ8dBPGy -d6SuN2000AE1z1000m014H8a0Y09XGX00G0Lm2043S1Ae2002H2I00820000510WVGFHWG0G -e260ms000H00024Wes21201W8802XG200W2K000000O000G00200014A0W00148000202GK0 -1a0Ke04Y8000H1W8W1IWG1a0C706048WmG4000KK3W000D0AxXJ00408000uzI3y100a1h1D -OQGjdR02g8200180X000G1086008000X800GX00400W9n0W8008aG440W000008000208042 -08200gKn00f4021081W20z@lD00SuNu1cTTt0000040G10100ZsQGJg60000010CPg7jy@@3 -WLG0QDy40830Pwom3l6W000eCu4ksr00G00G00090u8G4W8011040S40aW0000280020jA4C -ftQ0000M2300lbS2000a8GDecO3ItrWlVJ00100020100040i400WG820014I20g0041W82W -000a6@mWgYc1WG000W9hpaK10100820WhIJ000nmco600004103W00002080tAR0a4100G04 -220410418008rAG40240G9080XDR3G_0W@@jfkO60002Cbe1RvO00UW9va306TAz1r36vKsz -qViMAzHTU@0W2cHV0EA4ccZD0C00m@@a000gI0C3l0WIVWpAhWON0WLM1000000q70bL6000 -050zL000@000082t10JCS6WA090N0N8t0kG00S90WW2001S100m0m000G51W@@914000808W -mfD00G2Qxg6SkC90030006020C0K000uMr64Ld100G0W10001030002000G10ylg2WSof0el -2vaTd00000eC0wlR00jU0mCyG00000O00m@@X00YU000824z00SI10a3000pyg20ec9Pr7cX -63000Kq7W000v4T1z340qNhc4c2Jyu@V6000GPO00uwjMI0z4040W0GG0sxw11000XbRmztF -KUU2t1N10WZlyWtuBT6wz_XfktOVy40100KeE3NbdG4tIKqU2VB@m@@60Yp1uhkDU7FXnBD8 -xTIULt000W004W0AVlYYza87NF000W0R00u@Vd008011080144G5z64uZ10004K001qGZ1@@ -NHJq6iOV5vUcGPo9y4F3ru@moWRC4j1@Bp000G500I035O000110400rvRmVz6qOV23LY180 -0Wj3Vu@VaG00000002eA0010001W10vwR040001200OO004000yCh4ZYdmSMFiOV2000O5C0 -0C693twpmp_FioP80004_SC14G0040080005KUN2z_RmNR6qll4Z_l100Pf0xB2010OkbKL@ -l1W070k_Fdf8SAxVC000m3B00u@@MsL6cxG520G0I6tpyAc796yM5142000dc00GhvpG400O -yKRAKV600EUvMbpre9Kd1OWNA0sXVcmoDOvLRgieQ00k41pAKJAW1UH1unRR00m0abNBRbuD -0W0XEqX13y30000hOuC837p0090KasCNKasKHQzZz6FuRmzwj00WM9Lfh6_MYPlJ8st4_@FX -XVJOy@A0GZ0iQUK1xRGsuI41R20002IidXgnIOC6CKF00K1XYX@N100UwRufIW00mcx60040 -000WGFS64Lk1G0W00000cqc1G000wYt01W0WlnR000e080G0@@RGfy600000ua0m@@6e0008 -7zMkedXnyP8JV340081400WY004402G8020VbQ000040W0000e018404TV2rHQ08W000400b -rR000GG00100044G000i2k1A700kwFXm_D00X0G@My0010G0120084000W200m208G48G000 -00mG6c6WW000Y21I@t60044000041000040G0600Uqt0C000288000000G6OefV300OQz@l1 -0O80_@F13200RxJos@9iNj40G0000WGKvV2z@dGQ@6000W8o_46V8XnuIueF3M@d1Wk50PbD -60100W8GG4020IQ@XQk910003V00WUt0300400WW00G04Tw@GkSd0WGpDWknEqdX8NJOOEL0 -l80iatO001100000030OA@7UatWKrz0000k300WA@03000808801020q0002e@100G00004V -pt300FYP3E602WG028068GW0000D_T200G00G1HiWC9WcM06HqC00W2K200M1GYqz31000je -10Ws673000LOuF0008e4jJ00q75xXP2X00_sLYbpF1uf3m_bZ1HG0igT9Ybx4000uI200Aw4 -C0005Y020HO30y@F30W08MmLYe@h00GVOYeZ1Wp3WoA3m8Vv0W70Owqn4000mR00W802000H -a5tJ0008PbVd4_eDb_t200eO10m30GVtHC00W2C0u@V30Go1WSo1000WSn@X0002Fevek_VZ -swJ8mU62ZV30W00Y0000d904B_35ppmz_CqHb1N_@GA0ICeV200001040G0004004m2t6020 -00002001000080410000800G0040021010GG1000400ktFX8@DW000OOu6mE00OHT6Q48X01 -I0WG00004000G0D2aG709ylE3v3Om@tC00010804GU06qol10040cQt002GC000001002001 -0W018004u1tDOEy4oDF10G2000_IpSc10200002001000080040080W08G00WZ@dmlnRaK03 -HHlHQrj00408SU30wk04Nj7vjdmQw600G0e303cv73002WNgR00004G18020000300010G04 -0004G1WzmD0W000W20WR@JG0e0W0G4We@DGH0KG4x64IT2000ef600aBl1zlpGhxF4YF91td -WW4a1YGG000000G010000W0W0001030100840i04100040G0041I01WX_D030WmJ@64v_300 -G0ApF100fS1Qsois90008uqzA000a2150K0000G000a0A0001400m01W20K00Y40u8O80020 -002001OSki100a2001000GGv@@40m00y4k1020023F1WaE01xzGu@U8W00eeU30G00ScU500 -0W1020SNk14020I_tW4zD0800000W00400W200g0qWoxJG0n0GuYFqpV2000m4F00000000e -0Gr@CanH2tStov@9008musV30040Cel401W0EWzaKjD00mjSo_ELCY1@@R0W02020008200_ -xE1420000040000Lbj1LeZnJxC0000Gim3mOwE101GG8W4000G2H002G0001000zbe1PZp00 -40WL@DesV3INpZWKIO8re412000K0uyV30050izF38400gstWJMI8PLC0400008000mjVyyH -116141WW0A810G01000005300Y600u@V3WA00000m000880GW000000W0GEtZXMCV0200801 -00G0000xQ0snt90W1W0A0WW8We010O220GC00GWaaV00210220004100G0040004wT5ZzdGV -56uS00emVdG880A20KYI0400040A10005002@b100WIJURG@uIizF600S8oyFA0G0e0a0200 -80qyY40G002RFXPVDelTFAKt0Wf90lz750800002W04001880S8F3HvQ00W8WqPR1000Zy00 -WK@T2Y088O0WHmG000YO44000ySl44800Znj500ov@@x400WQ1002G_51_Zo00G340000@TW -10000e40000X4020W00K2Gn@a0gK1e0wJAClYMpb00X7WH700000GSrV00000eY00euj7Yys -000mw20RiNmd40004K100wyt9X000C600u6W0mQWB3006q@@C000S60000G51W@@D00C1mmy -O0200O3x400q3CxOK2mTz50s@32o9704308sDW@@PWxy30000Rx700@@x10OML0000C3C0_@ -t0GkE0vmBQCad00000G08G7p9ilo6tVRmEBCaJt6tklnfu9000Tw7DC_M@dxrJeYAO2HcX@@ -D0mL2mLLOSPkDts750008R000b_J5222eJzIebd7KG00KrZ700X0c@d100Bj9RhIQUOiHl10 -0A2_@t08G100G011W0W0G51OLV38000004000G01000n@zD85W4000000C4OC93_eB11W00l -bp0um5W3pFPqV908W0yVU2bwd000W0e000008K0000f80000W0Gx@6W00008010082408020 -00WcJd1YW00000000900400OVV38000iF43s401YKO600010040ANtWL@DOzS60008e002P4 -E30000LY_3DnR0001WDAn00CWmy@C00Wl_@@S008Wy@F300O1opCal@D000Ami@j0yY1OnVO -000100208xR6INE100000W024G04jNz382G0_Pt0000GDjRmDUg000Yaw00G2u@Kz56PzNH@ -5p00G8jFFO6h@XllUuqV3001G00000G41Gsy518L1OcSLQJt00008XPOG_tCqeV208G0000H -0102uG43AytWXPJOhV30820q9YA0008YAK50G000W40040000H0W000014GWe@D000GIU_C0 -043K40084140008Wxzp00080001000104800SvVB00obESHbnHC000000808W000d9cm@@F0 -WGY87yAk1tWYm9vz@70wH0y@@900WI0G00180AfUJ3400000W000200000e9mV00W2808000 -0G8brGI_naO100u@@J02W000280002GFv9W00008000080e3da84S6_mnWKzQP_V600O2y@@ -90m02EWrW9ODW140mAvL000G08000004XX@O8503_797mv20@@V2090moVPOa53s8mW@@P00 -q60G0YKD040fZ_0008100000404c9nWqrFPZV30E00qPm9GW00Ge882020G00W80W31100W4 -160G000M6LKj@@00W8q@@F10OcaX7EPF0lP6UOpW9pAPfbz0uBFZbIUO33000G90_@F100GP -000d100Y0O00ODZ70WC000918hs4ozN5mO30@@V221W0C1G1O2u2m4m4Y9W9400J808W00G0 -J00000C00y@V200h0ug@10x@10000WY00WOpPmC00F0m0V0GPbFss2000Gh200rm9Qo_WDbX -15ZkHZsX02U0eFWGsnNb5zneYT9IvMY1_n0000J_n6aKGHr50Jl@d00GQ_htesUs00042008 -02_sWtwD0004oBAji@V2W@U023k540W07Sd01000W001@@R008G000400200000W0XGGWW20 -102012130DTPW008010GW2001QstW6SJ00O00400WniP020WGx_6000G8VV300003P0088hJ -sAc100GHDrR00W14G024012G028WSSc100G1Ci0810W0n04WCW10awcC0028008Y00500JpR -GlO9W808eBQ6Q8tWmxD0044m@@600Oyx1_7_v84aG00lnRGTy6W00020W00W000208GH_dGR -xFqxl10004oUm6G4C0nWtIW_9030040000G00OG00W00200XW020000G00nCtF0010QxxV00 -0WiOnCNbP08W0W@wV8z_AEAG80CzelY840410002053cmktH1_0081_M6@t0G8W0000008W0 -0G40eGT3EwFXZo3PWyPO700CLVB0WI60002i5i10104sFkYfuPG001G@y@00GyFmUOWYI000 -b2IHWW2G00508J00W0Y00000W10ub@A_8j8mGB0XW3p2_90G0100410W00WGAC0200X00GW6 -zD00A000200Ye00r4QJCSFar83@@J20AXqLhIWI0044G81Af40nvRG6z9yJl1ZwdGZ@@00eg -z@VO0e00002000G0000Z000KHA202GW80G4008VG3000Si_l100e0k7f800000h10wf56000 -080W5000603YEG4100K0AG00004WC000GGj193rbR0402Wa24oQ00mzxm0000008I0L030A0 -41e41G8X00iIj1NwR02b0O00013YP000WGI000R7Dpy@C000XeuORGV00000V1zr040G_520 -0G0WGG0004cI730eW2wx790y00@@t200mIf100I3yF00Bj80Bd60fP90000i000T@d006100 -890000G0Wc00000r800mY@@000WiS56_@d400Wn000C0c100C30006000i600u7O0GImT00W -4X3LHAO3cd10000Bc60MqI00iAd10WA0000000QfjOO62L@11002R_d38s1W@@F1009Gj6mq -U6IE500QJZA0W40riX400ftSuZgs@AG002ivVEW9J06LlbczQvEQ9Q@dXxCtOaQ3cNt0000W -fK_menLyxU5fld000C0G1807md0001001Y80O0G802WqCj10I40Qms08G80DuRGEz9000W8g -T3YocXxwDeEx400qoDJj4rBBH2zCiXZ10W02G0000010829308140G010W0182800G00W00G -0G041W0W144000000280040004000208000W04m5wCirV2dopmGV60m00u@V30nv0adE37qZ -Hc@CacW12004Mmt0W00WG0O0102005000W0404000G00WFvPGuvF000GeXV3UtdXAgn8_86o -XdaB@hG000msH900GG00W0m2W6i_l4tSb00G000X20D_330WOpAkNQ6S3A1uXbrD08G08H0G -004W0d_FZXj3W@@v9qy400002000ipX4o5NYqfCugV300AWay09z@d0x10W@9p10Y8IrQFCs -F62000000K00800000X8Y0eM@R10mRINc81W800210GJSLSTb1010100W28W0YG4060042mu -yL18b3mo_s0700ekU3G00GKok1G40HW0H5SxV5GaO00e848GGG42W01010WAnPuuVImA00iH -1F00HWQrB12000fPn01000AG40Nsd000I14000WI0082000I0IOxQLgTsWdzX9cS6WG20H10 -00G00mfe64XS29ydGn06WmG001004810WQ_D8HwM0CW0CpFCNbR0400000W20WG100100WOb -100m0041afRVuQ4300001000100W3200cNpd1000i900WqrcPBU30W104Rl1x@R05m1WBFV8 -Cw408000401uCSR00msMmhDr@R001W2G10W00X80410014GitV6YXZ14Gi4060aOY0000W00 -8200W000WWa8v_h2WE7WN_FvBT3o7e20020004W00zbWG08rB00Gq@Ieo087e0000e4WQnjn -F00mmS8100mqP00@@@9GI0000WCIMS6000q400m5G1020N4N08000SH0SxlA00WbMJ7cQwCm -000GNc6GI000NW00d1k0s1k100i3YBT3Y0F08mLmWFy0vh0WJS18oq_g00U0eszV0Wbf10Uu -XCD3GCS645VK000ugIsW@@V0801mHlCK2NBp@RG1y641FCX@N10WQaurpN000GJr6yHvFHAq -b0W0Woyn000W0408WIsPe42F00aTC5s9vzRmvjF0002e2L600G00W048Qw40W00O000emE6g -HcXIy2Pz_402z0CaeDPSRmdv600280W40mDpC0W00iux4kgFX8xJOny4UAVZZsPmR00mN7jC -RT2rvRmRw68040wlX4YS8X5rDerV9UfDXZ0JOKTF00i6cz0FnkRGs3C4zk1Z7BKz@C06V181 -pe_us900GifhPppTy4BDC00WjJXNbsOKPXS6_xNY5xX1Ka1mOdgCz_9F@dmQy60090euIIIs -V3P300BmVY00004200@iAKYum00mmgaPI0001qJkABmPGCS6W000iwy72RpWIwR1mp2mBhd4 -gl1bmbGnzU002410W4200080W00LfoGiX6qb99t@d0000QJ200xxUIWwj0000I088GOuFKoW -1bqkHu@L008Ex@@D0002M0U20W20IrM500823mLnczs0WH1e8yJ_o@40030010I10G046k49 -YR30006n2009mXn1z6080W02000Q1WWioDu4hX1004100O20000W1GoOuI8ij7I476GrF0@@ -lHt06CIl19mPGkfjC56dF2wnwsLap66040000eaKD0C1YJot_6yOU5XKhIPs904E08qQIIh_ -10080vNlHIyOCml10280I3e5000O95mmUsC4yj70400MjTZOiDez5OQ60300EdhtsIgT2LgD -3v2V2G44WoCkY020000O02008pCnJqr6GQW0e@SFMTtWRtD0820m2hOyUU2xwp0060WqsPu6 -v7_ew400qN1yZHD@9CtO2FZdmYZa0W0G8ivA0018CsFCWvP0MsledSSDP@4ksF108007Vm30 -Y9trGlQdU6E9d7010009L0QKWgkDUuhTFgTt3000CHhyJ7@ISgU2zvd0040WGcRfu@700mKD -4xL400000100400eqQ6E2hblyI0Kw2GQ6K18W0WK0W800Y000G4tVc0W00WVSc1000bI00Wh -TTY20e100W040080m00G0GA00G1004000K0WZmD8zXP00K5DwHK00A0M2t08O0000000G100 -800CTdPErE1mq60b5x40220GW00041WG1200G20IY00W010WfuI8TV3YELbazD8HQO_xF4m1 -0100GHW8040W000Y00702000A2C0W000800_vlD00stJsFdGRh0004k51uVBoCp07Uu1W@g2 -pCN40_l8LzFHggA0t@h2006uw@P0Wn0mmCHf0c0G1C1i2O2O4m4m800WH005W00A8BE32KNb -XtJ0000fi00W6fpFFyb0v@0i7JBNfI2000Y7__9lP6000GAP0087hGY2caDXP0001m@P@K6B -3006dtOrcUM5AtVL0w10KD0ONMpGirFCvC3@dp0841WSuPOk63EkzdLU@fSS30400i4U2020 -0Q8F100tWvFLHloFi3R5RbpW00000G00@bdG9yCyiVETPd0OS0WusPeHR9YrsWVWzOhU3W00 -0amT89c@mzw900018DM3Qlt0GG301jpWk10W4SAwi2aAYNY85IeXybcftWKiF100WHtWC000 -308W0mw_6G00002200G0001UA000I0M2pfbyP8XzGYqq0K0W0I020MrF14400040004800I0 -00000So_6ag13PAiKW@g03008f@40G0004G000GAmf@600mLAbV30080y8RKT@RGAgUqbk10 -1014GG0W010eLE30080008028401WW00W0000DK0008000W0u7V3gcj800805DdGX@X00W12 -00W0I0522G20hiP0W0034e2G0W021K04W40O0000X_H00020000G0M5Kez@D0a000b0G1A00 -0G00pe0000002PI_G0W200W01001e000W090WIK000O600q_j10M1000ai10000Y0eG4ua43 -t91rRmsz6aQ33@@Z1C10W@@D040e000YmUxJ0000K_z60GT1000OGGpEX04000480m200M0W -0htJ200W001080W200aGiG40H0G000G0008EW00W40W822100W5v26201400WW0dWRGar290 -0WijU3002000020W0WmYjaykl1G0408000014200KW0G08021020100008E11YY8Mfb0004A -01200D0004800410jyRG6wR0004G000000WGH0H40e1Y5W010WN0002400Y100GO0W820q00 -00Ip9CL0300000W80e1dt0000004O600082000c100G400G400aml10GL8000W3K0K7000eX -00WqHAQx@4CGa2Go85WCW8091P000o0Bs421q400e3e69IGDIa2Oa85WcHA0T0L0Q2_Pf0OW -850000D@69I1e9a2G6G1ORSOkyl200GL22Uu5aggYALL5cP200yFeo@3n@000cfgA10Uu2Yv -m54ymB80_7um3FggwVOcPGeggW00000y0gIG0ylWW7UK100mCJ0kVlefGS2000ET00WwoTw5 -yA2uE10204XL3300TaXpJuzz7Y@@XLun8IT3I2NYMmh0000ukyp0_S0udECAI@Xmqn8xT3QQ -tZFARvYy70001m200OVERgX7ZJuhu9U6QwdXQqPe@DC00Spz@F30401Ep7ZvyDeG53MqlY_u -JOHV3satWPkDuLV3khdXE_Qn_C2oMzXKyECG100oqtWczJ080WGT_Fakk4jQY100mJc1001v -Bn4ypKKE9PmBnhva000oE@V6ozlY8pPGW00mlxjqpt6r1g2Wo3WU2pP9@7YutWD_FPVTL000 -Grar3V@dmnaQ5uEC00MUcxMbC0ZgexJ0ZU0aQkMLCMHsl6W00WuxS620sWK0U8x@4W700K6C -9jpKH81LqqVK000uhT7Z3GJ8MPC6LJYvtF9tU3wvwXK0au@@40Xf0KaV59Y6IH0Ia2c7@5@V -VpONKgJ000eyD00KTzd00qAQbnlY@91eT2G7b8DF_L000ODF0000084I000000Y40390z@@V -@D000WBIpG706a205H14In0U4DGE2001000W8G0000082200008W880a0J1WYe02P@@40n20 -y@_3f2aJ8168mSC00087E10Wq@De303I1WYe0s000W300000a31u00Gm90002vp11001Gu44 -4000G000I5mcG2OedW4oxv@xY_lkf@dhw@uk_@ji@RRx@rw_Fjl@FBCswdJ0G00Gkh9KRl1f -0GH9qF0k50Ofn@xXsZ85O88xD00u4aheSXecGA0Kqbl400o72fWj@@D0900G306a2055bB10 -0JXRU7hn@7EjtWPxP850Ao@FXa2CxEr@hZz@vS@FEu@VJ_@KId10060000G10O0f0GHUfLK0 -VQ09G00084H420001I0H80416810X8GI1WYyIJ00082209G000aC000cgt9vG00m14E10000 -7E08HK302dvW00mX89000088220000IY880Y81892019IA0K810000u880000vGWW0Ga4000 -0OWS88L03000S4DG8f0GH81F4Gw@bf@@Oy@7c@@@@@Vvv@F__@Xt@@t@@tk_@hp@Vw_@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Nl@@Bg@VYy@Ve@@@@@@@@@@@@@@@@@@@@Fy_@1t@@l@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@R000HP700y@@d00k3@@l5000G@@B7mE0W@@R1002m@@@@@@@@@@@@@@@@@@@@@@ -@@@@@FXW780_@76000895y6m10W@@X1010m@@@@@Fj000uyA00y@lA0W00_@dgLggOgg4000 -5y@@90uSG00OW00040ei8kGmG000YcMk4GW78Wn0H8YGw18AqIKe8e0AHm3T200y@VE7V9nx -N60Y30ep3L0080W810tV2000400W8000100E0O0W00048r7800@H0W0b000QiNmy@V2W822X -400a2W4000um500y@@98BM5@@t000C0NdTu@@@@@@@@@V58000WGGE801002dvW00008S263 -00YK0I0d0008k7W@@@@@@@@@FG0180@@R01000000iq700_@@@@@@n71J00000WA90Wl2c_@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V0_@3m@@@@@@@@@@@@@@@@@@@@@@@@@@@F -e_@@v@@@@@lhz@tg@@i_@@@@@@@@@0@@@@@@xo@@@@@Fu@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@J3010m307y@VH0008j100y@@y0G00U0uW85_@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@4400m@@81000op00m@@@@@Fd0200_@79000KU400 -_@FA0008B0Km@@51000q700m@@E92008eWb000000Wkx@Vg0000_@@I0w20_@t90G20PFu40 -00I@300@@x40G0W@@T200jo@@E1004CPF30100ic1IW6I0c7XgzHM20001200W@@Z2028ow3 -B108Vx6be400WW000w@@b0qs0y@lJ0W000200G424500GmYB5100W0g00m@@pFDZ1@@l4801 -W@@T2048mkd60002CGAaC500CX3d000000G3qmrd00000qm0y@lJ00OM1CC1I6806000us35 -100W6w00m@@E9008060G0404WyZG20GUo@@H1W7U8MEu100W2P008mk3p53300UdnhfuAV9a -ss3WgQ0_@t_HuC86Ea00WJK08@J0YKa2v@@@@1i@@@y@tl@@@@@@@@@@@@@@@@@@@@7bQ2t2 -Z40W0kbh@400008g4WKbBAaP6MNtWdhH2000jk00Wud5wXoe_@Vi1IDOvS9sEt6Gn707I750 -0WW@@T2X00Gp_EX00000C0GKYdCyF900mscjNKGN90xrzVUV@VNu@rD_@Sb@7tv@dopC400W -6@@10GJIah@@Ox@D__@Yn@N6_s@zN4000AF100hj0v@@F00es96Eg_Kq9GG30ZX@VOw@@5@@ -Tv@@@@@@@@@@@@@@@@@@@@@@Rl000WtiDuEx4I18XQdd1000BX00W@@LX0W0m@@X0G0020W0 -0W00820800200J4F4000WV6mmk19SYU2000V@@tZ012f9T90W40020W00G420102W010@@R0 -900Wa2o1a@3GI1Ez@@@@@tOJzF0kD0e7WDQjtZi0KvdFU000WPB008VSFko7Zi0O9yx@@E@@ -kt@Vx_@p_@@@@@@@@@x5FA0HF0@@h20W0W@@@@@@@@@@yfBy00WaSo_@dtTH000Oa400y@VK -DNR0e40G0G00p3om2XIabz6@@d00W2qVsEfe0O6LqWSpD00002020WVLU00W4G3ZIqJ83ZUd -0W@1000A001000080q0W10000w5C1001000W0UK@Xy6POoH9000020G0000G00W100205x5c -020000840Zmu1040WfnD8I16000m1U00OoT6oFqWRtJeR03gOOZ07setS3w3uXx0EfPF600u -l100W00G00000mgRIexo4QlCX4SWP8_7kNy70_20L1kHhVs4Hf1VUoGrFgK3g100H00W8041 -W100Wv8A00y@@6004GM6Cd2ID8T@7Au730020rtRGIXF0400000Cv@@L08Z020H01600WYKp -fA9X00804kg1WVJ0_@NYe5C0H002000XKJEPKoA0100Kuw3XkY100GW8LD0004u01600H00G -0000W00000aG700_@N200YC01000mW000X8v@VOW000cLb1G0006dr00080bb620W0WePb00 -0G4400000_M@@B100W80W000O6G0400qXAC0002000I105K010850WK1410000H0e0000010 -00AGmCkR0100020100200000G0810wfb10BA0@@N10J000940JuO30010000G00408200000 -0W100mNd600W0OcJ900X0yy53G000w_oWqlI0000Az10W@@b002e10002O000@@F31010000 -GYu0G0G40YGmG080GHyD9e000eUc4o_LYAoC00800000a3ID0W000011000Ux@djX000WeOs -ejtDY4s00WW04802wnnWAq21020mynCG40G0000090042200WJP0_@730054000HI7QZ@@h0 -04611000D00048004100Wm00G40q021G01001jCl10020002I4160020a20W0OB23030000I -10000XR10W@@b0z00000qa@@zJQ0000KgM0O90000F_@N200mq08DB1WbfX5000cd1m@@j00 -06G400W85G6W8Wa0P0P0o0H2a1Ya03091a4WtQt0J00000c00001N0O0W1W900020c00Uos0 -mrE0@@B10C000G500W8m00005I1C08p0yF011W@n4pCZfCPcAL54LgA8cLLG0uV0000K1m@@ -s4By3@@R033mIf10000F0_@dG00Qp342shv902G0ewuD2F_XM5P00s2GkpvqS6CDZZnEx6aG -_3rFc0000EX300lexHuZ@a4fG00Oj@@Fg5wDeJe4AftWbpCO5kP00000Ow08W1FsoaaCzJen -63008W00G000W02100W4tCOSV30W00Swc1PtOm0@60000CVR3knt00220vLy0000Kg200@2m -GNt6quf1004027mWquCeV03408W00009Y03000004G0PPV9084002004WG000W0mtzD0003G -PvF0G008U@Doyd100NP@@NHg064Eg1Zwp001W0G08000G800W0stl1D4aGsVIaid1X_P0000 -e@yI8oq7QMmWSJD00W0ORW6KTA3WON0E@FXm@De2r4000G000104G00800Yk2IeNVFEAq00W -G0000008W00102488m48G000010v3Q000We@@J0006nz@6G0W0e_zD000m8R00y_rG080000 -40S3M30020K2h1G400W800a_a1fpBX800XatD000W00Y10080WTKOmfK6y1V5NBM1H0000CD -gJCM10I000a0000005002SVF34000084008800002000G00002G000W200A0100020080102 -020@@R0100200G8RqR00020G000W820_xOZI8t0yP3GXkdiBf1ztRm5560008eVI30010100 -10801mvk600W001000I000G000G008oeSZw7OuToDeB00i413XHOGFl60010efN6QcqW05C0 -0G20040Wu@DW008mT@90G00G0000400114W8a20CG4WG0eP050q0208202G0H00000Y80ieP -2WW80U@F10040lBM1Y000001E08G000Z0y@V2G400c@F1CW08Y001gOn0808000K080WW0L0 -0G00W8G011G0WW018100040024100G1W4000K208040100GG00W00H00000GAGK00G0C400W -00G0080GoldF000YOyu4I0r01000RTA10J1W@@hmW00W100W@@P0280W00008000W00A0100 -2009008G000G400WI000a80G026WW0001020mWIBI000100Y000020000e00001081OzY700 -GAKpC340M0eW2000b0OYZ40000100WYR00W000WtDDOqK64200G808I80001Y50005020800 -0Y000W021H00f0084100a20004100101W001mz360000G209GsfFyTg1W0002uK2G2008000 -gB8XqIC000002K0e1HJ00G0000BU0W02K000W100G100uPw40W80000muUQ60100m00004G0 -4G10400100WGG000G0804041W08000Y00aG00540042140uc56008820400G00mc39y@F300 -1OgfE1041W000108O220W2G200GAiC02E1000004W000000GG800000e040u@V34000100Aw -zL3m10u900000C0q7B9000O0009001100041404000W800WGfck7011K000eOOR300420000 -00WWm@@IGeG08Yx40010yfj100100000r1h1Q000000WG20000000K00WEQJ00W0000a2008 -G7UR0I0800G100W22004000090G100004XglV0A0000WGm0UJW000000060000G40W000001 -H4u@V600n000008sj40G94200I0j20800bWFTD8BE3Wa8000e25jI0E08b0010mj1Q090000 -G0j00000810ilh10WO84008m4u011818HG4040G000H0070100051CX000GC100W02008000 -4A01Y8z03000000H_700G8ipC02Im021a15aW0A42b0000kF00W@@J000egg0000mCp@@x1W -W7WGpg0000GeP60Wg00000kmNeAPk8yWVHLfAc0uV0y7pOcf51mV9oSc2000CG2G2t4WaW4G -8XGOG000W29000041208000O0W0m00148W20m0000101020J02GYR900040008800W8W0000 -Y0P00000a10001000m00000uPnn00002KT000mR0000W3091OA03EQq0A20000C08900W8G4 -0002000W00K0J2i0k0S1S1u2u2m548WB0G500000X84020P0D1r0w0g1Y4K34v0m0000G0XW -GC2YW2X015a011000A80_@N20mC0OU60000C@@V81xXn236W5000000mnU90002GG22WG8KG -40fGG88000G90000001yF000Um3UuWdgg44LL98u18@xItlqWzUIOxPCwm@4000iW200cpV_ -@ZC080WmfwCShk4BXxn@@C0M81u@Vg0001C5k1BXl10G2Wbu91000az00W9Th8zuJY1pWFpV -0W000004G028000G180f22005W0002144000008GG00W40qjE3jsnG0x6CXi1hsd00Wm6040 -8@@R0110Wrxb8DP9_@@4eG20@@RmShCCWj1liRGJN6y2@304G0sKt00W00fcd0Wx002012fE -mpxsC4gN2@JR000048W0AG10GW0200m029S73cwEXOtJewRF00002Q00u@V3wwnWrxJ8XU3c -@sWmmX1002mx1yy@@300qdsGlblmFvzE30G4WSXF3NVa0008WbqRnOR3GB12zkE61iRmOT9S -kl141P0YN76h10GHklq1@F000GenZ4AZtWe6c10WVzCvXiF@CA00410800041f953IDp000Y -0441000Y8cz0C0MV0k3aXsvJeCzS0008MG930002did10001JcCZR00Wq_bub3U00082n44Y -I041G0002520082001G00040000140G0182WI00G04000C2N2B_720WqnMJJG200m4y90W00 -08084200WdFc106K00OW0Y0g0000600000K000100WY0004GW82e83001YG300Pk@M0Zn000 -08ShV3IxF10e000H400060EgmC0G0CG8020804040000010ZXL0A0008W0G0OEW0880600i0 -0qW004aYghH5000e9wR0W80Wz6DuKV3O1K09G00008000AGW9@X1g041W000800am2040008 -0082200Y00W41049000028GW0004180D3wx@4003q@zd0008WoXJOy_4Uo16000zc0S0m_B2 -100000WW80e70100XC1E0G000I441018400040q60000m6oxN50gC0A1000G2000W10X00GC -S6W0Q58Hk4M3F7@30000UgKr2OAp5XNuB2W@N4Um3EyW70@100_BH008MuMgBFg4tCWRW30Z -1t0C1k100O20G140W2O205m4WBmP0JW80t000Y004ulA00ep@@t0o000a4a1YXpW4vO8VTR0 -0yF00pC3uV00ym3WN0U00FGBQ1WMq20y3_h28W0W9t_4000b700WbjpvcxAo7d10210hlcGA -v9C3S2040001W040l10000N7EXO0C0008GR06008W3000KX06q0W100G0044000W00WG0mHt -90G00C5W4w2mWwbDurI3A4mW0pDe7z70200Cck1VC@0000eZcD0400Oqt9qLl10088000W02 -000010m1160Ms100G008010W000xhR001020100W008IoqWNsD850300W0DYi1004002W0a6 -W1F5OGCv90800AOSFIU76000uc000_L_XrwBI0100022000004020sas00400A0000G000e0 -081r4UPdXQrP0004mke6000000W6IRyLqpqF0W00Y7s0400X8002G004axw30W00hdN50360 -pkJL2n60W0G00a0ulT90060OF@4W0G0a2u9000mYKWX8_bOjkPoU81W440N@QmUS6q7k1080 -00K08azGB000mwt7Z889FZz7W0000400Sq@P000osiB3tX6LBuLCKAC00QQpK0iKqPusU3My -36Gt70phUr5S64lF3014GMNr6l000zmg500G00G00nHpGr_6K0HB00QR2izA0K20000W4200 -qgZ1a20004G4G10G4001GdTFy3V80kG0UzGB0010K0Wm000GSxZ1W0800W10anc13xsYx10W -AWr200K242W000K8G0FW100W00e000700021XlFQ100GTMnN10AH000G00240W54008HG001 -00G0004400W0009200Nfr20q1WHbrAjR300XY43N20800080mSVPB000Wj300q_CL00W7y00 -0GLggo2Ocf5LjAB2FyM4UuH50@Zgg00LLbOqqj00eT9cwh0004100O2m40GCSCe0c06100S_ -Cm0O80OHwvl3aXX6D85W7657c5yD809sc3FXmmL1OF1mtuISEd13gJo0qCKLB6Rc@mbv9ids -90008bC00KTW4LFUII2RK4@I00SZhEMewsdFmU94200qOsd00qmojken7_FyY@@_u@kJ_Vxb -@pkv@hV_FnVFol@XAyD84VU00GXahq@tIz@S5rTv@6WzV0w38drZtuuCdS900afl4tfjquP2 -10WYRIDdspNbGVL1yP3GzuIq1SHDpBqHx94Yh7jINqKx6Klz900000I00qBF300cJFtcdF_v -vrVC0000UZC304000vW0KMg71ippP2XaQF9000uj800KDu@dzN4Hj3Wn6@lQ_Y000CCol1Jh -oJwzW1qC0yL@F100WgQ00uHqF100i20000408GlmS20M10000K1fS200WLS00m@eV20GJQhd -nUy@7Wc2020000G20Slx@D_@30W0m@@DW8000008aymv40m0pTXWTlT5d6AH_nLG7008pj70 -0204yc1DlzGA0K0W000002G7SFKel10000Z00XK0OeKyG0a00K8m3WC80glo04000vyB1000 -40200LVzmHu600018CB3Y8WXzmD8fW4QBtWyoD0002II1aqSW4000GP900aSm6xel1800Y_q -J8HTF6W6ZQuU8AE30048q_@300ms1004000G8xQ6G0004Bd10W00wQWXK0Oe8J300040000f -Xk4EDdA0000WD74_@Fpj_D0000AA10WOKoy@V30WlRCQ_d0000Ggj0CG@@1ypSjYOame1WS0 -0sh@@tH_v_V300i86DIZDmM1876WGAQSoP9000GGC00u@V2ZqrWGTDOpA3_@FXG0WeoXt_@d -4000qy600IAWqhUoy@V300e8@@VQ00m0_@d40G0a@@@0GU3W01m8L03g5uXK0e8A09EHMYQE -LXG22GCSI48W4f2yGn06a205H1Kn@@I00921Y8G8a0000004Y000_@t34YCGH1y0OGJY01a8 -LW4W82G8200W4X0X884fK0e8A09g8mW@@37G40GdtF0700e6Zewn8XE0S8L3OIsua@@dHW40 -2Y09G00088I420001Y0H80812m@@X00049AW4000WW8000004ueu6000Fu@Vd000GY802Y89 -000088220000IY880Y8XS800u02E1000W370000a31S00GuC5W7GWW045G200WWm10000892 -7002E100G8GE12O00000S000@@R00oXWK129QWAI5mWMg@Vbx@J9@@Js@la_@7v@@8z@@@@@ -pt_@AHBTRN3EtrWLtD850AY2u40000W800w3b@4HP850AY2ua85ewkS6QmkYQih8A0C_@dA0 -009T0uGA0K450Ap@V5008eE0S850AY20bNc@lbw@Nv_@Ko@@az@hztl0Ib0W10OA0K45m91a -Q6G2CmK0e8AWJoPYg0IbW890PA0K45m90008p6bd0I@l_@@dcz@eT@@Pu@RM_@bf@FPx@F6@ -@Yr@VO_@3s@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@xm@lEz@dZ@@uy@@@@@@@@@@@@@@ -@@@@@@Dt@Fp_@ly@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@X7W00u@@s02408fWq0040aKWDG600_@@@k7Uu@VR0710480F -@@@3008W9B8XY000000Wg8g00W0Gg0py@VB0G00_@F400pn@@lHo5IaA09X2qo@@a0ua0eC1 -3Itmc@@@@@@@@@@@@@pFO59_@@100W8H142000Og0000000G800aA090W00o7uanNQPR6F00 -qACJJBzAPmsCEz@@@fG4oR4a45G8000000WxcAm9v3S200uSW00O010080eiGyGmW0002DNk -4WW780p0HGaGw1GAqYee881AHG7U20H1S2000006mvf2u4000400080W810_V2000400W8m8 -0600G0002ag7400@90G0L000w1045m90000500WaAWJ0WiO3Vo0000m@@N100200000000o4 -hYG65m6@1a000AQ0GMCh0yGg0O45m9@@@@@@@@@@@@@@@@@@NQOT00SJ@WIbneGw@Vf100mA -40WN4C1m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@bn@@O_@dU_@dl@Vvz@F_@@@@ -@@@@@@@@@3r@VG@@7M_@Vj@VNz@lr@@@@@@@@@@@@@@@@@nx@NS@@3@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@N7000m@@31GF2m@@X0800u@Vj -0040y@l7000ey000q8WG@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lV0001Q0mWK0au@@G000muF00e2 -SjG80042U2rWR0010m@@31000I204y@V2W871A1mWO0Uu@V64000K1kD00H021d102000020 -IAWaE3Cu@@4aN004SG29eNHA3gy@@@DrdMMzCKJH2r0mG90FKRl1000WJatW41OeKU3Yb@XW -u@f0332lWX2CCe6W7Q2WXYCC000000WGW41mOjU51j00Kz@3n@RGA0KSj@@@@@@@@@@@@@@@ -@@@@@@@Fg0010w0mXK0au@@D0I80y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@V2ogy@FCWkA0oaMk@@R1000iA00W@@@@@VH7y_dehJOCS3_@dgTiH2 -003uEz@@GHu@@d002la@@lY000Gvjgy@l7Wy80QKuJ000Gs600cr@A00G0dzN4006ykRYAy6 -32Aeex@D0Kl3Gn@H1041W2A000000G200NmKKa2HH100W1000000000W8@@N4000q@@T200m -1K20YaPC0041m@@510M1u@Vd0G0W02W0uz7dIp8A46W020W60000000Yu@@Y004K_@@y0OW8 -9WaGI0P01000Y100WScA2j00m@@E9yW0m@1nCc17000W@@@N400yW@@@@Y@V_@t0000Cs100 -IAWq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@n6uC0mOsOycD3f0GHK0RKp -i1F2a08000020Wf0OG706ati1H18HU0L0yg1000100W000040r1amjo9CiC3f0GHK0LKpS2N -7a0W00002040200c6mWl1Cemv4_A8XK0e00010008Zu0I00G0mBw600G08303cgcXK0e8AWA -_@@@wZZwqxby600iYOQ@@R30Wwb0x@@l@@xY@@jy@@@@@@uz@EY@VZv@pe_@Bk@llVa00@00 -810uEh4sMBX9j_P6E3sOJY4wmOjj700010000G004mlQ6Wa00OJS3Y1810002f0aGO09Kh5C -x44IKURq_@3008E@@lhy1su@VFG0000020010000000WXB1N9aGC098020uR13238XE0Iem0 -joK03000eqb00cB8X@2COT@zYemW6AC0010G85600mWU_YGMlRl3yC0a33G9UC4f0R98yGaZ -9qGX1fEa00008fJ00@@ZHCY@@@@@@@@@@@@@@@@@@@@@@s3EH086M6QYrWiOTw@@@@@@@@@b -00W0KWRRaSr6JcDMTSLKxz300A1sMplMs81SL0m@@GUKs3000GSD00idsL12_30W0WZvD00m -Po@@A_Q860aA0ET@XTtJ86EvkvtWZ@D0000us@60000s500mw@CCM7If1k40WfqKyt8CVvEz -h2mCE0Fzy0410Xj6V000WGTZvKlvF82000001uB80G000000W810G4Y00W00000012000200 -I0WrylAZIF0004C3g1RQc000Fri@COyF6A98jH5z0000v@@60413uuHCcArWoCfwr@J08e04 -df1s71000W0020WOKL34008206004W0Gog900800080mecc1004200G008100G00m000000H -0000000PB80010000G8040G4H0380400000280000068Y03lYXqCUx@@4e0000EW1400000K -GX70C000A00000A0010000eW20i4W1@@l70010000i65200000ka330W00eW0000m1uG3vYn -b108WW@@R00024020O200WGW0W900001014000000aH0102cyr00001DVm60G063000tgn00 -0000sS2f_o00I0mtFI0W0WG85f5Ny3LCR00010G0G2000WuF10GW00G30001200H00080004 -10Da2m3Lvc600uXnPb00mSs@@DM5j10408000Y0W00083aD0000mQw0YK095f0o041a48383 -G68IWC0D4O0Q8Waqa2W1600GC0C0y@lS0OO0000U0y0U0000BGfF8OZTGOMLG1b_OASWH4t1 -1e032O164m0E8W1CW03O034005aW00Wn9Yw@@A00O0y@l400eyFlfY7Sg8AWJIkEaDWDucy4 -k1E4WH30F2BnovCa205H14IDDE1O40eTfqsM@XSsD00G0mguU000WNX00GTu6W000Cco4000 -4q0W1PLoGA0K45G5Tfu4000Me000@@@@yy5nNx1OSx9pUu@3q@l0_@7m@@@@@@@VZ37CXhXD -0000eH00W@@F400Ym@@6804186N600apaWJc@@d0GM1W@@VhkP60000A000e103I1uXsVJ00 -00d300Wi0y850AY28axe9X000mkr9q1W1f08HSc6e0048B0II5uaQWhu@V90H4429921Y8G8 -a0008Y04Y0008H8Ga2W42100IAmWi089LWJ424HWGa0u@@@RrtI0600000uB@dJYG0824000 -1E0y@lJ087200EWWJ0000um10000vG07004EJ1WYe08XW08Y4000GcSEf2i4E004S200Lpz@ -qU@7Du@FB_Vpa@liv@9Z_V0fvdBx@N36xpp9CNS2f0GHK0dCBC@VPBKl@60082eC@nowdXK0 -e8A0FIAuA0G20T0uGA0K450Ax_V5044WE0S850AY20b9k@FYy@VO@@6w@VX@@B3Tl4UJ8bN3 -0O00c205H1So@@K9G201HW480004492100W0HW840a03C50AY2uaKXe2a41WW49100002IG0 -000GY811W890PA0K45m90008JDTf0I@@@@@@@@@@@@lgx@dA@@es@@f_@Rw@@nr@FS_@@s@@ -@@@@@@@@@@@@@@l9vh_@l20kC05DJL61py@F6000WP200iUTQn0Wn@@U00eQjDy@@x@@@@@V -C@@pF@@xt@l__@d@@@@@@@@@@@@@@@@@Fbz@VuVcx_pvfE900au7G0L95Gw@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vbu420005B00m@@T1X00u@@V00il10088AykEJFdYn -J0030GqR@@@@@Rn@VM_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lV0D900200y@Fd000 -ObC0Gy@@@@@@@_x@dV@@t@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fm@V3_@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lV0400_@F400Y2@@@@@@X00W0u@@G000mGH00u -@@@@@@@Ix@Vq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@R9000y@l7WnD0_@tF00G0vr52t -10W@@@@@@Y0dB0y@@60010QBCG000Wi000cDib@@b30mEvQWjqMOT0QD0ItWd@@X70G0m@@L -0W07v@@@d_KT00Ib@@@@@@g00e08JZDMH9m@@H500G0200W@@n04G0m@@@@@F9004000000I -00u@VC008E_@@@@@J200cW4ey0000X300W@@@@@@Y0@q00804u@VXExwgInDmsG0m@@V20mt -z@VaMOPZIn@14R2u@@@@@@@lx@VN_@@@@@@@@@@@@@@@@@@@@@@Y2qK08zMs_@@@@@z3qD0G -CsOqaT8@@@@@@@@@FL000mGF00Cpy@HAyVgzD20OR70000A00egzvKe23GSwBr_uLpgBA00T -k@@J00W8oo_M20Z18YK300000Y80e8uVE_Xg0ID0010GJp8jl1L00_BhwsWnkt8zxMEfcAG0 -80@@d0D10WiPjK84G0W00WkfDu@@Swz9Xtltu@@S00ybB0008DyVAUWg@@P0ml2B00000620 -56pGanm00029zR3000aSslz00qF00040008u0QUI@s00m40Vzh50xkB0gwV0000Kr@04VabU -300gWxJ00V9@@NqNuNz@l10lC0_@leC_YzjdAcPF700pW00G0E5oWjTOOPe46YZGG800@@Bq -RI94DV2vZj4000SI2003Ibm@@TTsNE00ufpZAXPbOejW4s0aaHYIukj4Yxt9GzD0bFHLu_B1 -002NA00mo_@@@Vc000YtMn9@000@@3@UR6SLiJWwF0_@FV8200RxX40W6q@@fgcId0rl0y@l -J00I00G8a4GgJk500kgoi@@RiWje6nB@@@TDDK3g3z7Gu00@@@VHi6yyrFHAyV_z@V@@@@@@ -Vt_@la@@@@@VRY@l6v@fP_@Pe@Ncw@Zn_VOk@@5y@T9@@Mq@7iVN00yRhmbp@@DGis3mOu@N -jVH00_XAxVf7xD8D3xT100isFI0820oJcA001o@@Z4040WLFe20000mN2WEA_4000kD00WxR -Nw@Vg00u3y@FIG0000000bu2LW0S0_@7920G90W0067@ys@V00GWp@@8P00000o0GaBXdDT5 -000GK000Kl_@@@t2002WY@h0C52mWz@7u@@ds@Vf@@Fn@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V4z@@m@@b1@@Oo@76z@VP@VNu@lb_@Pn@@L_@@@@ -@3@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vr000100000000w@VX00400000H200000G0W000v -08HH06CEW1@3ym@@d01000080m@@F000202100008Wc0O0000m8094803000000Wyy@@320W -0000080808h06w3GYG5K1100m@@F0W080200GI19K4G2958H8360IU18fWA0800aKWG@@B40 -010000em200o10ZY0CeLWPAQm0W000P0amc0sy@l100CbcEGeq3I8r060G01y@l4fHO3eR7W -@@lY040Gu7Ly@FC000WrA00y@lJbZO0000G0100P0am59y00mKyaWY2HnWIKCu559oe8d@@D -0a53m@@TDjY1@@p3008Eo000@@NNV1g00GOkE1g4400y@l1lZOm2COaGZ4@@N1ms3W@@T200 -GJLFC00Y0OQbD2iX4000uTMmJgGU4f03Zu81008Y@@F100L@@@E100ag9360W0GCH46J_OGI -Ha0Qu1eOdeIrfblT21000d900W@@Z2042001000005@BPGFJ60102u@V9gynW@@z00WDy@@K -X408evg4kkAXYNa00400400WDi21SR1m@@E10gb01XG300084000000WGa4000000HIGmCNI -e4000080mnKLy@F3000urD00i1Z7PDam@@C30mF00000@0P00000DsaG70CSO53l0Kn@@F0Y -N0u@Vx1W10KRb7pHq20Wap@@hOAE6cepWzua00G00040aPZIOue4QRp000G0fmb0800WE0I0 -0000420WwuOG080GJS9K_c1r0m00000G@00T2mGrSC0040uJk70000TSsCBe8nMS9K7t395m -004000W019kOWv1000400BwbmcUCG000uyk7ENXa85O83G38000aC030GW0MEJYVys0005NQ -Lva7t3F2QGuIOaPcD@@R0OR1WNqsumE3kHqWAxUuBH3008WKyZJ9xL1h00W@@TArGO_4B400 -MBHLos@@s0SB0u0lDklqW7CD82p7knq0004G@@@@@@@@@@@@@@@@@@@@@@@@7ued2z@F300w -h3jCaOus8BEc@@@@@@F40y0GIqs47E6vknm@@OK1d1hfYnSuF0000na00mppgCVj7lYV2008 -WX7nujnA00GKTHTHpfpGa2Xy@FC0xO0gC6ixrDO7JFYpq3U200XPVITzLS5sO00oVoUxdaeJ -0400mOqOG0008OFU0di0aw6F1xbGKu6iKCL00082nzXi7Wf5k@t@F1nQF0ZXRsPqgyYk4HNJ -LTktc_BFWE70YlwJ000mo600AiFDG100b_F30W0eK9@@@V60W20qGjD000epc5cJ_p9tdS00 -mHUizO00400008rvl16000Qz76WF00LYysxuS20W90000Wa00WL@X10GGMMi@dqS8FVRmn_U -0000XI00GB7di0j4f0mGWYg46k1B9hozBF480CJER6eW4W@@P8pR3IAehmmV8TDIiC00aW2I -TzV500ViBh9y@VF0820SvDFNZwKI1sKgV87FeLs3kMI_@xxB400W4000000SdQZCXYvJ8HI3 -MaaXQ0OeYU30002yC9FZoFSS_60G00G8000000Qu000Lz7IY@F4fG2@wh5010WK@J00mOxsn -vSVSN000I3jr0W000WkE0of8XKJPOrq7UmrWe0UeDW7E7AA080000010000hU0000000110W -g5U8S13kJzXe0UeD06_@V60G0W@@J200mt@@t3GW0m@@a00l1u@Vm8100SzD6nYZHfXC000W -3700Ggav46_@@@@@@@@Vb@dW7m00000MbU2L0OG809a@V20C00Z2uXc0au@@h00av8G201HW -480004492100W0H000000241WGq@@CG420HW1IGK0C8G2411W4u@@c102X4H044HI0000GG4 -40000a4X3001dGI40224S200000Ekon008I2H1y0Y440Ya00@@@Vhs@d5VK000G5000aGl10 -0W0QB7I083gU000000GsfEd95O0OJ30WC8_jlp9000S5300ten301020080HRd0G02WRKzuF -yP00uEajc408046zq600G0BClnkSIis@90F40sLFjTOj1000Ev10WGuU010Wm0Xd00G04800 -4001WrnJ8xJgIsVfg@v9wVF0WL04cVE@szmkW6avQKnUOJqo9aWh4b4J50WVs@@H200WpN@N -1yd1u@VU0WW00008w@TXc_V300Gii700_@@J00p1@@@GGg6qOy9G400W0000441umo7cZNH0 -0W8b5yGO@K100W5y00mU_y00200013mu_T10umhU0Cc_d4C30H0000Mpm0100YXW_m@@81w9 -0OOU9cMkna2UOcy4shUi@@L10W@rjgF8000020mmsjmKZoL@@R0ul7WKVheb5L6u6C0008W1 -00Esd10K000004Ga04CfKEBkGLv@y000F0006e0U0004H49nh50I0W@@J000CmPz60400083 -0GVza0002000608000O000FFRG@8KjBW1DzpZ030000m060000003O00p8T@e00CA6qj1d@R -mZrsy3sCxcPGEed0Gy0u@V94001CJi1F5JI3v9000010W0GePH100WUR76EYt000G01YRmzx -T11008SyJ00q_zJ56vdRmYz9ycz60200_Ws0020WbzG5m34W@@P0004KrWCieF900080004S -tU26000kwp9000Kw000gcP34001J8z002WW5sD0300GMwIKaBL00_r_@V30802x2QGoWCG00 -00002G9@Cq_D3nmXKv@C0ex18x19gJpZG_De6H60004Kbe18W00ICDA000a2200MGFXI6Du3 -HOkoC18220hEH50YbXE4520Y0W000nAsL9pGO0bO0Scs33lr2008YHu03000jN00Wo6VG480 -GFSyasVK00CgQva1K100440HEsk5820WY0H0w_r00100hhHISbm0_E0OSx4QCr00484z01pi -d600H000WWm75H100WuYb4820000040KO8IvWm000Wg1036grc@@310GkyV0yS5cDbk13ew1 -WMNP006GW020W_BL10eW0200WBgD00000G0WW6vY20009J00WeWP0000X008YqwW1000Kcn6 -Knk7ZxQ008IW@@R100CVrk904000000fG0W0WY00t7hI0o90000100C0a01WvzZ2mV1mv@90 -00q3000W@@2Gl2005@RZ8Y0mGpseoDLY5_1v100b@R0Y9000802000T000W6ylA040004180 -00220500008B000mnx7500_jC@Pm100000u10UD03@VY10CG10uXUP000G1Lz@lMWGA0YoEX -zHXv@@SMVDXfnD8FyD80000000tf00mnaOKRV2nsJoqsjyoTB00CjkHjYArDOMRg2mKYBTtu -OS30YG0aaGHvHJL1z9qx_31RR000GWcq3vLs7AHtWkPR9YTCoj@100BKljxnex9C1G27l@00 -00e5NOeKZbs@F1GQC0xAxnJy9C1G2pn@m7y9G00WeTSRoFE4s000FMKn2oXakU2brpGjmaqF -CC00w1ouMqmm5Tlxw00Y0yqc4bjl10W9qurP30H000040H020zvAHhyR0ay1O7_3tl730008 -g400Ai@jSsg0K00mRoO00OgEP@ww_k50V50@@@600K000000G80_@d10G0100G4Uf63m2003 -_ps4s94YjA002EYUekRtP8xD3wUV3WA00JHDMKCk6ii12200oaF10J00Jqx10WOhp@V30h10 -00mT000bF_h20u1WLX_4000lO00WAbHgq_G_8dXmmne0V900SSq7G5XZxHa_6y0l1RmR0000 -10200DbNHNy94XBCx@d0GX0W6TVOVT3IHVZawJOHO3k4s00808zCtIOuRKW@3000OqB00yFc -J92l1005008000200wQK5000000scdsHh7NB20000eN2WVKU30m00000c0xRHf00GmoTbpD3 -hQQ300Tqi7UxFjg100HbsQEpAy@dUn200WNs00GRqWrC8vW080wexga6R70mdulWN5l@I0FQ -0we3f8kqYy00G3T5bshMxZMA880WNz@PVkGMOz@rC1xlRL02_0iYS57OCMXwd000WAS_Akrk -hczX10mXVh1mSOkG33238a3W@LBga_4ciRZdrDOKyDQjtWYXV00001M00WQU9yu@4sI@10W0 -000k8hztfH0zu_lMs@F1mz00jm9Am00Wj@h00e0mprNH400uvQ9g_V304grfcBA801WflL98 -bw000GRO00e7_q00Y04102N0aGYBaGJ00eipPMld7820G9xt20WDxki99x1d0500Sr16Z@N1 -804Wtn3vzjs1W800008w@V34400y@@900Wr@@73G0100000W008c@cJZ@RGOuj0WF1uuKIAq -OfSmDes@MkLj2G2002X40000084008SS3G200SA_v0Y006f8XS@L10H0msRO000Og103_@79 -00mXQ0000m@WSTLB000er10043@@hYBnZrC00400010GEVX0u_0u@VII8tWC_DuGxD6qPc_k -310002h00WryMg2TC6xEXfiPOvS3g1A400@QHAqoM2syu@F0pB0s8730020VkdpbzR000W00 -00nZkg000W7S00m_fd0002G0G0mgvF0W00ehMO00G000G08vML008Si@TB0200Uw@1200Whj -36Gb3Wvvn00G1mT@FC@WJlmfYA00W64lAaoY00000W0eyRTvUV46W4F0p_dp1kc100WFRMRs -VW700085Qs20Wte@@99GgAk4cdhmQ1SL1GTvs8G00ekLR00KGLXUB000mS500y1E9n1@010W -W@@d1020GwQ6G40WusVL00ycl@FRJ5cW2e0W@@L1WJ0m7uX000Ge5yAIPE70800F8g2000GF -2009DGo@@Caad1xsEpUt600O1003WUbfg00epy@@DYX3300W00e40G008y@lq000cH000W04 -00091GQUL4xE6w700k0tZOua000C00000o000rpMtHpM2Q60un@SMhNY7xf2000HE_yy1f1W -009cXqWPn8ftoP00m56uleW010UKW7100000G048000W000004GIRUKbbD000WxSKYMqJ020 -0moy6a7TQ040023N2002pne@mEwF0940OBT9oTlbTs524P3mG@F00O08Q@40004O0008Sz7Q -TDdSu39yyA000WB300u3VFgQ9G00QatJYHPYlLy@6asD0Qghh85AId00mocIKfGZ00uQg_3Z -wbK4eL3GTWL0G0287U5HF00isE32008sRt000G07MT800ps@@D000e40000W04G0W400000T -Cl10200KA00imVWWpU0oWF100W0W02044000080We000e82YDp94000Ro_98000004020084 -4G0G400H0002G141u95210OM71B3W2W000G1y@l120IW27jkq@b0q10mFVC00O10W01eGW50 -820W21000000Mk_IFulD00JWYmP01C1a4I2892CGI4O2a8m4W900GI01m@@12Cq0u@V30Wgg -000Em@08ePcHG7EZW_300bP6p000Um300mByN5tl1pupGKtC00G8000100402000uE700Am5 -910G0puY400WWxbJ00WiKOsCC2k1lZRG3v6K4k1nZpGTu6itS2ZQRmxiviKz65oR0W000GW3 -01ZN1000aDlD8RU9AKtW4wP8W8ao4dXipJ0000D_00W_qVuwvAIvUZwqJW008m6_K10uVVPs -Jc3dXBavvAS323tWtdF1Gw1m@@U02W0OFy7QitWwvJe0JL_@t0010G000GUvM5000yq500Ak -ca@_PuP03EYle1n9100zoOlXaa@33WAHJtW1aV0OZL51W80K_l400WWf300yizU@@B1Y00Ws -@V00mPMAwL0400OxMywKmWJ5guVN210Y0000800H000000H000rydWA10Wk_hOxMyW880q_@ -300agozN240004100oK4lAnb0Kf2GEuF000W00I1mWdW53NBu200Q@lh8_520G0_vuIaKe10 -4005000yf0XWG00MLl20009zU2s9wX004000G000004i100vWB1gbW0XG20XjcpbSX7wk1f_ -p00N0WSmh0u70000mlOrhpK60000WW0kJ0000RHl94zER0081gx6ZiyD8rV6008j43kDzkHo -lz6qS@62400M7FXDyDW00Wm4v6SZF3Wr30ARz10W0200W000080042uMZ4000G0W40OoN3_y -rWFpDePV9k_DXsVJeHSFcHF120000004I7tWxnP0000cN00WCoVW000Gn@9qBC3LDRm0s6iW -j1DcBHHjj00K4eTFI00OR5sJ500008004S@k10400G000ak73lnrIZURakB6000WYkd1W700 -12kn8_6i_U2XrR0040XbtJ0G00oM1jajl47uBHEvF000WW700GH@90X00uFV6QaEXBBCOOQ6 -40004il10104wst080G000G0U_NbcqDG00GGgwL4f0300gd2leYNBs0001W000WBsD00W01W -80000000G020W000400OezA_LGbn@h0iG0m1x9Kan3p8OG1XCC5l10G000010arT5R4yJo_O -axP20050sQ3ZVQa8z_AEZ@A044G9zd00100G00GBjvHbzij@V20rV0_t_X2zbulJ300804GV -8LfnG4@8100W4w00Gy@900G0HW00m5_LqFG5fzd00G0Wmsr20muMHgC000bQcFC8004yjl40 -080ozt0O0000400s9sWJ_Z24e2m@@6000X100Gy_c9i6O2r1Q0000aohg0Y00Ggy9KV@L000 -uYQAX2AD0K0WuAig00080004GSb6qNP27z7500Kut@V0050m3djaStOWBE0_8c11E04lecm2 -mdG10Gu@V34010Cd1L9NnmyJISkF3tcp0502Wfbb8973EG@aY1t8gG900Cu6n_30000g2tW@ -@V00491200WiuU080000G2aUfCe5O3UYaAGZF0x7@W020WepJ0L_10000Pw300LxxNss9W82 -0005W0000ZeIPegG600830000WC00m@@F0O20000a4080000GGfyH50W2li@D000W7C000g0 -0000000Wg0y@lD0GL0IvNYWkhe6xD2zM20A90BpYnbt6CwT5vWRmHQ6S_j1VSlHVKv000GG0 -080000Az000rT@mpuOqnl18000U8t00400noRGUw9SQE6LTd304010W00002KAGdXhsPOgE6 -sLdXFtJ8FE9IKlYrohetvDMEN2m4F0NeVoyxC0008004000GGWU3geKUOAPV30400000OP30 -0KoI5ZymmWx9iWl10G0Y0000G81400000W60100a0008W0W00GW00uwzAkpFX5zJuM@40004 -KOV20W00phFX1_D00800400000Dw0000004S4pl1vyRW000WmyDG080mN@600020I00W0001 -120020m02FdXeuIen_DwoFXuyJuM@40028KOV2G080AoFXL@COtU30KP04bl1@wR08000W0W -0@@d020G0001200020W042004000GHp36G80000W0000200023004Wg3tWJdf203000006x3 -00000G2NxXCwDejS980G00000gpS38W04SkV20G4G0010G010emUFwRtWC7Iu@V900O0irl4 -00WLdFMYHrJut@4U_@100WW0W04M_c1W800BSfoNa9Ko@92c80oxdaAuJOWQ3W00Y4ml1GW8 -0Q6lb8od1000U5@F0001ecPForFXCVI000080G0mGwFviU3s8l500fizX7Ic_I00010010Kp -_F82008U@GUp9Xoqn0L00Gw@I0YP085F6000e000K8_r7UEb100080400088HG0WG8oT30W8 -004001G082041WO@314000800300GWLtf2000Qi200t@Zn9EL0022000402001401000W8G4 -0000080028mBaCy@l7a200k1nWU@bW0G0mv@I000HyAN600KGjbd1FQq2G40WkTD0004Gpp6 -qwf70G08oqlYitn0eh0mHuy02000008mcy6Sxv6@@R000mW@@D0408GJkOCNT21Sd00006f1 -000008cWF100084GX8MpkbRKP008GGyxa0400OTDFAYd100pA@@d00W8W@@D08G0mEcaa5d1 -h0P0200eubDulxG00020a20000aIiRd00000y22WI0000W0040WG001000O1003msDyUiWF3 -Q1000020G0200040008IWbKf20007b10WVTFv@V300Stb5N20WV00tT0000G500000k30000 -oq70uQ2Y4H1008500gplYOta00mu@g_6O00061o002O200a4000O0YXhYiuOupp4400WO084 -n0o0W0W0WjxF100mCY04W7@L1ml3Gw@puE0000WxUQ2LaNK2nVpG5_6Cij1nrd0W40WNYD00 -044000001W0080023FXOzD002G00002A200pWNHf89q@rC2000UKsW7zJG000060G04G00po -n002W0K0018000YWA12480d@@04W00005dvWR00WW04W000G00UuAXXwCeR03YapW9pIunV3 -kzoWWPDOr@4WG000W02000GmHv6a_V200W200338000OMz400200W0G00340000W9uD000mG -EwL0Co0ugS30028i5W10010IA8XJoPW010G7SLCV79BIXHvs9y4l1D7A1040G000SO2W0Q1F -XFg8PT_40G0W4rh40G00AyE1I00000G1000G0004G0W208W02001G08WG4000yhH200204W0 -080008d53000W2100m0O000Y008400080000G000WR502000G8WzTJuqR6Ykt0408G0000G0 -e480Y0OxX40100002000G00060000Ge80000Y0GyYZ1zmd0020Wl9CG000020200W018C80W -G08qTl1801004A080200800GTI6Kkl12Y0WU@@1WcA000000160000O20000020eR9D0H81X -0204G5000000401H04W060W2mb_60000H0X00G080040108W000008Y0WG00G4G004100020 -W0EQt0008080005G000204008400140GW028600G010iMY140009104AW0W00000800nTyD0 -G0G14G4200GWfaR00008000SI300000WO40000L0Gv@9000mW40800W1020000W01040G002 -00400qN_600880WG0108004W0W8G000004G0H4008A880a00021Hupmm_600040003000K00 -0300OWGYqtWytJuS430800EHl12000glmWHuJ00GqsfI6ylN2W00000X00000080G80W1Wu0 -C0H008W1M81G00bnnmm@60W1000W4yfx6a_F6rfI2A00WLug00000ON3Wa3X1800m__6SNl1 -ZZYKF_60002yv_7000XXI41gQP3wRN5000H0800otoWncb8f0d000000WLzhyJEtFXnLIe@s -M6UD4K0000000O200KzD3l0O00q3W0yLvQx4080Y8008RVV3_pd400209QRmXoO000K10040 -008guiP00G000400000mA1000002MoK89rRW800000WW00WH10920WPG0008qrwFClUE00G0 -00D00020Oj96W0008600000Xc8G100400jkBHajFaiV20090sBtWVUL10G1mH@900G480Q90 -WKIT6d104000004Chk1W2A2w8t008D00000a000qzj45W@mkz600f0e@a7g2FXmmzuZ@42Lg -2W020XVd0004avYVWF00md@jy3F3ZHt204HWCjDenV3Ucd10G00G41G0W6a000000m862010 -08W40000002021X000mz@2P6Syl4T5Qmzx9000G8509kRt00G0000G0o4tWa3JuSCFUS@121 -0200W00GW10000010050000Y004PlR08000mF10p@kH9yC0G00eoU3QYFXywD0810nqF9CVk -4FeR08H00XG20BpdW200W7PD04000088400004Y_R0m1y17wl11Xm7K0G80420E0000B00O0 -_01000as110000JNV@0G0000W58Jgcmo_6e4000Ie0010020S00V@R008J2400IzxRGOu6O0 -a0PQ@e004ult@C0mF0000eYV0eQ_VL0C10Kha10O00EjrWUHJm100W3y300i7000S000u700 -mFWV0JWz0WW0uI00f10004000C1G000wf@X8mDuhq7S0000Wz0G00000m00008000q100m4G -7008CS3IbZXxzN2sF0e3F0GPcn300WPC30043dAPwHIE_CCoj10084keb404007td00WTc6b -P000400K0W4qCW000mzn90804ey39w@t00K000020U5F140G0JZR040GWytC001000180044 -02008QutWItI000404G20G0G0000440200W0mG204mkL6W0008NE348000O11G0040440XUL -D8eV300010W0GOrV340200W0GfZk4sTq00000RIP0008mkxD000W00G8Wa2C0020000G00W0 -0G004UWsWPqPm000GA09a9M2hmyGDq6Chk1000WX508Sik108800W00WG0082L3W0100W10O -2J34W4843N28000e000CwN23iR0000048004010QQl2002000041020020Gu@@7W0006al18 -0100008Wm00G008GJA600G4G0000001000P1ZSQmVx9CvEC0H00gwbX9uD000080W00W2000 -0G1800280808oU6000022808sU30G00G00GiDR3W8000G100000008500200040W08IG0000 -0eU3820000814W008000O00010mG0HQO6q1d100000104040000G080240000W0OW000W000 -G1KW10nuf600G1eN03wyp040000WG0YlF10020W08YgepWz_CG01080008W4000100008010 -0010050000000A00188g7m043G000441I000000HG00mxt68002020W0028080G0W882HH1W -WAWc00440800011G008G0KG110100H0040G20WmBC00GGXWA10101AG8W2000000W20204GE -@68G0au@@408203Y09020Gmz@600240Xm000m024004t7O00W00G800W000014I02W020G83 -00060G80W18a1000008xlQT301000G000440008c00G084KfW00mX20I00I40200G20G4080 -04801C20010024e10W0000C8iY00A82I28008K83240Wk@Cm048mKp90O008x13wiNYBsJ00 -800108m@@DGW00qu@9Cdk18401100000H04100004400A004WW000W200014000000S00004 -2W0010000G040000408Cm1tDeW86W008aAi1B2QmwaC4SUEBJ@0000QP000zQQmrx6qDk402 -000W040040O0x4cmEXUWJ00Z0m@S6Cj@9Tor2028lXiV0008W00WWL@J0YI0GiW6CqT2DY9H -dj9000YyVDX0400Klz30260owrWRzDG4H0m_@900a002G00100Y9iDulH3Ag7fhxn8D_ACEY -0CpV200G0wqq0Y00882000a8mW000n020020W00W08420000W0qBk7pgVITWRK@A3P@R00WV -W8GJ020000Y8204O08022G06C000010O0e0Yg0KKX00O00040011848K33cPFXJiJG000W00 -W8000HhPG2024W0xn00W00010WcrV0K1710W0G00G42000480Gq3l1G8600080002Z092011 -W8000X400W10H00000200H0GUyI4jl10820khZ40800zix1200gjtVGlG104100000KY0041 -00G1A0Y00010e40nK0I00G95KY8W0wOG200meOI000aAMuJIktZqiDuHQ600Kq000A00000e -00C0K00l0R00W200K0000A0I1m000220340MWtWZxg00W00210000200080YkEa2@DuooA00 -0804K0O5T902r000100021004000A2000004HX4A802G00G0K404u0E8CK02004GeG241804 -4W8002010jx@00022002040000WW000408wwJ6Di2001G0W4WMA@1000ua5000100SAa1G02 -0000080IWG4001080mhoD00A400480a28200202bnWi@bW049000040G003KbGHlISJV240W -IM6tWSuh0005I3pF00mh7i00880C4000Y00GW000m20012aX0B4GK0G620f0O00e70024a61 -I0W80K_y@30e400048qzg1000G40000YK0OCyS000G1m0FOvV90dE0qnv6o000W00ko101uE -xG000x0000G4p0OMeO46E30G602p73040004082fd1000eO180G808WO2O2n4a4W8W90XGI0 -21Y1424Z048M1CHi2o285m4GG8BWI1m00080O2002j@X7oD0020000OiionOZTU00W20K10G -42m5k0jCbXBkoJPb90YxI0mrd0GV510FFA0zLGSNk0Fp206_50Ny30hi2GTc13L@ZXC0000G -W800G00600qol4p_R0000epdP85W4M0FXEna00000Of2WrvD0000OK_6G0408u@4o@sWOuUe -bS3YnsW3_hOGb4I3t00001HlPmrS6Cpl1jnPGkx6WW008Ex7Ufd1000q1sRG5S64EE320008 -a0080020400W000020805@7I8n60000G140Gnw900208tH3Ay_180G05gR002011000JVaGI -@I000slg_4wNsWZyC8vW4AXEX6sP0100GeLL0500efuDIB8XopD89PI6Ls00iC0TaZ10m1Xz -WDecT3oj1c6zDW008uQx6080400G00X04WBRPewu4wyt00080NJO0001mWHD0f0W0000AB00 -24000Rnt0080840218800KUl100800W00200K00080OY0WbxV00W0Hx@90G848MqA_mt0W00 -4BvO00W040000080AYst0W040h3d00010021000G000G00800wrT600Ox1W00000mmI@6KIl -1G0HW1A810WA000W20008meqD0020X10W00G102WWGErn00H0GjyR010820000G2W08000CZ -C3pvR0010G0044G0G0000024W1400001G2WxrDe6_400W00300f_V301006ZT22WO000000g -X1012000H0WInD0840000a0a02GWX00G40W06040W4000004203Y20a000000908G0OGHjv6 -ydk100W000902000404WGhzCapG2j@RmfF6ikl1xtdmTrC4nd1FvR0010ma_D00040000Cp1 -G0fEPG5u60005W0000804WOBI0G4Cm_uCqhV20028UcE102W0fxR002GWOdn8jxJgtdXM@D0 -0WZLS@I000GviP3000400248uG3_DtWshbemxM6EZa1oDePR64sx0SbU501500G00O0W0G02 -20020602W0tbdJUZ64d_99@RGs@C000Wnu00GvOLSzl1G800oYp0MW00VYxHewUG00Y8eV3U -nE100G4PQ@GHv9ieV2W000040000uluIvAIot0Y00000G8G0G2y@_F0We0000Iycd1vvbGsz -FKFU29upmb_60Al0u5V90W0W0W04K02001A90422oOKG00n02Cat9BxB1081000204190cON -YiwPefV3G0000408vPP30G9000W000003J10Wy_b0g101H3020WO000WWee0W09e00WX2Gs3 -pyqD3m0002@cX8lPeKy4AnF1Y0020H0f010a110000mLpt@OO1008HS385082000u2D6cK5c -VuEv3V60W0104G0uFD30Ev0ail7R24obUUqlN2202fMLnW@@P0K004000WwDD8hY40004040 -0002007000CWW8000umC00avV5XXRGZz600W48r890011aJlA060G804GSEl4e400APFXrHD -Orz400400000200200W3b@@z00o000000X004fpBne_Oqyl1zGO00W40000900M00G8ybxz3 -8J2200MW00W0G80CGK06W408gTU3I4t02Gz1000Iu000048187_7000G00G08Hy400040aQ0 -10SXIRwF4G76pFun9mU0W10K@z1000ugY_VWB00md@L000pqE0CgH200Zy3C0icQ0ue0iLUB -5XpGOu600WB0J00Wi00WjsO0m483W98fe0I8ZU30080x000m0S1GC@64pZ1RpN100k080O0m -0W3W10003000u00G0TdClUKWu60_@N20K3W_030jZ8r8rKk3H6y3O0y871u7flpppt64NQEu -100QuPZytOuY@400M0CpV51KBnnc9qJb1LRdm8RCW0008Ku4YwFXQTP00W2t@@6q1k1JiAH5 -dISrg4G800sIFXJPhucL9gPlYU_n04@3mIiCi5x30W00cKsWU@DunS3W00ayG73LYdmqiI4D -S2BhR08G0WPTJ8CU30020K3W1Nz9nTt9000W5Z00Gxv9SISBdNjH2oF00G04002GkW9KIk4L -8BH346S1X1008v00G0W400OyT32Bq000W000A0Mnt0008G0500UhNYXMz8rS3_@t00W40lvR -00W0f_dJ8GV34G008eG00G00mDQ60000GG0W00W9000HG01W0000G4jl10J9840204Rl1XZR -0808011HG209005K1000004410A040800GA00600000W2200010200100L040W940G000HeG -0H00008WzfPW001Gis9W200X000800010H100020YVtWH_DW00G1110mzsDunm40Y00048WW -000010H0W00100085A0YW0X0002G008W008004W0G081I04985X002O400000m25WW020400 -0A0350mx@900200C2W006H00200m0408200002WOwMCw1tWqvtOGx400W0CTk1XVOGu@600G -pk5R9cytWUNI0m00G0tCCNe1Nwpmua6yUl700H0YgZXKYVOsw4g9k2WI501@R0000aEqD0W0 -00GG4308WH801000010G4000X10404H00W89Ppmu@IapLBJ_lHgOF000YJ400uy@IG4a0Wm0 -0mA@6SPU254aJX2Oaq_9fBLnDB9GI01vmSCMtM20W8YJSVopmOq116W5U0ERV3100m0082EF -t00090VmRmbVRqtm68200k6lYGAOepQ3sosWoiJ0000X110WSxJ0000o10300001Y00e9mG1 -4W19006GG41006000G410O8Yea2I000COd_Ia2059KqoB4L000W5y0WnJsIGaX92820W0520 -0500008XW004Sg_3NyB100W84140RDH500LuI_gufV38500q@w3TQbma8Ia205TtN400sngn -h8553w7tW4oP850AoYhblfKfp@400KUdXE35vp0500mu_b8oq4QCsWW@LPxdGkE9XAHa0ml2 -Glx90Y00PvV38100yA_3DXR00GG00000004110000W00SpV6wErWQ0C8HweuF0byOO2B_d0G -g100m4AxBQ00800r0000010K3000240OwV3I1mWD@P8A03IYAX@@bOYO3I0aXppP00080100 -XY_h000CpjzC05000W0000Gv10gw10S7HCp76ueZcmp30eVW1GJ20W_300fgA@xF0gILgyF0 -0iAL1egj@R000uG7000ouX70uCp0mC180vDQu7ZehO8PV3UPd10p00fVR00080o0G0W000oy -t006000@0C0G0O0W1W0000HV@68000n0G0W0W0WK0IeYy40003a2m31idpIX9yvl10008z90 -0Sxl4c2m3f2WEoXf000TqHIv8DIl11C6Ik_9SvV2000M36GbANjP8O3gdC10W000G0040006 -fl1I000W000aTc100048004KHk1@Xp08T7WnSbeCy4wutWaoD0010W000XbqCub9L60lYVwP -e8S6UhtWqmV0000Do00W0YhOvU68010qUk10G01_lFXcyPuPuG4000GW028JR3cWt0W00000 -10EgCXzYD0100W100Wk1C8@@400uIlTE330pmqy9aN5CTn@mUy9q8F3Z2dW800YAWV003800 -4WWIxDW08G400000P1W00008W400080W008480G100002008080G011H000004W0WXyD8aK3 -o_pWC3U008080G0WXZPexO9040059z300001C000001AhU6G080080100000g2001G40pxRW -Lf8eFyDG0W0W000000480A80GG150800W001000040GW08000000WW000204002020400GO0 -00W000WW000G11GrVC0002QA@Asip00210Dzp0002A0W8005G0wdF100O0000412004cg1m0 -W0000000mqM8G00000220W404020440038W0584W1B020202m000004G8W02004000W80008 -020W008010109040G00000200WvXR0800WA6C00K0m1w6agfD00080014002800e80040020 -0900000pf0I000OaM380024nV2W000WW40qQF3jXRmT@64L130010W020qVF3bRXHPOdCrl4 -U60W2zdXauDeY_4000WywX1L2BqASgaDz308MBl4N20400800004G092020004X000WarP86 -Lp2xdXahIOnV3W0YWDEj14G40MzFXCvD8f0v_kIYFsJ0H00mC_6800W000HGccv4nNEnmbGX -_6008yl2T3Imt04020G20IMyt0W8Y00001020004X0isQOIpmcOsV000GIaz60Eh0uKS6G0H -GG000WG0e4282000YG8100G2H20WY00G01qZ@di2E3dtd3002000YK4WG23xn04301z9@000 -WW@8D81kD656CG000204G000420e000mrKuRISeR29mXn@@X0WW0Q4MU0101000W00440040 -3i1000d60000000WWuc_7YVAXJCjfgaSAnnWhpD00002W000000yy000g@_X8DP8ZA3Yf4Z@ -@h0a00WG81WyuvfAP30WGav3020Gj000WBpOuO81E60020a076Vky60E1W@@D00d7000G4rK -3WUU00jp30oH70qB5CZp3uBA4mCU0mfC5WvN5kDhOR3G6010SWC000C00002000ap00GCS9a -076003000e0iXJQ2000kWd100uDHtW7002W7@DerR60600a_l40hS0gYqcFxV00G0GPZCKLk -4D@RW4W000W04G00000W00100e_V30100aWT28010QXH26000jnR0020000020814IIdXDoD -G0000404090G0jwl1000X21C8Q@GwAFXuoJ8my4AbqWw_V00G8VlzC0010epQCYytW6@n8pU -3wV@XFuV081000G0mXCD00004040WK0CepO3_RU3WiB0vo@GTSFiWj10G0G0GG0aRi759PGf -R6C3i4W020000Gqo@3xFRmveCS@l1Bnp00008e300xt@mTy6Kcf1fZZnQzL4OF3hwmGEyCSj -l1000W0GG0yPG280806kt00101000W140010001o000W0W0100000yN10G1020000140a000 -040G00020250i_l100e0Qst00900009W048080W006m00002WOxPG000muu6ir@3l9d08800 -008WHrnmW@90600OjD6sWm00010002Y100Gayl109G0UsoWItC000200GG2X000JcP084002 -000000O4000W08W0W0G001G8m0000400kcFXa@DeuR6EmM20200zzdmm@60G08ix@40G0GiY -230520gzF108000008P800G0W0000GmcX6y4qCPFKna9pidl4n@R00WHvpy995Ep8004CnU2 -0020G00Gidk16B00wVLYeZQPygn0E000008PcktoI5ZbzDu4S6010000eckcpAQRKY3hT202 -1m8@I8G08emI6G000102060000mx302100z9@0000hIzmR7n4MBm00400000uB8nWzL9P3Sa -gZJYDvI8d830W02GA0Y00I94440H1G2000am954044B69FE600WmpyP0008A00W8802800Ce -W0600X2WGG000GO3axlne0ybEoNYeIV0W2G00500200G00Wm00000401W10H000809eZG000 -OHC00000G2G01GstF00O0TAbk2l_XTXDG0001001200H0G040EXo0001000arMAFXuMDOv@4 -0WW0CgC9lwt2021WwuC00a0m9wC0000100C0a0X890000m10410W20G0G4q72061Pk0000uP -g911XW4008DV600HtW0000208KvCj000GOMFUcVt000Gq_3W@z70pO6LUm3H@F0WML000ia5 -00g5Djoum004000089000m0100400WO0P0t1o0g3q1K0i7e00S000eM0000t10000y3Wl@P0 -0WC000P0o000a100UnHnBPDhyU3Ylt0010GxlnmnuO0000c440GNYXKbb1TM@mtz6KSz6Ls@ -000GW9_D000W0400ZxVP0014W00010a00W000wcy1010000ejY_d10W04G00011W00000SoA -30002W080W8040210n_mCO4U3UKtWczP85x7c2lY2HPu@V6s9dXa_b0y72mc@C4lb11vNnEv -FSzk1T3tY000WpqD00400900WmpJ01000400040W000G0EGD100000W1000W0000WL@00000 -00W00GZld0W0480000010GQxt08W04003000A00480eNaDkV8aLvn00w0Hf_C00WW0000400 -22001G7sR000uoV3COU@40W40I0000W40810000020K1G08000G40000040W0G4000800LWw -wt00020BgzGkzRiEl11tP000080001000104K000020018HHd90G082000008eWw@D004012 -0000s50xkc000W00HG100000G010040GG50GW@68W010010W02000300080GI0WWSmJ0800W -028WpwUOxECQ_t00080bCOW0004000000G050W1SvV22488000XO0WW0002IQm600uhfMV3s -EtWV@J0448mHz60400000G2G0G008G0016G000a0Y0011G0000W0002X002O0004W0208mrG -MdFXuuP0WG0000G0iG0Glyzmc6F0k@1umV3A6q006G140G1001400050550000O00IW08001 -UqtWK@DuMG3ovMewibeM@4AstWezD0000ji10040000808g6VZboD00W0004G00080fgd0K0 -0WGcQvVV30010qml1P8cmYW6S4V2HSQ00008W40W00000GOwk@l10a00002G0000Qap70004 -W000G082G5a9CXaDXCwH8@FS8S28200G0000iy101GGmq5XKxF3VndmRJgyml12002Ygd100 -400008UV@Xm_D0G0000I008000001G000mJH110G00442GWZuJusK68300000WG000000W21 -004000804000e000100mAa9alr9tBRmjc600W00G00100000041RCcGZv900020W0042288G -G00223000eD8Y004WW0W2800000KrbOGZf9iUl18W41G00000I01GW0004H44009DpRGgzjG -00WO1@7002W0W01uew7W002800000W60008G02H0GC2000000aO000XW0024GO0202C002y@ -10G200W004100O600002G020G80008F@dW001Wm@FX000e80000850G4041W02000Y000802 -0821000a20G00f0SuV200o0000IjYZ14000G2400000M62000Wa800W000G00902G000uC_7 -MAlY4nQ10002080C000001W20G1004G000W1000100060000G65o0W0005oc00010P00a004 -GO201A40000G36G000X4000040a10X00G0100anctUy@@C0m0W000W0L010W0C0E04044a08 -81m504W20i82440200G11G030O01W4000080GA00W00100G000G02Mst0WL60004040W0W0G -040400040bRmVeBO300H0_@FF0808009021GW40G402W80080W0LWK0GX00088Y0100DG280 -400W0DG110W82001000a000002088000W200200WLy10W000002002W000W0W0LG00200OQm -I00a0u@@S000a90004Gk0W800G0420YWe40080m1oI000YKA06O40W0HO0000G0W400009M0 -G000G0610HGa00040u0000ahGK200G4S00H000104041H210G0W30SmE3lnR0O0000W045pp -mkw6SiE900W8fgAHBpC_NcPyW70uV04zCc9w@yGq@1WeFq1Fy70_700KLLW600_@t0H_700u -F0KLLPeggYs3F4jC100mCr3Y_F04zV0uX@0m3FaXPc9Q@30qo400Q@F10GKzg7WGGO00GmW9 -0I1J0a2Y0852X081871sWq@F1e00Y100S78386G7GDWCW20P050W0QA09OFG1WCW2Ga@90y3 -00e3i7G7G7W6WE0DGG100mGAG34e348G7Gd01C0e001G10axkPG1G000Y2G004WnyQ08080G -080W0G0YOlBG0004A429G84IG80000CA25441181GA400G84M_l400WT00008YP0ag00GFyN -LVD3Hv@maz60eR0euVC400GyMV2fAIIsIRSsV2XVRW00000W02RYp04G0000G09vN1000Q@1 -00lIp0024Wbjb00W0ufiE5Hz6N_B10WIqMyye@VdWG0000080010000W02400Rd@0480WEHh -0Gc1mezCKrD3400Go0o0000W0102_@FaCmPeaU3AgyagqD00G0GUXC000X04011000G000CK -1286laXQrDW0200W00000W68I02Mtp04000021Ws8qZurVuOh4wWFXhrDO7W7QyE140003Qn -008004G00010000qU1020010G008W008010W0GGKXK00000012IUz6022008W00000000280 -H00s0m010200W0GkDE4100WRrRmy6CChk1@xpGhz6KXX19Go08048G00404000uJ0A200G01 -0m4@6iVk1nfdW11G82004tuR080E21000W0200002iFU27goJjY9yYV20G01_@F100O0@LO0 -000Cx3009rR0008080G00Kn2W000G0140e0000200a002ZkQmXN9aag7rIN42Y8000040028 -gWpWRcbucwD0K004rJ2BqwKGx90001a10000010eW00tTP00000XYF0Pe@GGa6a_l1p1P0W1 -01000042200G00004400300084WpLmOVcb4G0000100000Zo100100000802wtWq@D8re404 -10m000OE@4_R@XFwKvKNLsqt08200b9a000ZWOyPeLU6QyF108W08010krq00a0003000840 -00W08ybe0001G042W08W10043040WG0Y040W4A2000ys2mkfFave19uR0WH800X000200W00 -008WW0Y4W8A2000G0W0Y200004C8VK00GW0148000GK00W8030G104W2000000Wo4008zS3c -jtWi5PunA3G00HOW1W000WX021000G00470WO0080001Y04GkFF4plG00I0oB@1010000qa0 -50H000000GX80W00005K0m400G0G1W8820100fGW4cH004GA800a400101080oCw60G04W00 -00810WA_fAOT66_p00q8000C000K41W20uN83gTm0W100G00G8WW00G00180eWG00AW000CC -400080GJ0o00W02G084G050F5C6000IyG872202X2G082a0nH4012q840GWO0Y2nWK451H0a -XWWS0WGGCG4c873PmQc6000W010000G0eOFYwa_A00KC300e04a08000I0900W2W040G9000 -I014042W02G0G1408082240040LK00GfS680000A00Guu6aGALV@p08001G000WPVO10Y00m -G000820012000B0048052i10H00KB040454GW1G4eE0002XEWe000W0uGWS9848011E0mmzZ -1000Nn00Gw@La8d10OcPIap0HdPLY_F04TcPeg@0m3FSGuu64dC3VyN4020G048W00101020 -0040GW80W014000RV0A1WHGY0Z0c0619142O289c4GI4OWa8m48HmF0JWVWz0V0_1UYq1q00 -Ae168d170C0G7G7W6841onm6@51008a0G101909X0IGI0oWa0a2A81148000e1202ggA4ym3 -ylgguX7Em@08e@1GG7MbW_301Tu1LvF0gIL0Kbg0C1GA0H4KG2WaW40fW0HGXG802XmzwwQI -zO10mHCsv63CHLa2OBQ00upzDYKehFwh04000010Yn@P00GYnq@Y2_F0eE@4AadXDvJeZV30 -0804qd4vZ4Ls@64fm3000@PE00Cdz9hmBH6E@S3x900chkxlY6@Pe6SXkKv@AP_VId@Z4w@7 -b_lHg@Nqw@4n_@Gj@Bax@1z_FGG@000vW00m18S200007S0000872m10WWpS8850AY2O30m1 -0H@d00WSWq@J0E00Gg0v4IG253OGqY@@Cv@DR_@oe@diw@7p_Vnk@F9DO0mNxJC_@3d@ltVX -EsrWgtDW000muk6a205H18HMyF0020000_Tgsy7fG2f0GHK0XakF3WDE0MedgDgPucQ3I1WY -e0geI090YB0CbFL8100w0mXK0e8AWAg4G2001uP_J5044WE0S850AY20ZA1a0O00G5z@Fn@@ -rw@@_u@dl_@tpB700CW408e3060O00c205H18HR09a205Dwl402a0WG48240002HYW000GW4 -82209mK0e8A090IW0y@l1004Yg4mWK0C0a60mp@E10892019Y400004aW000009H220HI850 -AY2G2Ya00@@R0008eA1C8503090vaQgG1a_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@qy@ -7j@@@@@@@@@@@@@@@@@@@@@@@@3u@@@@@@@@@rX@@Sw@77@@lv@@@@@@@@@@@@@@@@@@@@hk -@Vm@60002Oq@P0000AM00eH0X000000208fWh00andK0Iz6ap@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@y30mVz@@bsXZa@@d1yl3mWU1_@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@tTsL00uG@@@@@@@@@@@@@@@Rw@lc@@@@@@@@@@@@@@@@@@@@@@VgW -000y@VE00QN_@@@@@@@@@@@@@@@@@@@@@@@@@3y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@FT@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@34uN3m@@B100W8f0I -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vo10G0y@lD0EI0_@@@8 -5_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@B2020m@@s0wu0u@@h0010y0m1F5 -ymM190002u@VI00Cc7f0@0010oIGYK0CuFX4wVW40008C300_@ViL7I000Gqg@m00WTkeGsA -em00100JKGo_@9OYB1ek@q000882000000080We@@b0000Oi7OWR00OXYeoUi202G0@@p080 -0W9Ggu@V9008CqHPQ0W0000Y40W800G02WA00e@@bW800m@@L0cg08gbn0G0W0W80en5R000 -mGM00u@@b0080KCJ500W84000GA0420900f4000400@jy0e00W@@n000BVmeEzCX4r5O0003 -000080030G40W04000M0Wr@@g0Uk1u@Va2AeY@@DW000Y000GW2W005001e0I000m04i3m@@ -9000GyGrD000mWH00ed0a0040CV16080WG0W0048000eG0H0W00W013@Wn@@I00OIv@@n00P -1000HYB0410040Z0G48B00020ky@V22100000G20000900m@@L0Wz0uA3a00a0ac16gAo@0G -qPAZgoK6FyWC_70H@F0WEJ0IaG5e100@@36000K00o000y38383OFGFWCW2Wv050W100@@R0 -W900000J@@l10WWZ@@5AQ03Ec1Z@@V0070000uL00ur@@h20O0WIkyRwgG000WdViSLzOmaA -L0000io7900uHCZINFdL4OS3W@@VxW93cO2301007Dz0000UH100HAmMAJ6aSLB00_opc8gu -mE1400n8Ha00000yc2GP6ojM76Z4L10006l300N0M7002W8sF10mmQs3lz@@@Dt@@o@@dp@@ -@@@@@@@@@VTdJf2000wOnKv@@@@@@@@@@@@@@@@@@@@VB4rDIak2F200@@Bt5pOy@V500w7_ -@@@@@1_CQC_@N204grbuz@8W0loi13@J20W0a_3@lnrY000mGC008IozMG7Zv@P00W8Krb_D -E390H20_uSF4002zz420006E000fXM700e40000G001sCVZ@@D0008Uhf@@tV800a10000G6 -00OwVFm30044x@@u_VFm@lZy@DVEMgzO000secw@ls3c1nn8IX@3E@@Vt@lt_@tz@@@@@@@@ -@@@@@@@@VBHmQEkb@@n0A00minHTeVB@@7200VWU@nBtVL0@t0aJMK0200IYkb@@31d00m@@ -@76_@@@@@@@@N8@@3w@@@@@Vg@@@@@@@@TjMk1H_p3000Qa000@@@@Ow@76@@Vv@Vpz@76_g -TXZ10002J000@@x7G00WAkz00GCz@@@@@@@@@@@e@@7n@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@76_@XX@@wz@d_@@Fu@@@@@@@@@@@@@@@@@@@@@@@@@@5H00010000080WK0aeUu7uB00y -@@O0800_@F700eVz1mp@@6G0008fWk0s31y@@d000u5F004vWJZI8nx2Lilm3lKO0000a11a -00mf_VuM_@F30PO0Q_umsmtWu00mTUljDY1dZmGnvCi5X400e5@@@@EAA2004eU00Wvwzx@@ -G00CCbiFU0800UrNYcAg08T3mDbA2004AIXAe700y@VTX4Kn@@L0080V45@g@X4GS00dPeOa -ELaKmUHfQm4fF00W0ecK9004MSpZVVpy00WWWa2K400m4980WsPJ0080GA0I4aI2e100_@@@ -fPym00000a1WK0eu@V60042S3q@Pnbp@@C000Woz00GTGxjWC900Uhwm8@t7EPe9I000mAP0 -0u@@@33@@@@@FazP0p20y@@d00WOPF00yIAa@@@00Wvl0x@FIXg1080iIf4000g@@NH000K0 -0000H20y@@30vB0_@@@@@X1008oxdI00OkSp7HJAeH0W90084I2QM2x200@@@@@@m00W9000 -0GueF00I0u@@@7G3xiua04U1m@@@tRjD@m@00Wmpfb@lERaSD00iJNWF@x10WwoCk@@Yy@hO -@@9w@FY@@lN_@wf@VUx@Z7@@trjd0W0GS@E1240uVWzop@@Gp76800GT@OCTsC00089E0046 -_@fxZnjTj0251uKze0200y5x3L__Zp00W@@bRy46w08XM0U8A03001000W9TUx67@l2We60@ -@NN74g000W0h00GduCSRm9py75008Wj@n00mqVkwxDJW1v8a0220Wj5a02000Gw2W85gR839 -_@73000qE1002fumSKV8@@400C@7Ih4R1Wn@@BjUh4N@l18K0WzzbuD3y__@@DCovt@J03v0 -qSRTjv@@Ix@dK@@@@F3030000O0D0OGA0Cq1G2f0GHF0Fa5m3@@l4G82000002a0HG081AG2 -01HW4800044921f0O0G24We0aGW0008E000000z0O0H8000000P1mm@@B10Gu4000WWu0000 -0a8IG08I2GY802Y890000882200f0OW400W@@D0011GA0C09000008Y40000WZW0000a3122 -0HIGE400S00W@@@VD@@@@lE00RWNEb002GWmzXaCe_00000mc600PGy@@toa2C00000810W6 -f_@RlY0dX0SydVzw52000E6100@@@@KSpCig1pnn0Gj3Wi9nRKLLy600it8RvfD30WVci7iy -@V60WQ0y@@@@@@@@@@@@@@@@@@@@@lj@@@@@@ql@7Ty@R@x1800aW@F1Y00GPq@tnj7XSV28 -G0WS@lwMTC0000zzy3@ax10008Q100@@xKqq6qDT220000G000W020080W000YD@D02W0mrw -C04000000qNyIKnk100KvV@tfc4C8BX4IWt020008W00G004SeG2ZPRmSs600GW8HR3_YNYO -jD0au1mo@T5cN5BYQGGyd0000BEJv004WaFUB006coglE8W00LKcmt_X8K10OKVvW100yxX1 -1RU2l10Ws@Jx1I60800yfG2@@xHKXl5ml10WK0sut00K00@@J2Ga5WfUaJ004W0W02020009 -04gxF4000mM300szFjzTDWKIHW4000005104080G0IToR800y4@@dDX000000G0204100000 -0a1000A8020j0Om@@R06E0u@@q000e00000m1E4040240s00050GW022G00OFNF2LnW@@P30 -0G000W02W01400000040I44OP86_@l2001D@@p6610000WGe@60H4Wf0sIG400c20400008H -@@x18i5W5u@Fh@700u7000EWV0bWz0AHW3KY0cW41_1C100sBx1F00qrafFRoC300G6@301@ -702cfP4KLg0OcoO600m3F4wl7WHF0wMkhy0u9df466XgQjPOEyD_CJYguC00800020WpnJ00 -Gh_Lvm4GG84000w@EXEfX9cB3A4mWEzJ0aY1GWrj4GG8dzRmTUayYY79Nn0a10WbubBXB6E_ -B400ms@4AtM1OKkH504000_20yeOTxYh2000Sf0005strU_Fipp30200_XJYM0P00W00008@ -azfAD3IozN5GT50@@ZNZZd0002as00m@@rLoq30W40s_73002R9xx4008YYzBg_V60qm0iam -Ord62000aR5n0000bn10W@@TY800GiWp0W0088ICIpOlkIP00G1GYbR0Wh1u@V@InDXcAn00 -00XB10W@@nhwr4AVr00e00@@N10WOe@@z3H000000mPuy04@2m@@uf4000080010000G0000 -400m08bXS50008OD00y@VK00m050000W8Yu@@YY5cghUJegrG000WO00000o0020000a4000 -O0_@l20_70@@@@xoUCvi1FERmMp6aaR5006eJZQi3di9Pw40SR0aKmUjJH2000Cu200@@l70 -10WykDOFpA25t000O@D5sLbLUSfd1@@pW004W0gPuXQ305A0aDt6LGD30W0mloJ8MT3Esc1G -0080008AwsWrpDeKS300050820OvS3G0GGyoD3000WmC00yNA3@@B4G01WCrDe6n4MAd1008 -00040EPtWXmPe0U30020qWk12010AHF100RKBozGMyCaEcA9@XHRPU00002100Gcy6G10000 -00400400004nk_0Oh1W@@z3004n@@9000GgF2CgSTF8008hyd00I000040ryB10YapiP3400 -10Y00WzwDuJ_A02@0iZoU0040s@t000G400H8QJmWCkbWb00mWBx12008a230W4082Y000J0 -mOfIaQ_RZhA10Y000410Y0W8W20001000G00mq8Cy@l1WvN0wMRl0xJW0IX0020008500000 -U0m090001DV800400420000G0o0001000800W400W5OV89LvI3K20000W410000800WY04W0 -0002ujQV0iM2mqr12008W000A0G000001A00041224IC3000OP000axfV88400002XwR001a -e0wlX0XmcA0G800007a@V200M923@@Ci912YV000O0_100q1000A00Gy300u7u700Olx@PmO -00Gzwr10600wk1G4s60Wf0000yl@0Ohm@h000uq8cTD5jADZl1GE7WLuaBeR600W00000CgP -9ccc1Q200Tzcv@@600W7PkE@kMt00110b9Bnly90SH1OPVsQZtWu@D010GKDa90400G400mp -v6C4l13pR00080000S440000014Yz3r0NqnsCW000O8E3wtF10004000G0414000004G0408 -0H000400080002Y00000014000Y4QD00GCH8S8DSZ4dWN1001WAzD00400200080G0NFOW0A -6WluD000Xm0@601W0000304000mI2000W0W0084zWP5zp0W008G080G000000W0K00ulW4W0 -80q7F3000eL700KlXGT_B1800WHrb0014ns@600029hV66jdXwzb00m3VUBNDR_60G80sBqW -9hO0100000041008@EBXW@3WGufAb_A2st04000@mRGm_IW000u7W4oUW1i200XKULxwX0H4 -102W0m1x600W00090004600C42ji@00Wuu@@T200W1000Xg@D0012mbdI008000810060G00 -8YG40848082H000W00010003016W0H048W0ap@30I10_@FA0010thNHQ660X8Y0W000W2820 -000O80048000002G4H02000080G9003X4G4Hy3A3000m8700qiVQZgP0fW20A10G00A04100 -10HWI20e4082AG1240080058Y00Y84820mBwC00Ojlrwt0W0W0WI800W050C1C0040000Y6A -r0Z9A001A0K6000004O@p4_@t0GD10@@7b00GW4usWe8A0028188000G400X8A04K0044W4G -400H400200G8208001G8sZ7YNFgXjDe3UF00Y00o00000402120000020800000800W40W00 -000G0800Zxp000ImieO3Y00000G0mM2W82mK0B082003100W89048000i00GW0O8Gg4410X8 -0GYu6i@V2WAA0EVZ@JXb000J000u0C1a2s3eD0EGQ2O2q4m4m4W9890H0J0Ipa0qc038D061 -00g1O200e30v@d000zt@@f20t1700000150@@@WyC000mnCuHmMqYWSY71FW72Q9Bcv0UaJE -iO6U8OBjGGB76WPu10A_CC300v1m00_1W@@ZwLm7oXhbo@b0000DH00W@@1R9UIg3V300rb0 -0100010LyMHPupJUmR0oA101000404Wje_vzlDkGwaLntu8N92UOfbuDeeU36Zt084401Ib0 -04000804T@dW111814000000400G0000kP_400SRSSz3vpBH42p004WuBV3o2F100022W000 -40800020000HKS6WW0Y01003009Wk0C00405W020000GW2G0cjF1G0000970_@dA202m3GA1 -400G0G0Av@d003O028030420ABm0001G20L0080000C05m001O00Wk_D0000fb10Whmh8KYY -oRmWqzD0300m@_6G000Ah_40400040000W02000G300GjO91002000TQbmjq2uLqdF3XwRm@ -tLW880ufT3_3dX@@D0q41mj@H5lU2H_R0020Wb@J0G000W08000044100wtN222G0b@B1008 -EZ300rh7LkxFiil1NxRmY@9KJQ2e000g@t0001000400W80q_@300GEwuLhe@Du9rAY_tWor -J8AVF0OR0iZ9LDxRGyy6S@l19jc000W800WGY0006XW1020624WO40004IX4000GeF00qfUK -0480sOnW@@DGH00mxx60008200Gouh9000mOu56000020H08x1900qb_@VNW2A0YWF1000K0 -0022xqWx@Ju8S3aWK00000G008rVk645W1x@d08B6WAhD30G0000We3VV04000G820000001 -02UEt0G0008200k_b1000Oa500_@dA00WOG000cDD1K000K004UTaXLUD000601000800080 -010044G0g00G800264WZXP000MUq3QDVS20010G000yWS20800W000a3l1G088o1t0180000 -0400b0ybi41D7L7v90WG000000O1003m6C010000IX0000000C0000400m0G24GK20009K0W -00000E0020I90u0aW2zIWWGOJ800KK06afO2q200_@dD00mT0000W030aMU29@72000txyl2 -00J040W0C100I200oWo04J0000Wa0G10000oA8A3000Wx00040x1W3G000i7000S000u700Y -9WV00Wa00Wz@J0yR0m@@KnFg0000e@V@a00LyRM13krvaFclgnVL02G0S_l400kTo7pfGmb8 -BkDs5F10100FZZ18000WM70PuLKinC42U2T54ITu9SX_600009C00y@F30060UAFdgmRv1U6 -6uR3008000Akt@BjsuJ8tU38200iSl1NtR00004200100OWgWH2mk9002000180y989hghIt -t6yRy3W00WUXd10W000008008G020WumU3018000W004W28800003W080000408000Wt1000 -0W0040C00000d3d10001p0gIX@F4aV2tv@Gn09iil18000800002W000W0000240808JIR0e -010000W08W0000020Y000Gv60020010ANp910G0e@zv9yD3_@dXTSCOxQ6wht000a01YR000 -0G00CCldbGZz900v0up_40301KuVEdIkHq_a0011400800W0010G0rsbG@@9aDBONQs20200 -W400j@@00YokiPbxMT9gft040G081W06vtWw7DO_V30FK0CYhVRmd0000O000WhIPGTX6SDV -22300QxLkXyPOiU302000WW002800C480800008Y8M@F100_tn2B7024WRxV090G0I000I00 -4a130404Gyfu3WEM0sF_D080080002kd100G2WIA823p000480041w8_1z0005D@MzjLiRc1 -0X00G000G0G0O_V600yS5lERxLR00W0W2zJ000W2000084G0000O04n20W00CsP90Fd0ScXV -x8d00GG8G041GW00008g4fm3nm5LQ@XG00A1040m_x9WWG000004wb003m688w72000800X0 -8CS600iV66EX40000m03SAF6WW30MD@D30000G60IZE100_100W2u700a5d10T000w0w46E3 -0008W600KlkYO00nf70zXC408RS600W3M2dSvSdGIta00000qu3mCSHbpzCXXp040000W00v -yd0000EWB00r3iq1r900108nkG2PVZ@HP00Gkzaxmqd799hFJEx94n63@@R0G62XP21hzz40 -0002624uTK300W2aYk10800000520H000400030000242W0204044ql12Y0G000GB4000000 -o3_6y5u6LytY0002G000Ddomlv64Pl1001es4F10m03W0W01042aSl1G90e0008WAW0010W0 -2WWYyvD00ml7280WwnD0004028004G00G0000014SAl1lad008WWboVOFYAgCt00048ZQ@GY -U9W00WW0W00010G20G00000em010010m480400G0001300200002I00200I000G200CW0010 -00yb000000800200000822lhdG0xIKi0Cr_dGW@900G0iIV308G04WV20040040010102000 -000K0014W688014020a00AxL3000Wh14284_4000100428KC3ooFXs2OuE_A2Ym60W000004 -10200J410002000WI020000800W844Vl1loRW000000cK002001G0yEGH7yt280000W00VxR -0004WpSDW080000000084tyRW4I0020002ZJ010000204yux7g95C000002003_t00W02600 -0100OG80G140G08000e0GG00340C002G0W0000W010m@A9fnPm4G804KF38400042100G01G -11000ZO2W00loRmo_FKuX4Dox42400W400400EG202W000GDYIY86000280c00001W02006Y -eH0WPc94A100W4C0C300g00WeQ@7000oiqTN020W0104W800200100004J8002002010C900 -0HW040cKA200H04200000G0000d0120000gTxDOl@42WnWVSlQCS300KGykV20G4e008G2WG -0W0001X080085000W48200Kkl100yg954008400820GS@9000a20QeW0004G100I000EXBg2 -DPelS6000WW00n04CH4G0000G4Klyd0un0408Y9000Z0200S_Q20040080G00Z00000mI1Br -Ql10041kbD1840Y00700041A00000020KC80e00000G0G00X1010OdV3000G02W0W802G_rC -0000WZ0AobKErBi70004008000200Ga281082401200W0K200iqk100Y940f0i_g1@@pm4_9 -408LW40000e00IG0GAa0Gs@906460IXGcR8008v204201owc1GTCrkXWGG23046730WU1GG8 -iFol11Bmm7@H1mKJ10g0WgcN5LzCWsDM0T800aVT20WAm10001A0y3000X410WMpJxCS3wRE -10J00080c0O0G0W2m000a500WO00We600nTWE0AWz0G0O000m00008000gt100Wx004r0_1G -OSC00pF000J0k00WSnPeZVg23t000E00000000Eq7k10GSz000a11600G41W_000mY409ad0 -_10W@@52010412000400vv_mDvOKMU8000W33FXIuMgCyA2KaXf@J000XGaoX00urQWz4IOt -Z3rt8D13Q2FXHRDuiB9I9kY3rb8fO90cB04XU2NXxnpxO000GuPN3wMtWrrDG040mgOaSN49 -000GdLcdCrn00e00H000880020000W000020W00000G2WKsD0002mgD6GG0000G00W000001 -0Y0024G40P001040AY018edwD000W1004000wZ00080060020000001020m17U8b@AAZs304 -022e00W00000WW00GGmxD90180GG0Y000W000G008021020220000W4Y1304GG008024WW00 -c_l1e00040I000010W0W00000mW70WG02WW042804uzU300140048eAV3ArsWoaD0040Gaj6 -aVX4G021w5mW@@D0148Y00W00400W8400K00Cki14G0Y020K4hl100400G0GW080000008e1 -82014002082388840000210284X0W0e0000500110W0000bX0081Y07G0980G0WiYd12800_ -etWJyIe0O6wJsW3PV0G10m8z90W0000180X00WvtPW000mZR6GW000002020G00100824000 -W00W100005W0G00088041201002rPV20000900000WfhvU6Ayt000W0rW@W000We8PetV9kh -c1000Y4000Fmb10aC0GW1Z02002W000200GKL6y@H2D2d0G001000Q800000W40000020401 -00002000uR1QoDXpCR95JF2rtWV@V0a000G20W96a00082104WgtD0000KQL60I00W002040 -0000GK_720ECxaaXCerOL__tWv_D0G02GPUCarl10400000822We000A2450000000030wtt -000m0poR0040000QoTtRGxepK9V5ZZPGzzI0081OZH3W080iCV200040W80KKY1G0505002a -kO2R@R0W0110000WMK000005ql1BWN4041Wo5IW800Gvz6GG041D300g0001Ga2W00040010 -10000e000O20pW01m0W0Wm85G680W049008X900000110G80a8W00G48G00004cH080W01B@ -3600W0blAHL36ayl1BPb0800000GG04W00A91040W00040020YjOJ0W000e0K000g804W00W -818080GWG0W10W0G0G820000e8000G000W09G000W4408000wtoZsuO82qDQVpWLrIe_x4Qr -FXUNJWK040006WprDe@O3080I10500208IIy6G00000G2000000Y8K0G9001XW4PF9W0000Y -00000028G1G_b90000000Gqoo600e0OKT6o1lYprD000020G814000@cR0001mYuDOqN3001 -1y5l10080000WnS00040000a2WuxC8mVF000204e0uq43G00GaLk43_d0120Wc@VOaU3a000 -0022H200Gk_6004H00100608100310000844H00400X00480WG4202HxR00014YYW0V2J20C -8W@@D00W2GpA64dl4Dzcmt960009Qgu40000kjc1G000shtW3aD00200W00000A0X6RGGE60 -20000W0mNA600000CSX00W0000mA@@7oZX6iZl10004IAe2W00000I40G8aa3_30040002GK -wQ2A102W02KlxF30W84W40400g511XmQiuCW04O0000b3X500a2RjLQG2SUe40000C0mp360 -0G0003WKsbCaLk1800600000008JV0000GM@@@D000K50oeF0sO38200Kry045V2C0000004 -05000010BO182H0OW000000WgA304dUtBA0G5W@@D00G59000000GnE30000G4z@VHXlP0c0 -00G0m0vWd002000604000C0m00000q1000A000C60000mCG900080L081E300v700030N040 -C0O0G000q1008A0008m1000J008k0C100o200_@t300W8baR020000a400WO0oANYoSm000E -f90000KSzG1000mtG3mNA6028000000h20000@CZ_0QW100L000000Gg_7000WPeF00000go -y0g20006w100jchOu@L00O@PuRR_@V3100WPcxHyvCqJ_3XdRG5sF04u08aSXoscaqpRPMT6 -000GaSb1NWBHBuvq2@6PmBnTuIy_k400oz_@NB040GDid00W0000W08G01400WG00K00W019 -000m01W0G000008G88815n01200G4e000000eWe000500008C00404000WUH0_@FXDfJeLQ3 -wdlYFtPusT6ogd11400002W0G0000G20W1C0000000IG20004400000G8_T340000WQG02C2 -1eGL5GW0G00884040WW0X0048X002000200020G10200180000ZA0000GW00440Us7ZfyPOW -V6su7ZinD080201080WI0GbsR08a0G000W0G020m008400m00008040Q00X61088p40G10XW -42E8800148030002083o0G0O0G040I2020m00002H00008000fTQ3IvdX6@Dux_7Und1W020 -f@7200G0400000010W000014uU@40WG0G0001L001W4100040410W048WG0006G0W0K00W@@ -D0001ne@60SU0us@4QyFX2nPOvIO0W0000K0OvoA010009000200OgO900X000293800WL0C -080000C0W3_D0004004100O00000ey800yZYJW040MAmW9vP0008040GWUuD0000200H00G4 -5i8H00404120I000WeCHW000004840_@t02YG2800B02010010001XnHz9anzIxU_GT@9002 -000W1W00001800hnR0200010W4ZDP0000300400mC041080G8W04000CX0000000CO0Q2ViJ -oJ0408000WWv_JG4000100WNyC0WK003000000Y0G0Y0000084Y00000a002000KWc00ccua -azLXG00Gp_C0002i103000q0W0K20X000eW00C31K0HGmC2Z06M2440800GGAY805aG00W0g -8A00G054eW0W840JKGGK0000q94Qk14200AFk800W8W000W802qvw3TrP00G00G01040G040 -A000W0bG20000G10IW8O4Hc120000K20K0A004X8C3G022OAe21403A4G8000Wu20G001bw7 -rEQX0204000I0H000I51H000085KW000G20I1eG01YK4JW0W000040000S_600QhlE002088 -K0000W0C000041Ieu9K@e1zYd0800000x6hRJ5500X2TVetQ3Y@t000G20020800e0060G0J -0Y00004GG0W2040W8006000a0W840XG0G0Xl@d0eW6WrHSAe_4krqZZtD00H0408O40W8G44 -600020l@Y1ptP0G00WkuC00005x00WzoT28W000G2a8lbutw4Yfp00GM00082cl91m610000 -KGD80yWj10010000414100300000rhIgEv@@M0Ot8aIM2Nz@00q0000GLu7GD1A00AF0042i -sD4K5H8Cph0K610ex430n43W700YZAAzZA04G00yBZ0A30004B0000000U000000iRi0a@FI -0k00ISxX2@D0080W000W8mD00WA000p0g0K163W2G500OC000G000mC0006WP0L0CoYB1000 -CW500AYsWMAeAeV60O_10000GLyZIa26ubT1Kt80W808MG7bYK41c1C0G3Q0G010O6m0C30G -WW4uaNfIDr3m_H5W72GAC9qf@L7HxnPt6K6_6D@R00T4WjiP8WBCguUZvRV000G0024WdVJO -YS6MXFXNlb00002800Wf@D8@y7000WJ40000004820WXlbe8S3gEF10G00FyRm5@90W00000 -4mGo6qIV2dJOGJz6SRE37_dGulUShE3PjR000WdjmDG000mLZ6qwT5FbRGCB6i__3PdBnAvI -i4B904G0gV73WYC0lmpGMwCKjk1nRlH6x902G0e3U60840STb10804QeE110006000eGW000 -W00028HYyC010051H12G1G4408G00G0000Y2W010022800008400000OV5r01200G4W044W1 -KpU200246TF1100em100Ml_1G0000500_@t00022vac0200102G0W0X0GG083u00G010W020 -8000A208W4G8W8W00ueI30400I00Y0G00102064W0K0G8001020G00Y24X0c0W000W0WW0G0 -000081000m8500100WO00G00G0G0KLV2000WYxtWtwDutM3gksW9SP00W0I@O94TV20G0O00 -0WGG0G0124Qxf6W00000J0005K0Gm84800W0100001040W400020002040c08im1A0W11030 -4W0S2HG0X05W80G0033000084e0000mg20W0000G100X03G4W0840000101ZDO0410WCKD00 -8G8OG2Wc_JevV36lt0G01004000000L@l17uRGB16G8000K0KmCy60G000020040GWVID8xX -48WW0qtl1008G1002Lkl10801IbtWarD00002VG000009VyRGmsR0G00000GHnYOKmF3JGdG -p@6020a00004W280000G00032Bq00G40004WW0CW0040WW000W000000WG04Y00I1G08WuUU -3G400z@l100ipVDMYsz@1Y000000008G200000W00H410WW0010000W008000G8W00yvU2G0 -00YBn0410H00000G044zU20080sgt082002300w2daUuo9gV300001003uR@40108000G08G -2008Y80G4YFyR09082000802000Y08bvl100900X00000WY300GJgE1080epV30400G04WCl -b7000400C1QGU30G00880G40000Y0800010RvR00Y0WXYJW00W0010000di7xqoUya06000G -000008800m40GGO012421014080A02000W84G000K800G201G4H84j0G424514HXI1m0004g -0OJ538802GA00G0aW1CW000005Y0000WC0aBN2rZ_pKO9a@l1GW0000G18402WW808402H0W -G4W820820GO000Xm04440W4011009Y800g00004104100806iIYGuH0G0A81000b0000W0O5 -H1220000GT3004IXJa200g2@X4vDeIU6000100f0WP00mlu6G40Ww2S38WG0qzl144W0aW0I -100I0400000_fl_D00G1GGy5TyV2L@d0000cvXIeuS66vtWDKD8eV3Mwt0A0000G16002G14 -G8fYS305G0KYV2P5Yq@8600022Z00G2S600008Zz4wvt0G2000G00m00W212220K0W262000 -W2ByRW02001W80W000u02000004000jL00001A00080ksLbF_310W4vdkCSHk4DdR0800K00 -W0K440gRtW4zD000W0W00I2000004WAkt000Dd0000IltW9hy8X@J000Kx62219800X0000G -00000KgUZXTxD86E3GFC00084100200G00000iW0W1W484160000200090280004YwR8110G -1000im32000WwlD8CSFYwU60u0000WOjBWEctF341000G@e2mT41Wd700T71tjZ8YTtrymk0 -Y000mQL1ep30G7cn300W_x2F100081BbG0v9i6_F000mT00WO0@040o0W0G0W8mDu0W100W0 -010086E68K100e2i3G5450AWA00GM000W1002A000K0w8c3m003O70nYR00W2I0000000YB0 -tWUuM208Y00080015WUmT0T_308wy0qv1m000OV8B2000WOjBWxWO0C3O0adm8M4c9lm0W1c -1000000U00gu70000AOaH000gm8Z0WV00WV0008Z3WnmrwsR3Q4oWfUCeAsAIfmW9mJ8yV3k -3p0000W000OX100yuj1RU@mKK900000G0Wm9M6qTK8zxRmrp6KUj100X8_gt000822004USc -XNnD0000uPp6iCW1G0WGw5FX8@D00mTKDq9010Gu@V6G0H0azV20040G4080000004WG0uX0 -100eiB3Ixt02400VfR008010Ga03gB1000e0QD010000WG00W000100MjC101000lG0E4t00 -0W0NCp0000183G0H@d0210nWgD8ISC00H0iLK2ThN100080G08tdNHqq6qp_30000Nrs0000 -8A200cOtWjq9vG5I810024000W820000GG4GW00K800W0000W0000800820400nYP01X0G00 -W4400801GG00e0WW000050002000441K8D0q8l100G040W000e@100010H2GG41W0C204800 -01W04000WW00qyrIeZU30001C1d100800G08W01020004G250W20GDqRmaT9040010W4042G -9300000WW4G0002000G00YW0000W00008I401084000W0010W00G11400WG4GD0W4GP00031 -0020015440W1028W00O8G405400000790001A0I4800020W8000W0GW0G0000G020GKOc1jE -Rm7_608002000X000000AG8040009000C000W008000G04G00280000W08W00G1084W04Ku0 -0000005qW200200088WWWxzD0WOH040GG001mW040000G0K012WKG3G10207e0024008WG04 -H600S018W00WW090a380000oC000XX4CXW8GG8Gm0020020m021000831O0100000210QgjF -1800W00G000Ga00000Y260O000832C82600C0W0200240Y0G01000G0zkP00340000W0CW0B -pF10080000E0020yal18000000oG0G00800000O02Y008008080000W44YG1Gk_6Sjl100Ga -00000G00a000001W01000dYdmM_9aol1000G0000@@l1080G_utWA@n8CpDEasW8IDW0G0my -_600G000X00800W2JI000W201aWXwD0uV1mu@X000Y000GGVSd004WvAn4wWpWMsh000G300 -00010a000400O000KG00W04H00WitP0W040400000GOa300Mrk50200xqYHgy6G00080V3En -x14100VzB10200e0402004Alb1000WVwR0m001000000aXFF5cuJz0000600W01008R@RmOz -6qsV2RRP0020atpbu1z70008AW00O_V306Q0S1w91o7o0@6000420bYIFz6W800uAS300W00 -041000WnZz6W0C0W0GCW0000000W0G001W00G400WG12qX@9080000e24WGG42G00000Wi10 -0yLA9H@p0C00WiPm00000L14WpQCu@G6gndX9@D00W28040P04200D004C000Ha200OWunz6 -00080800024GG0302010000Wn_ZeA24004801UEh7000eQmdX7xJ00G2mPS900G0Obp40WK0 -000W00G9008A010W0FnRm5s6G010001010000Gk00x@BqY_6001W00200G00WmwJeqr7gWM2 -20000100G4G0yAj1NHpm6r60B000000lt00WnwG28000G08000000m0Wsr810800WW024200 -qfl10K0WwNJYQnD0W0009W1WspPOm2300KkDOiAjYZHkB9y@V2400X2EcX9FC0400HHnF002 -0e6I304088000010Wou@6yNk10020_Fr00x60@@7280GewT9faS300aW0WO8PWv40G90W08G -08b000G25080000W3oXF1X000Ge50_tt00I64I004C2HIW000W000qxv6WeO0220u0000GB1 -00fVToZwRW00000004Ya0Wy@D000wE40000KSznY7YFm00gUGtY0WNL0W0CzV200WNW200Oa -wB0W@m0075000Gf9x7500c000O0O200W103iUf15wR0mF0002mUdnp000mG10WW2OF00WE00 -Wa00042004P00000aHW00001G600WE0001100Gx60Dpxn3z9q1W3@@pGj06Kmf1@@R00WxE0 -000GbV0_@@16V50000zJ4d110G60u764H4H0mkZ0Wx0GjsFu100KW000W_7W@@t00L10008Y -AQDmC000W00WA2O8hwJYXBXfyVODVCkvtWYDC0060G6t6aqQB@@d00G0mCuIuMy7USt01WG0 -G024c6lY0oJOo23kQn000042000108G8W00euN3MAt000cUhapGUvCKST5tcRmy0LqUU2200 -000I0K2d1vWxnw@6Kmj4G004000GCfU20IR02M7ZIXsG000000W00H4050w1040YjaVeIy4k -GsWhzU0W100W00G2600D4RGv@6000Wc600GE4RqLU5DDNHVy9yxe1pcR0060mzuP00G00000 -G04eINYQW014WS@D0000501130000GGG000001210W0e6e10GajrD00m2HIUC00W002W0040 -00050G00L0sfdXWnD0GW00050WarVeI@4001000WG400400G400K0000WW020408W0W20000 -200W02G20000I1000000G00J_m60040QJR3404C5kl14900pct0W0000W0W08000o51u@V30 -4800810010042000W000WW40wst00041BwpGezCShV2BxR040eWjzDuHR3K000002B008000 -0e000104000014I000WWY008c00O004W01300001G000044W0G1G0ne00080A0108W4O4100 -00A00YDOD0000NN990000m80W40Y800W00008W4C00Val10400AftWpqDufV60200aLl1404 -000000W2808004I00G0009V_R00W0mjtCOhV6co8XevC8FU30880ivl1f_R01261A0WG00W8 -010000e2Dv_4A@t0002000200020idV2dMn0002Wi7Uuv@7M_t0W140RxRmv@L0020u323UB -pW54IeOV6ced10g41000a0110ishJG0001012yyF3XjPGxPCqls3HUBHy@60002oF10Kv@9y -ZQH010A00000b00O_23MOFXOMC8lu40100DMD3G0806sqWbnPewI300mIsxl1H_RW000e5s5 -AX@4QId1800000048000000GCnv4owFXutD8sO6Adc1Wq70801W00080400856FYak5G0420 -WW0gitWP2C000010W0XvrJ0602000GWYwD0008000100G04H_R020G000W0bud0000ELW05G -C2071q0WW00RkSIuzRG40mW006020400080820GUud110800400480080002021u44600G00 -GW00204WbfPerV3crt000L98040G210W8C00086HlWIilFC3WRmP@F8100OE@40W8GrAD34H -000000L6N2P@N1GM7W9gDeRzVUEKbF@V080000800W000RBAnxT9mI00304Wm4tBjfV2dp@G -p_60000DTV3EEd100400000JfFXXzDe7z400WPlDk1HA45G10Wj_bu_l4wPtWF@DuaV30000 -jeg14000G00000WYegz40O00KhVHBzd00W4WjUVe5_7000W00160IX0WG0000m007dR00094 -0i0IO1006@j2e3G00G02W000SInI00m0500000m1G000000160680NXYXCL1000G7wO20000 -FR00dyvV3w_@1000F000000W7I50000Bkuo_510W8000404000G0G0vUdGVR60G00004W000 -89000np@RW00000830A0000mU000X1OKg4IaF10200Wb8000W0000302000L00WO0IuR@S0m -h0wD40000mux@Cu10CsL0000OeOd1000GX7oy@400m300m3000WxN_1qr208L0eA0000e200 -000F000pORVa6n@XlzP0400GOSFKuZ11KB100n@Ven0002GjLCamz3nvqIzt6CSZ1lkdGqr6 -0e00Odw40441CNE39dd0850Wz@D0014mgrI0040wmV3A5tWH@D0001GemFSqe1D3BnSt9yZP -2VtmmSsC0002OQx7k9E10008a700_ABX2UV0011Gdz90O20O0S6kD_XonJuisG_nFXUiDegS -3MxAXBxUORT300W@acB6FBdmXDLaRE3No_mZx6000040004800Y_wJ8ny44000qgc1002001 -e0ifk1800W000G0080408e0220WlwDu@R30E5Ws1D3ReR0W00080G000W0BetWkqD0002880 -0WbcDuIK3000G0W20u8N3008GiGl1W0m00K00080202000020H000400400G10jkV2RgR00Y -0G04100000H0W420284008C20X253000418010200212024A884Aa000000OaA04002WG000 -00800G0X0G008Y2t0K0100000010HKpV2800010G00003402900W02G000WW2A00X0028W04 -1000002G00000024W0GW400402G0800021000a400100G001000020800000WjdO0Y0A0400 -400OW140080L000C00O2QG020m04aG4m01048000K0Y0m120000008g002080G8000004008 -05010140008005421800041040G209xR0000H0200040402108m010K01200041XY0210G04 -80H0002W21200000W88RM@GQtOi8T22H0000000K40eKF30004000G000W0G0060W0006000 -Pw0Vpl1pq@mg@6a@l15sc0O00Wx6CuF@4k@tWdkFvR@400c822808IY400W000400000C008 -0010G0002EwmWkvJWv00mnVOij@CLzJ2010I000040100WX000010001001200G90XfdG@@6 -G20aezV30G0aiPz3LgZn@TICu06zo5202000G000280siL204008000QWp008400010G0000 -_j1eQS9cjXaONCeFXGsQdX09OOeU3QsmWAFD8qv7008e000G0210OeY6CF@9BzaJZtF000Y1 -L41e8W8WyzD00400X010W0400G8000482W0000H00PG008X0108G1006000WyVK2O2Nm3014 -0PSpGks9KtV2DdR002003080A1400C000402410G0E008H0002000G8400000000G88Y2104 -11000002K08G00efy4000QiWW7n@dW210WxmJukP66otWwtJO0U6I@t04950dtp010K10048 -WIA2008300e00XG0WG0GA00WG0200050WKgY1i10200W14Dl11xoGn_L0W40W00440WI4I90 -20GA0YQRZ9_D8a@7IuCXeNJ0020280004800fBc001W841200038EQF100AorvR00010000X -004GAst300088a4000KW04000804LcaUaol1Pwp080000040raPGbi90K0000W8Wa80002W1 -204042080G008QU3Ant00B61@0Q0002Y@lnuzV30064yrl10500000A01008ykD410WK@l1Z -HnGT@984G44202mwv60080000100W2aLnDW00GY80200C00F3R008G1000mK10GwtB10004I -1002t7ZO@D00G0000m8GW800050oil20K2000040W0400088eR3Qy@140ID0O0aW20f43d18 -840W000XU90m0i93OB120mSB0G08W007000W40G00210000TF0e40000CaAW10G8YS304K3U -5v3OGK09WiR0m18vI_zmGLL0000Kbg0yV00uV000000Wle00000pKbh0000uW30mO06@F100 -mV0000uWx0uF000WZ3mo_2100Chg@70o000a1af5x7wyp07W1008B000u000000026004@0w -0G1y300a5000O000X000pFW942mU080c000O00004000bd10WnlD0838306Gc4oJu@@A0W83 -00H6WC0S0P000x1004700szEXVxte@@40Gqv100003F0mWuC000Sl@@4K0WvB00000rdgK00 -0NLJD0aV00Wn000eEBZIgsH9XCTP8Ms4g27300G0F_N1u12WwuPeJQFocEa6jD0010GQu600 -00021Wm_@680000200W000XQ0IO5V9oxnWr@V0000E00WW7yUOy@7Q@q300W0zxdGmw9aKG2 -hOdW008000W0ZlRGEmR4vV5008w00I0aZ_3pSlHzmU0008OBp7G218Kai4hhRGPy64AE3000 -10202a_l4WmL0oPoZ8Zs83@4kusWmtDuE290004ctV5000W000a00000C00oXc9G20GW0020 -9000202Y00G1800W000W700W0800W6@C8aR30010GeG00G0W2G01G00000X4G528084G0jdQ -6U2r000W2G000102401108GI3000W80002W0XCW108000G40000081000000W0qf464WS221 -2I0H85022W0001GGO60400g2G300100e00m000m@@600eH3004e104202GG2O004420W0010 -400000f0H0K0000G4800W000H100G2U60G08400GGD260002uKB3ckF114G0000100W00GY1 -jwI300400G20W40008W0XHzDueH300022a011fC0a1010G01004004W0G00800102e000000 -8480W0YNC1mL500Gu0000010H8m0W82102101W000400X840010000A200G9W0O9h5QW000G -04000C0010G0G000014000800G0004401E8p04G00lmdG_Qa4vl1NrRm6@6W00001G402000 -482W0001G00W000WIF04004040900voRm6n6G0K002WWCG01200000108W20001G0i_U6wzt -WRXC02800400Wn@DOHF3os4fpvD000xQO_L0400Y10100G1W9jIWW00GGpd4QV29S9HBPjK@ -V2YGQ00400qhx39rd00W0WzNC8t3FMiLYn02vDDL0000R2008xT9o4tWTiDO9z7kFMbkQy8u -7CMOM200FxjaZ1GG0md_D000W0W08WtuF100We000G4006zbRGsdOyEZ1vlwHX_90yz10800 -GboF004G20GW5CW02W0200G400G0W4XjA0000hX8a__DejwAIJK2000iE300srN20A8YGG00 -W004W04000204W1W0Y0401xh2e00aSlh0000100W820010000aW000050G8Y0G4uI00e000G -2Gbu6000000miCe00WcdV00080G000GG01LZR0100WTe8PsV60I04bxT5LqOm9XIKGz3JKRG -bu600000Gk0m_@I00G020000W04aM0RffS640015bE60002s@EXwXb0A0100G0W0uCOT@447 -80q393@@R05W0O0e0000000810y8CCW8a8U@tWdqn0000oWm60G10eZE6QhFX3aJO@U30001 -k@l4VLQ00000W002R6LH4@Ue40004800100W3uPOQz400020WI0010W0YaWWHYJOAU6WK800 -0000030GK06W1000qc39008ajMDu@@400PtW01O2A084000440010e602PkbAyT2000HYBLy -@l100WT41008bVO0WrN00J5WQuRn00000q1WU@butF3YTp0YC00rnz00000000n80000GI04 -5W10G4000CBdli10W90M6u100_1q1W0e30302000400G2@j00ix20000Hd7Wv_B2W73m@@I0 -Wg0Kc70eKJP600m9E100wXBdINb8DYP000GxJ008X7LQ2da9pVG0G00400WA@D8PyJQ1FXJq -D00GgL@kLauV2F4R0000esnD8Hk4ELtWwUhORz4gInWKq89CzAURF1Wc40nBHoKA6000P8fW -G_TNY4uJ0040GcjaSw_3000OmB00qvb74000ASkYww3fzq4chFXm5V8O_4002000D08HU3Qa -pWCvD004080000005DZgQ028G10000K000gmt0e0Y0WGGGQct00140DVdG4X6qN@3hcQGy@C -040G8u932Q@X23D8pU3gWkYHtP8BW40DW04JZ1lzR0G08WCvDOGS3YbF1G8000W01sV@X4Yh -eW83EOs000H0r_@090GWI@J8R03_zdXQfDW000GJD6KVh1000WO302800000Y8W10004C0W0 -G0000042WG9X0G8XG0000001006G00001500G010W020WiCOe1S9IpF14000PF8nOtRazW1h -nzmB_600W5gbPFwrca61DepROW048G0001080G0uX0YH100W0mTh6040HX00280G008W8040 -0G8Y8200W002G8eG002GW009IhItKda@l1RD62008Gk2000000xgt04000FNamsw900400a8 -0mTTdiPAL00Cw10O04yd1nppW801000000KI0seFXyTbuZRRgYdXhuDO1zG0HQ0qw23W0010 -400SMV208000G6000100GGWnxHjyPF90401_msWh0z000026009W00G400104G400G800024 -00000440004182G01200me244OG08mK20psV20A6W4lCuTVF0W0WG4G00G09GhfX00mn51G0 -0W2484100408IG08W8428004G2882400408W25001005220W0omwQd000a2308a0Qi12000N -5uneu90004AsVF0y904ul1lgM1000000YKdh130W120088tiH20920W000r_AHOFFOB0mevL -3000000Y0fxV6kpt06120HXr2003002YOW880002IqhHH00IJMzt0000G35bmOQ6a2W10000 -1010KC19@@d0GWqWWqCu2aG0010Kq13z_Z1023Wv@JuOK3wJEXmzD0W80GRcj00gl11XG350 -Ge_Ez000GaO0000u000400Iiq30008O3WQsgp000420002IWpWK0IW00000804r020O250sc -s90K0WoK50Tj0r300wG700mR_R00W1m60k3H0KV2iXgEuv1CnH71mwB0WJo00FC30gf6_v33 -C@01Oc70qKJ1ey10W2pF10YV000P0_100dl9HrzF8004G0o0GK0X0Ou00004000P0G0G0W0W -1IWBXK0C0W1010E0300069aRmnUuP6008OFFeoH71mQg1W72m4yd4bT2W0000042qaD3G000 -IusWzvJuaR324lYzjJ00GorypOa3U8vaBHyzFCuk148004004KIj4bOdGVR9aHD3Xkd02000 -G760L0OGrRCazT2LadGKv64oi1XadGA06K4k4l6d00W0aepDej@4MCdXIQnePX70000h8000 -0800W00W_@J8mT3QStWYwIeHT3cgtWytJGG0WmVw64gE3P6xnESFKgk1L3Om2xFirI200wzF -Q@XuyUeJz7s2_40004vcpmWiFy2l1FsdGzr6SaE6O0000OQ0irS2VdbmrpdqTE6010G02000 -800uAS3wH@X9wPeZ@4wfEXusP8vR3000mG604e9@70G01ijE300012Vd12000@Fl10400000 -400280010UkD31@R0100WDgV8hz4gItWctV0W400140000JrF4B1140W7wP001WG62UC9l4h -4mmtsOq6U2PxwX0000Gw00d@p000WWbZFvva7QrS6I0009S5IcY68600egxA000e01000020 -000048W20RStoOtdaV_908o9@6lY2XI000G000G00G01Tg@J6xy0Cc0eyxD0W00snl104G0c -kbgkdFvmjA22t0010GJzg500IYMDsOfV6004KbGV5048W008010W00H080H00m3dbePOX800 -0W800uuRI0Nn0aiS54W00G010002000W00WKI44mW0v7J500Gayw21000M_8C4rP50G00a80 -0qpyLjWdGY@R00mkf1VC0004zTl10108EaUF0000Wp50M_l20112TpomprN5Wv3XKW1@00Wo -yzOhF3Q1UcCqz000420G00C000Zf720WCpW@hGD0Wqiv600008CSj00WZQ40000RiHW@U0WD -0OuV900H0IrC0KZDSdNGf6r4mKc2mgy0WvdQELHtQGWSU000WnQ00mn@La5d4DfkN_0yj@b4 -tPB1000GE000NP3JbzK1W40OSAC00KVjPU8I0W0wXBXtemuWVXEAR3GDC03@x104GXIkD8Kz -4E@kYxcufN03Q0mWGraubN3YMBacuaOGZ@34v@@K_lFc@tpv@yW_@Ef@hZw@vi_FEi@VJx@s -tCk7kMAzd100C_nvnv__60w60umHOUxtWAD7xzS3000GhP008uoJ6J@XrKLfExJ_EDXE@V00 -GZNU_NTjPB1_x1en0W97@VUwY0Wlsdzm@VW_V7g@l1x@Pu_@5m@NXy@JG@V4s@@0_@De@@2y -@dW@X087200EWWJ0000um10000vG07004EJ1WYe0a08S2mu@L0210u@V3000uKCW1f2eI_09 -00000700Gtv@lz_@Pt@@r@@@@@@@@xdOj7W@@5b100Gykdalx9@@750Mrs@@55Sr0GnlgaK0 -Uc100g8kb@@@@@VT100m9800u@@G0200y@@U00CL_dGKmv803S72002WnC_@@@G00SLz@F9d -K4u@@@dH_@Ni@V5z@Fn@@vqlD00CWE0S8YV@0120y@l408G202H010000841000001I00008 -0_@7Ivu4000CEx0mX@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Rr@@@@@@@@@@@@@ -@@@@@@@ZH@@Nu@l5@X100WfJ00ut@Gw7rF00Nt@@J2800W@@@lT_@Nt@@jy@@@@@@@@@@@@@ -@@@@@@@@@@VT_@Jt@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@nM000m@@ -F00e08G0U_@FA0002@@B1e06Wa2Qy@@R10OJy@lA0400_@@@i7_@@@@@@@@ix@@w@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@FB_@lo@@Zx@lu@@Nl@@q@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@e00W0y@@I000WC000y@@y000G_@t9GD40@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@S2040uxPC -q200y@@@@@h200WW@@n0Cj2m@@@@@@@@@@Vpx@lS@@9@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@L_@@@@@3@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Z400G0W000@@l4O00W@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@70004@@l4000AV300@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tk@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@j0000v@Vd00GJbilz0400_@V9000Kc000_@@@@@@@@@@@@@@@@HY -000m@@6W000u@@J000I@@@@HAin@@g0000MT00m@@r1100u@@J00qdSDKTXKq2md2W@@5Q03 -IUUuXLVK1000lv00W6XWy@@@@@@gGAGAWB90G00y@FI00ep@@@4000v2F8I1KGKe8jGH0U94 -H82C6GW78Wqfb51008C8qHqK0W0004O008uS0EGPc@@z0Kh1m@@d0y200G580Wy7001Vb020 -0G000W000010042008000Gy@0W8204000800I424H0WWqS2f00mJC2P00000000008ADQ20@ -@@@@@W1003e205QtBJ12000X8HG0008G20u@@M0X00y@lJ087200E00000e103a31S00GuKE -400S49100002IG0000GY8008fWMIDeb@@@@@@@@@VC00xOJUzp@@@300a1gF000000G200@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vn_@Lq@@4@@@@@@@@@@@@@FX@@@@@V7x@l -H@@Py@@@@@7r_@Fr@VJ@@7u_@@r@VV@g3000s300m@@60040u@V6w0WX@@N20800020WU1Q1 -0mPq@@CW000u@Vm80008000eA@M0Q20q703Xx@VK_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FvHW@0GE1WY1 -ww@VX0000P500e4yeA4Ge@@P00Wnm@@@@@@@jm@@A_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@n28000000GMP64rs60008K200y@@@DoTIcSU0Y50u@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@JIk8000CO100_@@@@@@FW2@_@@100S0@@p0080WIvCu@Vs0010W000u@@D0m30K0 -7R@@NX008W@@t0000Dp00W@@@@@@@3Z@@ly@@@@@@@@@@@@@@@@@@@@@@@@@@Y00idKw5I@@ -@@@@@@@FIWT00o5tWRsCeZ2pQih5000m6100I2lk@@L10WnGV0yy@@@@@@VP@@Fj@@@@@@@@ -@@@@@@@@@@@@@@@@@@p0GJ1WAu_@@@@BjVlMxb0000NKXFqHUHzvN1008W8kh8R@G00uIFNN -2@@R00100G0000400Y6tZboz8fWMY4F10800jYN10h3WY3O0000200020008j7mGNS@@@@@X -o@@d_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VGSh_@F300aJw0tl@@91Gh0G7u_5AU8XX@m@@ -@@@@@Nn@VL_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VsRY_@VB0s40_@dA0100@@J2004W8nP -0W00m@@L0P00O_ROo7uaB1E9xyAU@d100Te@@lHV0X4kWJzBW10U0W@@pyC23000mIJ00eOV -5Fk@XpxD00WoIyx7MNV5vsR00F0WTwLSs@7_1n0000WY100Aq7I00G04100004GK@l100MWJ -_FJ0G000CG000008MS1u@@91G0000020100000800004a200_@FJ8006000000e4@@@a002c -YKm00G40G0000gY0iDhb0008WH0H82000000Bu10W@@j402050210000000K6@@FJW00G@@R -08G6WNFi40WW0G0004500000Gv000y@Fa000A000008AI0G000200000hQ@@d90WC0200WG0 -000XR0y@lb0G50000T300@70000N00W@@X400G800002G400G5000e0000Cz@@910A00000W -_C30p000c100W0402uni6mD8MD6c8x4000aM000Q0tC0020bbN1G00W6pD00G0qtuL00ORVW -ARgBtfanz08@3GLvdq47F0W000002Ezk1fddmCU6igN2hMPm9wI000WGM00GlwH1004eVT3I -_p0002020000004yr735h9HsUI0200001000WJeSxPOG33M6yax0tOWG3Q8qW91J0100GhW6 -0002eNG3W00080208l03001010800000W20000G008W02_@F1GiA0V5OGZMEjfe1j3OW000a -OwIuu@440200006OxU3oKq000805CoGL@6000G0000Be10W85YAxX4gPyXR6J8fn4Q99Xs7D -000W00K0000020010W000ikc100wy7aqWz_5g@VF4101yyc1@@d008W2000G0008C1408800 -1008m4S9y_@30XB0IHqC002G8W80YpWXSDV008cGxcF0G00W0000008Mi200@@F6041W5Ez8 -C33000W000410000Y00WVGDG000000kk@@D304012WGW_Pm0500000020W00BWmm@@90iN1u -@@n820H00C000g0004GW@@J028200G00G0eo04W90e20A0We0W002W00140WG00H000W00G0 -0G0100000U1100@@F608I0WKC90000W8004u33W000000100G20K00e9G8000W0n_O0042WU -NJ00G_phQ_z@l12G0e008000040W00Gzi6ixA3W4N0AxDG000M01WN40K000GW8vt700G000 -0WEbIp0440ivh4nOQGPl604G00OW48002000400W00001GyHg1008000m@k6hPt7Rm4gCqdN -2t8R0GW81a0PA0520GA40Cvx30I10_@dD0810@@@00W08200000oG8124Y1YW00000100mza -J00800000ayw6300m30m33006O8400_kf20W20000emF0ifR0Eq30000W2W@@P000Nn@@c1m -00001WHI1CC8j10012100080c000w00044008G000008300iZT204000Il0y@@O00W8K500q -ox3TORW8G5000G0ucR0ww_10m50000GfA0S100Ws500m@@V20OtUrd7s1sfApn8Wx7AFt3mo -80bQAHku6aCE6jkA7b00WGone4l46tTcLqzuA_7sJt300HtpI9nwQ2j3l10100IYF1W000HM -pG9W6Cl56Pn@0Go700801@@xKmR6yLd1BpZnnz9yFV20002UY@XPxD0000F918WWi8fOVO00 -0200G08Gn44080y@@30120Yw8afhD000DA04GWnrYQtn4QYaXqob0W00GaaCy7b1DdB10H2W -6yLfSVLoioWHhIugVU000m1500u@V30W10qab1Jf84Y00Ww_N20n5oXyFS0OHnzx4807W@@P -OeR3w_xdU_Yox00mezCG800uYl4A3Ddi7S20G2r@@9O800000GJMVUCk36T@nm959S1W19_B -4u05W@@J000m4020004C0lxt8000Si1005f6rR@E10uPAWNU2wtCWi80t@AHWFXCf0R000GC -000ynE300O0ocba85O30GuG0_s0W208jvD0ml@1000u8M6gyd70y20F9@mpC51W00eVCa000 -0bnD30800000Oa4M800W1c9sW8lD30mXzegI00p08aoqc@FX_qa80y40cV0Sta4000WMQkbk -oDe2A6A6N28000R1RGkv6aqS2Lap0000mhpVOfR3eF080002000W00002000W00182CF1108 -0m02400W0SPx38040o47ZSrDuOT3ItcXImDe@Q3o1t00400JiR0080WBoD08000001WFsPW0 -000400000@y0G000G04SVj100408040q8G2010101104703JlRGgTFa9k1@9h5O80WcvseOF -OkPt00020000HcnF1040002000G4GzsK2000WwhdXAxJ8rU6Y4o00200000eLE00qTV2jt@W -010Wi0CeYU92mKYqyJ0044Gay9y9l10e20EcNYGybG80GHRSL00eoOno4m0000100004W000 -0000110400gFtWSoVOsUCQuF1180800W004G0a@V2O14000002600uMz4w5K200050840gyF -1G030F9a0OK2Wa@D0G00Gs@C0W00u1T3g5mWtsP0000qpYFCRl4jvpmx79iy530W102x@400 -0ei100_@t00e00DeR02000000GhpRmJy6ag89j3mmVW@4E63202000CLiIC6DsdppypCB93t -NRmX@6G000W000WWs3W1IpixV3000G73t3NR9NUpL000GeOq400C24vQWRwdGQpF0200G004 -00000Wu20lsNHx_v4Bt9Fnx1018080G000080001200WEOEC68_XFss30GbUG1L00G004W00 -e00WBNX10002008appT2aN1GgkO8000OIaPUI9dqWn0000ad00W@@b010404H0WSVIuuUpQG -5300qS@@NX0W0W6jKfJ@7khTf8bD00n2GryI00OH00XG_0_6q6pCjKBQQV6SIDC00040GW0U -0m008G0102020400080W0100000000_2@@l20GC100O2m400Sz0CbzRZ30000KLL4ymg8uV0 -Gm3FGL00a@10W0nJ0CP3m@@Ej3Z13IMqq_6000W7V00m@@X0C00OdxSATpWlyD8WZMYOF100 -gUHPNnYsLywc1DWA7Gv2Wv_EP2XJ00044L@39rbGbFj0004emT30000RP00uwMLc47cMzV0W -G0GGyR4KM2W00040510Y0004101020000qR2W00EGo0400G@@@00A808G002GW0000001420 -20W400801040Tf6202GWSrU08W0Gcx94wU50008ULt08G00828000000028004G40000mNB0 -8200GG04W018H14G02000400000W2G112W020000402G80001W0e00sYFXfP911100040Wv_ -JuOT3004GCbG2NjNnyo6800n0W8814W0114120CY0000WoL404084042808WW0G8W0_@t00C -0A2000400A0X04W0880K0100402G010WC000140PiCLYprZz@b0000nvzCySl1000Q0W20aB -l1e80GAod18400Z_P0200WEFD8KyJMkgbI_D0014mx_60080G0G200Y4eDzIG0000O550a00 -0tzp0000800H40C00UytWPnCeqV300802440eitG0800awx95oR000000022@@R0200erxD0 -42mGkV60002Nx00040200G000A0K0024KtV2pMQmfGWzwE3020200G0all1ZCQ008000W100 -0AbRW730020G040ssq040000200osqWQKNww_4AuFXFXCuzV302000GG000000OA0Wo@h0X0 -0mjg9SNg1e000oitWkk3PNUL00010W0W00001420W4_DW2042K000m000G801000K020c000 -0aM000800W0G040400arl10WG001202004204050800241G08G000Y4000028W04200Wchz0 -00W0000mk2F10G0mN_60G42040400042021440350HW012414C1000000004R00500I01000 -00DO00400W65D0WY400000eW00ZMd010100G005tRGprR4Ad1FCOmOt81Qw000G0W0080G52 -0040000K0000m00040082W0uPeC_JEfmc@@J000GI0zI000W6r002W0020W00XARmySC00G0 -0000PhVvCcz3dtNHny6qF_3tu@00W250u10G020W40Y0H20410040m0m@gDG440OxWvSWV5d -tBHEw6Kcl10021cB230R620W0G80X010000020400W028000000410400408T43G280CxlA0 -0I0omoc7YP89R3cos0000OE440000C940000X0W1000G2000WK00GD0000O0020G2x601000 -100G8yT100_7010002EJP4yV0u@@0m3F00004m000000000M@00000GmgI50_30Fy0LUu11N -LL2ML1Ias00y7000WCCpC1xX72McF4iCp0800q3De6IkA6W8008fO9UG@1048W0000102080 -40GWO0e014G12O024m0W1W1030106068408G0200WrN048W000YB024NWi0C0S180P1a0H70 -306WE080C0OWG0G00uWQ340400080y@@D00y00mC0000y@@@aGm3F00@1W7U0800eWcP6100 -0000W4agg0800wV00400KW7yW00000WrI028LL1W@@D00g0000u1F8egUGm@0WWPAZ700GLL -LMd2jv6FW00000aRCwlM0024MYtW60C82wAI9kYylJ8OU30aI0qAj1LbmmWg6aFU2HqNHAIa -0G10OvS6ogkYe_DeP_7sxd1000mC700odqccXrgzV60300000kv2W4M0b110G01fdmv_L4Pk -1XYgoS@OqwD33V@0W092G01404000kz0i@j1v_RmeqCKqa10082040AKqj1024G000W0900O -qR3IktWPjVO8IXwL@1000Ky600MedXYxD040002W0050X4W0220200020W00H00820WvwD8V -y4UjdXgCdvsz7M5cXe@D00021004000OhzxR000e00002pqdGa260W9018803101030a0G00 -0000m04000811Glx6000GPhQ9w@FXi7E9z_D00GO4yk1WfG0k8N20040001G0m00Lqk10080 -000210W4OiT30001rNuFXUt2000CO100ZA728000G200hTZ702Gwp@be@V3cREXu4I8exqUz -@1mD00x@p0W0WWDWaOVqS_Il8000iU3000080Cvu99YpMu@9000uPdD32gpWx@DumV3YkF10 -00D000I100W0002SI_w0mr0iYF300W0W100SAx3pzQMovROS00OKU9wQt0005041200W0IX0 -400200Gk760A008vZt004OLm@60848140004G0W0Q2mbCyK1vF0_V02@N200W2001080e008 -W10W02060034a5q200K_kIhrAt00005V10W@@h0G40HtQ90K12yg@48000CBzITOJ200Pol@ -b01002000085200WWG0m00G8gl000GA701WK0COCrDk5lw4n3101080008@2GWHYBnPu6W00 -Ct191W1y300010tuO008c00000I200MjtC0KLL4000000j301pK62gAL_700zF00eggQaniO -yR1GA2GkuF0000ga@4As@XExDeqUFAldXX_J0010W040WK0a8Dk7o3e20004A200g784000W -ZqpGPyCaml4jypGmu9anU2f08HI1OaJU200OLo_tWfwR9tS6YW@awjP0040mGoj0410eDTI0 -400KWS2raJ2W00WIwD08000W01WxSt83x4G040iOl1W0800140iZj10000100W9S0W040008 -W00m005dZd0G00020000G0K82000000100W00K0400G8280000800010g5V3IEtWHDTgWE3w -ec10061NMRmfz9W000000G0YAW04G008802K001WeWG20000W402H100WI2f8003Kmk10021 -_f@XWxJOeT6sQVZr_P0020u@@60101ApV3000G8G0004000GL701I80G0G01WC0GG01OjV30 -G0HO0020208WH0P000G00a20400300044A1W0000408W001086KtWWtVOwV6YatW7_IuAPIQ -TDXspP0000h710WewhW20000G00A00040GX000000032GW0000698Z001yR0000e1wD86Egc -@d100jKfdk149000200000C00002020008G2GG002000I10000W0SVLQ28S00000bbU25TR0 -0000O0W10000Zyt028W00400400eG0001002020101440G800sdjhOAPmf00mSaI0004u3U3 -0W10KXh100088WW01W006X00o9s6CkNQ00sYZaF102007@Rm6y6KJF30G00ows000G0ZrRW0 -0080WG4p0Q60e5WuWDOmS3cxt00200O000K2000W08OQS30088WbIG10g4iG71005em4G810 -2H2O0X0elmnY5sWqyDW0000000f408O6U3m000Ou00GG040020O1W8000208On0W01WW84G0 -40000400rWRGoYZ1Wu0ukTF0002yXg10WG000Y046l100Ae00Y0KmcP000886004VV5@@R0I -1000028000iQxF10a10@@RW20GWeAD30mhq_u6yF_3zpR00822000W0X18802ub2V2G1K000 -HHWG211140mccc1_n1OAv4a090qw_30W800010G0040Y001I00WDxJ0G050I08WcAD3000Da -10Wb2b00G0moJ900X8oD0G00S00000GG000000XG00000m2206Wz7vJeIyk00W656k1K1W3T -m3F0W@1GmpCZW@3ez7UOi7300O110W0000100L10064002OLdJrC0U50000040W100030606 -0C0CmSnD0W1000003YE000O0T0G0w0W05DSS34064h0C0K1S180o2G0GC0zkE6000Am0005z -RWCpeALfo3UGm@1WWg2G0Fq100mjG9G000aW@18100eR@DeBLaUk@400a3vYpGcn2j@V5G00 -0QXtWFjR1004mby60S71OoPI00000200wP@AQysZ6OC8u@4ArbXs_39AV30000IT00elnC7O -t000YQNaZnxoF00010400uaTUyLJ500010280Kwy6PlRGppF44F30c906AtWdxD0000I3J9i -zV28W200014220W004000W000240BoR0400WKwJOClMU@K50080000mSC004zV5JHP000084 -W0100W20000e002O_Q300K004240G00000W000040WG0AoEXsmFfSF9woMYvdC0G00GKX6CA -K51vd014GG04W044240804000040G02000204007@RW002WP_DOwP3cvcXTsD0008Ggt6iAR -8dzZ1u27WDwDexV3000WimV2@@R00300100040000W00G001ScV30001rQl1LtPGqrW9V00u -@@7cPE1000YG400kyt00002G013006020o41004H85601410Hc1GbuW1WGnSv@462FXt@D00 -80n90F0C00WG0a0041094Ga00Y40002G400000WeC51Wopx2S60m4sI0031uIp4G000000Y0 -080GJJ60001003080301008WXXdcJ00WGrb0020060000800G0W0000000Y820009800200G -2TwR000W0WG00XnR60W_gJxJ0Y00W8000000Y4004UNr08W820G0000200004G4020W141uW -8XWXHaWpKJW816WG022410H820000H0wjlBm6D0vnA108210400GW908Y8101H0000mCY0G4 -bGY2OXK28IGC082500W00W06H4mX8000H00YCdN7O8302_pFXFwg002850000W3000000410 -9y@l1W20W0004rNd1000fktlB00RW949HLJ64sT20140000C200A0000p@@C0WA08meJsv@7 -WkA1H0@mhd6y@V200m100WW0GK0uGU30010UWl1Y00000010Y80W200OWyT1000DyB6ENj28 -000010L020Iamj10WW00000jH330011IklB00ty0e00000Oi@l11DRmmjCG00000K7mgzCae -e10140001W0s00000WI1@W10n1OvVC06O08pk001NTd@1yVl2u@0C800KG043d1020054G0a -Sl10yzt0000uxl1eU@h000W3N0000000G60Wy@D0004000W8000W0000502c10C0006000K0 -018AWnmP0C0CW0vCG4020Y0004100C302kOlB003i@@pWh0000y@h0yV0U@t00kxV00G0St@ -02H45000A000IWWzC0WN1001uVl2u@c7m@b7Wlxj@18I1dw6sWBEI0iN3GzzO4WF3TulnItF -qcF9Bzt2000Io300Bj9Hkv60100fAT6UwnWjUCuPJ94020avzCFejnDr900GoEeDLcX3FGmB -0dJBHFu6081085W70000ibf1@mpGcu6a@k10G00Akc140010W01QMrW5vmOdz4UEFXkpD8jz -4000GwF00e2z408040014O1_4005GG00000K0Gou60G00CDT30WY0yXU2Rk6IkTIKXc1ZuJo -L_6000BBnxA0G80qtk10Y00008X00090800WG0000C00010000G10WH80800W000020W0280 -0wtlYxID0010Ga29iQl1vqp0200Wc5IusV3U0u00800BoR000m3k100Tod001W00800W1000 -00K00000022800010800a0GO4000021WuYl4800I00G0OXU34W02yfl1xpMHxvOyblA003Qc -O@Xy_Ju7C3YZtWYqD0W00006000200O0G0002802108uAR6zlY1cDuw_4Urd1G_807K_000m -WCGm8@oDEL4ZG8COQt7_Ui50000Y400Api20002fb@meU94F03LZdGnvIaR@3Fodm6wj0W00 -00G7n3AX000W8PT90800000804W0mO_Fiul1l8J20G0W_0F1eU3GByLyD93BmBn7_6iuh1b@ -d0001XvN2vYq40020qbj1faombx6SE@3000OTB00KOV20G00020000520G80GlD60010m000 -444000W0GW00000214@l1f0O00W1100002G10000200100G880088Wt9UOME3AsaXHJCeCr7 -QPzXLwD00400020000j6B_pW00020G0000003EF1000000Ge00040001gY0300040W100400 -Gy16KVV2V2TI1z6Sop3xkw1Ok2WoJV01G0o9i900084W00GA09qgl10WG20000002WyjR601 -W000000GG2IwQKv500upV60W001801O266svq003004000srtWA_D8mR3000030Y00000880 -000004000Y2uFg6UPOWR3wKtWGPIuVx46atWK0U00400200W1s13860GswFGO00O0L30G400 -W00SAZ40100MBa1f0m0W846000004W80000CgH2jp8KOuFe100eChGoh1Ze089YVX00qqlvF -3WC1G00000020P533a000a205H1uny_H10e9u@V6G08HH800WGA65X0002f81Z5OGA0K45m6 -px750Wq_MmJ02000W4O2X0H484v942Y8WGa1Y08Y08Y0eK0e8AWDIfcpmzrAx16000208100 -000G3060810A50AY2m3004W20000O00y@l100m00000A003850AY2W40600008vJ58180000 -00050400m00K00WGA060200850AY2O31I0882a0100WW8H80004824X0W4OWf0GHK0d0000C -LWe09H088HI0000WW440000a8IG08I2Gc205H1S20008o39Hf2O00oJG0000Wa8FJ5m0v9I2 -0000add0a205H1inqh6CdXzt7iVx3@lUH_1op3uUKWa2_4000c720WIJ_V1fLNm6Z0891000 -@QwWjOhG004Ph8umn_t0q_2md@ZjjB3f0GHDmU0uJ1Oge@pDJtkdX1qZ2mFqZ5Bw31aQGseI -iWS5000G0600aOzRN_NHH@R00W7iPRpcxdX0IzeiV90_L0CGs@1iz@@S@tlt@x3_V_Y@dbVB -XacGAf9a2055mwd09044140000GG400000241000W08mK0aufsz000vm9000OS2G70CWpSSA -509Y1z@NK@l5s@Nnz@KW@@4v@BX_@Hi@F4y@@G@@Eu@V3@@@@@@@@@@@@@ZZ@@ty@@@@@xL@ -@Tv7_oS3E2NYAkF10000Om7W9u7RzV900000080OjzJW700y@@@Zf@VOy@@b@@@@@@@@@@@@ -@@@@@@@@Fo_@Xq@@7@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@07TT5@@V2000OM000@@@Vs3@@@@@@@@Vpz@ly@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@nz@Ny@@ht@Vw -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@JB8W0000000280_@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@V51Qp0000000G0m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@hx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@k -2010v@VI00C0y@lV4000EA84Y100@@l7008WU@310W8_@@@@@FF000W_@t0000eO400_@@@8 -5_@@@@@@@@@@@@@@@@@V60G00H1W200004120@@R0eZ3W@@J3000OK0eyD4300uu@@@@@@r5 -0W0m@@6000W8AWG008000u@y@@eGUu1XKW2255f5K2mB292H0p02u11aQwi8000X12FY6504 -00W03001d75m9E7000H00y@lJ00y200G5G0Wy7001lA1200G0070C008000H0002000a@708 -H001000200ye0E9f0gQAR30C00@@RWeQqaH0O8A0Ca00Co40000Gzt@@@@@@@@@@@@@@@@@@ -@@RC00oj4BRP6gV_@NQ00WCGz1000000I00u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@lu@lB@@@@@@a@@@c@@@@@@@@@@@@@@@@@Ix@Vq@@ZF@@tt@lz_@N@@@@@@@@@@@@@@@@ -@Fvz@Fk@@Y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@NE0W00X0 -0HA090000HB00m@@H1W00eQ09_@l50G00@@d00WVfa2q2020G204y@F9D1y0048Ww0I0000D -p00W@@@V62L_@V3WuE0@@Jrd4CK3W6@@V28L0WS4OhDXGIA8ji7Az@V30100y@VH06Q0_@@J -00Gii700_@FV0200@@Z4eE0Wa2kwf0a000Gw200u@Vu100Gz@VKW080_@VU5G14XoOp@@O00 -0eu@@v10a0y@VK0600_@VU00419Xbp@@L0eB0eOce8e00aJaJX7iq@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Voy@Vi@@jX_@Qg@d6x@dv_VPm@ -Fcy@XH@@Ns@t5_@@@72020W@@910WGUI1ac3@@@@l1001W@@t0001mz@90000pp00Gp_@@@l -DHAy0OH4W622y@VF000GxG00u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VCkM -_Xp000PnJ4_sEXRyM86WHU0_@NB0800f0Gn@@OW000OUl7Mup0mUB0@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@m0200u@V600W0000Y00002W1000W00@@V50G0WU12PJQ96c_100 -90@@t5100Wvjsu@@J0W10y@lV00G0AuMYK0C00W0000W8000eC400gEGeb7gu@@DoBpW@@JW -020G2QO04000008xAzTbKl1f0GnUzF0W02OqU6ojd100I_PmrrDS6a2W40G00IZx12G00@Lm -mfS6ypk1Sk20E_Vli7aeyV9000upR00eP@C1Y00000000Gup@@@dQ8CRVmGUBC0O008B0F_@ -7li8D0100000mf85KC@V6000300W0000G04000W780fHUI6@Z57q3W0810000000WtB00mIC -my@@L000I_@@1000X010000eod509@@R6044W@@V0X0040000WA30P14o@@@@@@@9I5rSkI0 -08J0800000W0000e6700M02cxSlwug4_@t040IK42008aY000GtS4bz0000000G4200000G2 -X0H48490I181000G4OYG0H442P000i68XGG4X@@@@@@S80G2y8j1fhvNM6601000000e0W0W -wCI85W70Hy0Kin3DRKn@@N1a0100WG4H80004814X0Go3A1WG400000I1m00004s100Y1GY@ -@vHE400S0XJ0000mX30000vW0E008ScJA0KW00mX8900008F220000IYu90Y8100u@V30GU0 -a203OE72I5m00447000009H220HI8Q0L29GY@@@@@@@@@@@ru@FD@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@hS_@9h@Vr_SkrFXBvDu0TF0h70000000H0Gvna01000080G3060002040 -0GEyX000GyBu40000s_V2h@RmamF0Y00e@U623m0000WS7000200SRG292amt1F008000400 -00WY2@D04000010mk0U8jWAY9W1001095a0GW0Wo0UOor7ID8100sK@@VIW0FKI063KBny26 -i@T2pM@Gav6qPk10002Qsk2GDA000G00WG00004es09oHW100G040004Y01G00000Y0000W0 -W204f@pG8390000SbX408W0G0010000nc2C00004000320K00G000K00U@t008WW020000W0 -ULX1000e2Um0000yy6e0_@F103W00G086VuXBTD0WG0mq56W000uL2300W04Hk14002Ycm00 -00W00G20200000285Z400WmCbl1004G_em000A40500000W0200100210040W00804G01100 -0K00500028050022W000W00e0000100mqFG014G02f084008e000001002mh0602G00400A0 -150802005K808880G0006e200W0G0400056OW1WGGK4W8233WG4000GZ080WGv460G200m00 -000400084026800000GW10W0240020000WW28G18000K21000I0W0G0010W002W02G0120W2 -0W280146W20G048000C00O000G0000L12021G0C60G1001Ae000GG0e00003C0000W010010 -G12C080800A03CGWG0W00W41O0008010204AW2CC00eA8080081020GG0P000G944040OolN -YEyn00m8GW_90020004Gm_nCKhV2000ea4G14AW7l9RGe9600088x1300000010f4432yd14 -0000W00W0100000W008808001Y00rqRG@o98008Or@7800401000GO0Gl@6yhl10800@@t00 -0Agf@d010G80G00r6aGA96y4l400W08004002G0040Gxp6abH28000kxW1002GzvR0000XYe -J0G00GMq6SRT200084000q_H23ay00000Xd40HOd040AW@NC00W80000eBOI8h53_oE1400G -W00WMDv14020J_RmK19m0o8uIR380000G01OmO3MKn008000002000C000G006G620200GI0 -400000W0ay@3fhO0980WNMCW1a100000a0204020Q49XXNI050G000W002000m0o2TnWe0C0 -00GGUB9aC33NeO001WWRPO8w@40000s1W3tuO0000G0008fSRGK5600400000bZ90WlmJ00W -0W040WKRO8Md4_NnWiPC000G2020WbPa8yR34W00W020000mWY1OG090604198400WG20Y00 -04000010C040020000104800C0GK06GLa4G040WPG10004102000g000W006020uYv600WmL -000020000003Ac0DW418GW04580020808200KHJR0004810W20010YKm0CGC1K290WW00G2H -08AW40000crY10001000100160000010QG084H088400e0010360GGm@@606020020GkA6G1 -000020090GO0400000WH020AK0085c4000a00000eG8W008WK1C00098045G040000G1W0W0 -kTX1W001040000O0W000040G03000G008811o0042200080G0mBNC02W0000X010010W4100 -00300A1000000e4aKW3KaHb0GO18400u@@440008008PU43Y2m0bG20SGH2f40X9W008A060 -0000000dpa0Gg0CW40KaY0g400BW@@PG4000G0WaK1OG9a40DqWGA10O1uaGmy98800e2Y40 -0W110W8G84000G00C000@@pGK0IWW28000024G0W@@V00WcSg0F002WG14008GGaHZU8VW74 -4002m0800G4GK0Cy@@33wOm@@Oixo6@@@0Wo5WxAC8b832IIYQeseVWD00C0000a09W00000 -00C00@@N1G21WO@91000Cl108W000tKP000GYtHOOZfJ_@t36000Oy5GP00GbzW1NvZHK0LS -ok1000SIyw16W00f2mmoNCaz53v3ym@@9000gbrQ30hpMBTcjMQjLhqQhL300e300m500eLM -dDOiERkqPsSfrMrIhjMTK0deQ00041KRg0Hzen3rNLnFP6iG@3DWzmdz6000Wnu00mpN9yTl -13eX100GWFmI0e00mEOF00408ID36Dx1008000X0_@d120200400MbO300103cP00040W000 -00Ys38JY41I8tB3ESp00010010W0G00qNX10000@7pW5oIu7f4Awd10800p5y0000XMpCWC0 -0Gw_ICwL2xeP001020000WzO0M@@1G0W40020AKZXdvCOJZ7AMBX80Ge9E3oYhYicCeA4OAc -B10O60bibmAQFqPt3000010405ZW10840000002W0uoA6_ep0080004A00800008200G0010 -0004G0W0G20000Ufd1jrP0800GW002W01008080G0002G00000G0W10080XQtp0840m00080 -8G0000Wcj00GxS6e0000Wm40G10WX4I0014X0200040000W000A0I0410K042W0500X44c80 -P000O080000001W0040000G160040500004Ga000002002W000200m010G19zF3080W0G004 -8I02221400200b0I080000I4K1028I8144GG08b1G088000000W830G140W00X0400108000 -m@60WG0G14G032000G000820K2400201G00K0A0001G008W00G1140e02W0WK02Y04280G0G -410800W480I0G84I2G0X0W8o0K0420100I2G004W8W0m00O00C002GW02008G500W08200G0 -808W02012001WGW80m080W00G11KW80020YHXWG06HO480G00i814u0200W0002I10G0X040 -49W01C002000Gu30800GW02eW0000240028062020000200A80008020W080G1801090470C -3gW0401C0O12a12e22Yg20H80Q8Y0G8W1mGe4KWG0135G242008WW0202CC18000W0080001 -y3e120000040a9e10404c0nWK1D0082020010000080WoamW90C00010000EH2002040Bhn0 -00S0G100W001040000048028G1W00F5PG3X60H420G0000G0014003JamMZ9040OOXF3YAB1 -0500Zz9HJ56409300m00G0000100001Gc76SPe1nCQ00WOC0040PHQ000080200PCPmtU64B -e100G2IQa100G0WG80QupWUoI8Jj4G00001008UH30080108H01W00002400W00041INaXe0 -I8NB3ETCX_pC00W0mRb98KN0u@@4W00aKAc1d3oGM09aXe1zeP0W0000IG00000840046P2V -DQGKP6aFc10110G0000400000O0m00WDvCOej70W1a10900041mGPC4503000u5A10002W8q -i4G80204000G0000W4WX4J89D3YFZX8BJ8AJ3cOqWqCD8gJ90800qD935VcGoc6CYc100020 -0Y0ik93LWc00Wqxl8JuYE3W000iSZ47XAX080WV7J0080mQc9000GW20G0W0800mGn0G1480 -241W1000004606WtFD87p44010W010G00m0Y50A0A0C08W1W00G00202W00rzd64nP20LI40 -004040G00CGC264G0W400G1G00C0X0W0100I0008WO8I0200W20108003860P42081W04X00 -01O80e8tCexJ3ALm004004G00000206P0We0W0O010WI800G000C000W004040C0G0WupC08 -28000010CmG0O0200C410W4120Im9Q60G08080Wm@@68F10AL0300200aX4WWYW0O8000G02 -04G0EQn00G088800oFr008W000W0GG0IW09G1012000Y080e6P6O0W0W0W8G00G00G2W000a -18qi48W6A0GbWK280o@@F00I4000WygQ6000A194u0d0K90K629WA10WkmaqUW0Qe91L20e1 -00@@@00810000nPgb0I0G3Q4eI0ei0UnnWGps0W4E020001801bWQGePF0W018_C300W0O42 -0001e02000Y100@@p0OSEeElC8eM30OGG0G020100WGK0Waqs000A4O02G1G000045Ed4ZWO -DeYC3_@lY4SJ0000IqjR0000IB0200Y0W@@3vGG3_@@aZqC00940000008G0F5K100010I00 -000W10088W00OjtD00KbZ000S4N30300000GgnjDw@rZ_Uh8qi42LOZe0O8VC900000yh089 -jJgBEX2ZDW300GeP6@@@@@@@@@@@@@@@@@@@@@@t0p@BuyV2G@VWq@5Gz@0M@70s@@dzV@Rs -4ZkAtqp0Wh3W62q2000n2k9SPD61n72000CI000p98tBZg0000000CJQVEr@_33sRGuyLKO_ -3Nzl1m21WP1TgtV30280y@F3A000_@tWaMD000000X0W@@J0002uk@I0000AxU3000m9900e -@Gd820W04800G1000W1000g80150020W0aW0G80C200102008450G0A0G00G00800Y00CqEe -J8Zz401W045V2W00000ual_k11Vomu@64Ek40001E0O000W080000002i0F3Dnd00003008W -024Ocuq0G484e0080mW02G0040820010P00OK002W10W02G0040G80W860000C04O0R4tWAt -D0G0000004WW005oR0O86We@DWG00mXfOaKF308000G08qdl1BdoGs3FqOl1BrdGf16qlE3N -cg2000Mf000fRmJ56RSRl1001W6T@10064f@Rmf@6000G00W00080100000XG06KD1040Op@ -R000GrCET20008X0WWwzJW000O7@60006g5Y7YytWayP8tV3ImN2n@C0ZX6r3_6m0m008000 -030WAzPeJQ6Y28XR@D00G8Gf@6yel10200o7t00008_400QDrfdlJ0010mT@6KEE3D_R0000 -mrrDOtV3_JeYl@J00G1IygH1808000050O0O8028000200C0000O010H000100002040005G -1apl18080000C0O4W0C001WG0A0104eW0W0800H00000G001WYWk@D0i_2mEiE10Oe0080mI -z602050KWW0021003120CG00e000000PiU3040W000G0000Ji36W0G8000C0001080800020 -2ssWoxD0000Kyw94DpIT4pW0Km14e400700YAM20001tfd028G3E4WI00C0shM200KgNF458 -00WzyJ0001081214004KG46MYvXe0U0401448000eW8VIB1eI1W9W@F2vIZkE100571EXHUr -L4rF3WmS0MVsfehbu@V3YkEae0m8263gfcg1hyeIXA2S7300cjPgVIBP6KJc17Qn0020200A -0brd00000G0200020Jjn00484040000K00000440010000008KnnRmZxF00010W000000202 -10W0080800c8W1W0000V8048G2@fO0010mafI85U3000020030000200200218T2O0800001 -1000400400i6V2000WUht0011GJhP0801mAxD00G0qrM602W0ey_AECm0002W020W008G008 -02400nFN6WM0000008W00et1C0000HI1FydW1WW00MI81G0043wRGt0L4LM20004g3pWwoU0 -W00000WYGpK10W9I4Fg0G0W0G000010WZ@D00G00000G081G00401W00a2G2hbPW000201G0 -DbP0G000001m2020g@t0W00WVzRG9_90W08uLh4oOmWUpI08000mw7WV@D8vVCwRpW3tCeoj -G00W00G10X8002G0480008410H040004G40LW00400400280X0W02900K809T03G00W2K000 -8W20011Wu2IOCE3kpF1000Cw200Yuf5084280020000W018OsC380W40H9040000K00G0084 -20Y808WG04010W0680242000W044C08042G014W02e004GH04eW12O0000008G00G15000GW -208440GoiB10040vTb0001000T4jnPmQWOiCe100200020CEe10K040020000G0080GcU604 -44H001000W000440280Uep0800002W0o8q01020000W6IJYrqIOFD3oU8XAxU0an0mS@janM -2B5OmER6iZd1T6amYY6q1e1HA_my79000W40080A0020000G0280000210000W0OEW9ypa1f -yPWh00WB2d11W0mQV600068z33W104K0e100C06ZZ18000010G0400G000wgoD0300K0f1z5 -Qm6a645f10Os8Esg504000W000GW0040100200006WhwC0100GJ56SLO28m0m00G0000110W -0000a08080@@R0G0WWm5J0120GeP9000WfOn4MkZ100000oR0IIK54G000003G6000008000 -0oD16CeO21wnmNBC0G000008GlYFaXJ8pQc0000Ev300@@R300WG0080I0IG2VZ10030HbP0 -G4W1070C020G0G00q1W1820WI0p02080h6a00010C0S0W04104W004000G0I0W0100B00080 -e00002c000G00000hciCK9d13m0n2G0W0G0050HG0013K0u0900200WX1460008W2G0o00GW -0W0800GyFg180GH10K0KGf1xqP08000W00200000A0080b0042000008020WLcP00G0mEDJW -001m@@60M_1eWLLW042O000G0040D8GK40G442010810X01q010000GO04e2008000015000 -Iu@@4G00Am10KQmr740004QM2TRc0DS0WGpU001000G00000aC300_@d4000940000C00e40 -00000WI8H1Au0W4Y43W000d2G28f20I9qWXEP04G2448G4G422Hbz0002YZ5P0G008W8W000 -G8I010_@@100Pp@@V2022W2cI0000eW0WG0T0322014I90a2G20000C000y@@@@@V53G00C_ -2eC000ohpW0km8qi7AhrWuQD00Wrsrjm4Oi1v3O0GT0Wy1C00A0G6k64Q6LjOXHcQa0H00W8 -00GIQIy@@@@@@@@@@@@@@@@@@@@@drw@Nr_VLl@FLy@HD@@Jr@tqz@Bb@VIxrLJQ2@@B1101 -Wtu@lD@@@@@@LG@F5r@FXz@IS@V4u@3H_@Fe@l3x@t0lE00csJylHGvR31000080G306yuT2 -h7aGTx6SWGBc100_St900089sRmY_600010a00GA0600G08s_4kzd400800400008nFElD12 -OGg@64D0993m38G0WMyj10G0GP5OGG0W00200120008G09@R000GG001G3zRmc26afkA000O -MTtW__pPAU3Qa7ZlwD8j_7A38100I0@@Bn34I00G1w@@VAmmWxyP00020014GO810040000W -00O00a00Y010GG22W2jX8n54C00018XV3M5v1mE90lvRm103q0W1@8yGK2Ly@l70100W4841 -40000040000G0400lyR00A0WCDCeF3300009000OO33UPWXTDO8853S2004fWJrUO0008WAF -C00W00G2040400G150_ytZjFm00WH7000000G000W00008KQI2VTamc4Fiy@91mm00mW0000 -0C0C000000012ei130060y@lA0SS0_@tfB7Ce1030010042000010I00WqKC0000na3Fy@V8 -00WfrB00aKGKO0000006WC04081G00004000X4000000u800800G0W084W@@R9ybJo9X7100 -1@@dmvB6C@I8@@@0WD1W@@Z204040000CGC04072W1G0G440060409040OH00AWWX001O000 -6uyaM4400y@lJK000W002G100G02W010G4CWG4840H40181014000004WO00820G0W0_@N50 -0jo@@x400W400000600K2000000G9aO1DS0G2H202H1WJ181a0009_q2eW3W@@T2011mTB64 -na1220W0K2C8G0085060000O4008I1L_@FgcZaeDXM_@N200P11fGLQALaKWY@@d00GG0000 -00m0000Zl0K30W2C0G3Kv48LE@@ZXQjMR0OTsqgpifLhjogMRLr0004100Y000Qrwi1crPZh -Ep6NjsAjQjLL300@@V20WCe@@@@@@@@@@@@@@@@@@@@@@@@@@s@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@lQIvA008xz@lYhPZ1Os7W@@@@@@@FWy@2C@V0q@3Gz@@N@l@s@t@z@yZ@@_v@hl_ -@0vP8Q@J000mx100u@V60000b00195C6000YV@F1yF3m@@F0200eFWJwG@@lyyxq@P0DO0CO -lM1_N4000OW000Dx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@510OJUli@@@l8000eI2 -0G_@@@@@@@@@@@@@@cx@Vv@@@@@@@@@@@@@@@@@@@@lDwh0100chy60081AcEXUfJG000000 -02D100LKN10G00H000@@l100WWugVeftA4009y@l10884010100G00004G_N60W00eX03A9W -110GG@@R040011008080000qF45G220W0o5WXi0CeJW48001KAG200X00010K6W1200WQ78X -Vib000400O0WK3U8SO6o7U300G0F8O0us2WO5I8hO60G000120u@@4W0080040ezW480204X -03T8mm@@L0000100W1WW04800W020000G0cZS5G000002003G008000080040000404000GP -2008IP9000W002W0G00myuOali1XHO08000W000ZJO0100080G0f8KHk460010uqS3000A00 -10OS234000iuW10O00000108W0G0000080000i0000000CW30W0u0T600010W0010002008K -0440000bs7t084000800Uj8X79C0809000G010G020G0YjE14W01G0000034W0000200Gs36 -0G00004G028804m018002000C000G010m000W1i4008W04m0210W20088400G000K0600068 -005800001000GRX880K1080440H1100OG116002C08W020W044G0801400004W028814G0FK -OW02f0100020X04101cqk120001G011000G40010G02H0G060120000e042eZR340000000I -004042011G02O01400200050004400O800mW64014Wm0AO020W20m81400C008OW50003C0W -24010W0000Q7509WW108W04031100CC02400AG038X00W214O01O0100064G02IX08GX0000 -CG018W4A8000G02CWG0W000080G0040181W1K0000010W40G084SJn3@VmGYu6i2l100W125 -7ZQwJ00030000000aI0400_kF1000GLUOGKt600G0u3W4MoN201000440_@t0G080htRmzz6 -0a00SqS340008080G000000241020o000o2910000020302084XY1zWO00W02C0080020@@d -1W84000000W00sTY18000O0O8010W00G0SK@I08008AW40020Y000018000a0000002020YA -nWV_D01000100000400002MxtWEBOuaT6MGGYOJCem530W0000024j00GZxL000I8O03_@l2 -000G4040m000040002080G0WeSKCu853AL8XZuJ00M000010000WC1C0MNnWCrJOn560G26K -oY10m4m0000atX1004zQenWjvDepz400H000G00440Gg0604020C00msx600W0ezT36J9100 -11xrO0001010205ty000G000080001Ib9XHJa0G0004I0oEAI0220mC5C0KK1Ouc7000GKDY -10400Ypn008007oOGMBI00004000X020WoMUG001Gu9600W60C5W00W28020Y0A0e0o8m000 -000m0W0040000W0W1GIXm050GW6061W005088KIW040a0P4m0000O8G0mWGKdX1000240G00 -100000m004010AI1080X01e1081W20Z0p8E6ygZ14O0W_@t0C0W880864008H880mWW40f0X -80100008G0GW020000W08000GW7DI084004g00XG40ag0001000AW09E764040a_H200e210 -0414f8CA0300W000W000mR4000002Y00002kvm0090800000OW001Y10MC028000G142W00W -0500qfg1A0G0Ewn0G02100W8000010fG00H0Hg0604W0O@@7041G20fAGKO14I85000000WK -00m0000W8fS49W80I9GeY2OaGQK060006200W4I000G_B0P9b000W0000W00GG0WK18hi28L -06000aSmn3W200110I9GX420DIQK0Fy@F300280804142HG008ujF9WG000022GK0F02e00H -WGeGG0We0O00G88000Gz000DFn000WGGW0001M00002cAm6H1O0001GCG21l9bGg06y@F959 -LHzJLG00000mww@@Ry1b10000pU5Z4fOePfDE92ZMgs0002OIL90au1u@@D_yr00040Xsmmv -IFy@V2200W@@N2003000i2GVC000m0eL26Y2G2000SH1yGQN60000b400m81CaAG5t3BHg0R -OrQhbMrMBTsCNwivQCOprKB00G700WB00WLhjMgLRjqQpSfrch1WjMJhhMRK0aOjM100G4Gg -0HLx09hO9HqOIaHM20VH2YqgYenOuSi4kJp0G004Z69HePCySb19QPGpNC0020O1D38004KE -a1BezGWD9KnZ1NjP0000kBYCOYj4010000040010O6Q9S3130024M8pZAtCOuD3MPZXgWOO1 -63A6JY4dOelD600y144G5f7Om0KICnb1bElHtS60040Or96_xoW8uIuJi76cY12000VYPGvN -6quc10aL0ATYXPkJ0G10mDUCCad1hJPGGG6y@V5PYPm6F6iPL209010000W00600K00500WJ -vCW0000028210G0bXKn9S60020012000002EAG00O00W002Kxb14400f32A0G04024W02001 -02X00G040W600000W28000800081GpONHSV600028r53gbZ1000G002Y00040W00004WmRTC -8004G02G0020e4ZC00GW000W8010100820000000e0040000yjy_C004W042003000200000 -4G000210X024010000809000GGuO0001280012800KG0G0X0W0010W02e0F34G048002G000 -018241WG00WW008000018000WnAN6000100C2002GG2m002W10ULm00003080240320140W0 -G8GbV604200082uKU6000000eG04000GYA020040W208064000C008G00m20O2A08W62G394 -0600810G2C00ZaWc1007CW006W02G8Y8X028010G008W00W000000801GW00G050000W042O -000Oom76ao000W2@@@mX16al862W000010G0000000Xa500080000010004G0000W008820W -d6PuE_72JyX_4P0080u@@6020IOkC68020W020G00000G200000n3nW4000004000G400460 -C0GeS230O00C_e1ThR00WceZ8Je2H30020ctc1BzaGZZ64be100G0a0400000000X0010000 -0G00400014yee17cPG7aCqve14002G08084W08qiA2aa104084440wABXp0DG833mgS9SNf1 -40400000W00G0800okSFSTb1000004200010vJI3008004G0OGk7wfo00000002306000080 -0004200WWGpOuFJ9Mrq0a000XcPGyP60000ai00GhB9y@V2001W000ZaaM2W040syuXjED00 -0a06000X000PgP0000cyK89Hl4gtqW1BOeu56_@F1003c57nGud6m000OCJ3W040yvt6VzPm -ddI000W0080GC39W0W0W00CWW18000W0a2eHo7p00W4W00000W0C00060W000W4000I0G080 -00mm08211o0C3WHW1000G1010408000iq18JI3_@tWy0DG1000a00040qW22eH2DpWRJD042 -C0W0W002000e000300GW000HI18L000AYCG6560080045W10000G0800101000W00W80G8I0 -002101053eWH8qC34440qCf1Z3c0400010X080090040880060W08000A0144h4QWV100000 -0G040K04000W0000m0WB000GWWW0dW10G4200100034A04W5DDuv66m0m0W0020200WX1284 -000000W0a0GaGw38200021G1Y4aI0m1508XqGps000G00010I5WSo20IYFx100Fa@@p0H000 -G10G010f4IKGbAW1000G00035Qc4000010e0Gg0WIM8IIIQC4Qs3000G040000G8N0A0GePI -y_A30188100WWQ008_i400047Vc10jE0_@@14H0000481I80aac10e8000H0aac4WW08100W -0o400226mTj6iUh1Xcvn5k9qgw60W0000002200ukM3000WJP00OV7CYopWJFaOf79soqWFa -suhn7m0W4Ka660G090200qrh10800H00000ugQYsAgjr0C040090880404wA6G880W400006 -08L53EsKYynQ9_i7Y5910810P0pGePm860W8JD3_@FXGpkz@@@@@@@@@@@@@@@@@@@@@F3c@ -lmv@AW_V2f@ZWw@7i_l1i@NGx@4uc1K00GRuRiOS2rYp0ON3X52tOrV3_@hYEvJ8AW4obt00 -0G0JR@GVqCifU2D1OGW09Syl1npQ0W0CWhyJ08004000OC1001pNnZi6iYl1T0OmqtI00002 -080Gz@945G295yGRy9iql1dpRGB0CC7l700aJUV7ZOwhOZS9_acXxyDeRoDwatWZzPO31304 -0001G0eCM3024W00G00002qw@602000a51mSzO02G04800000100110ppZ180100018tARmt -z6SUl1KG0000000K00e3030WGGSSl100WW80200100000081W0000GW00500000201e04040 -0GDWo@JW08COY360000YK14W0200000020W01G0084488@V30G80ael100005G00yOf10GX0 -0040040000044W0002800JhQGVl900000201a000800G026Y00H40004246G44000440G500 -XG00G480W0K100W0202H40HG11O4KG4W42m0540X0m0880012Y0O05KKX820G40G5Gu21400 -000k_WG089G0O21G0X000904020G04K0008W020044G0668W00G00400080144004XWWG000 -0020G140000004G000WW4000H0000G00810000001W0220010001a2G40410004G2H0G2G01 -W10WH0W0420SGG0G0G1000280HKWW8847GC0928G18m0g0K2m0W50X04K0035828G1G03O8K -0nA3804000Orm060W00eG00282W111G060034m000W02GG8GW000090W004W08C006000GW0 -A406m052Y0G000208M8100G004G000Y4204030000800AaWzJG42000000030G00000003Dl -E3480044000000ylT302C0000W0G010000aPIC00004O000002494d0j000JW20W000Q5tWm -rJehS9skWXAeV8wV96nF10W0W2G002otWL9Cen@4kzt00010000100060i0400000008em@V -00Gsn5@F08404XC00GY000G00LrB100401010d@R0090100GG00G2_vd1W00002003dFXKgD -0200000Wes@DuMP3006600W0e0S3UgtWG_D00100820W3@VGy3100000080GJQdGqr600G6O -oV6Yjt000X0@@dW04044000TxRGSpI4w@30080cnt00P0O00004080y@V500W4szs040Y9XO -R0000C000eG500s_EXV@P000W20G880O3OP1aGinFq_l1fkRWW0maajD0000Jj@C4YU22010 -YxLY9kb000A0080WeGC00W006000400000YbIWvXtiPOFd4QrFX@yD8hy400G00G080042Gn -_6Cnh10008G02Wyxl1K002G0G100001200Y00W000m0W1000W8W0WGA00A00070XIrD0G000 -0G0G1e00aOO08I00e0018wQ3wyt00G403TR0020G000G8008G0G111000K0C011WG41oCW10 -0sHm000000081Y2m000004W0WWm0088WWW84200920Z230W001030W4uk14000m04000G000 -04080G10881WG0204250G000GA0Got604000040ohz6O004Y0W0200800080e24eC2GG000W -2G001081A000ms300gCmWCtD0004080G000Y2W0WW0IG1200000034000001500000102WSf -X160004044000W0700WW0H91240000W04004Ra13pa00000W100000f04SG14G88@86020G0 -00GiAV60080Gg0WAlQ6C00002I000G9yDpI000aI0H15W8XqyiJ8mQ9wkt0I5GMW29IY2030 -00H0008G4K000GWW0YW0104Wu@J0088mN@F04YW20002e39aKgJ0000S8s60Sg08Kv7G0K00 -0G052XWGWrU04Y842002G18aehRPTOO0400yyY1zPxHCIXizI558Z44000002wp3iKi@I4TT -2000uM3NYVyP84xD0TH0adz6f_lHosCK2F3HNBH0s94TTE2RrQpps90010dzRmZv9a0F3000 -800204Q66NvamIxC000j9pT9W020C5K5XidGnvCq_569mRGHz6ikk17bR0200WxSOutS6cyt -00WG0Po@0mW100G000024sCZXzuDOEj700200008OUU3sdFXGqP8B79AX@XN5gOTZG000Ww1 -00eN_700X4SVF60090YpHYoog8JU60002C_l1W0000G001010ufj4UYdXa_DOBW4UYp00080 -00Oh1008qJ@30080tCwXhsO0200mdy9KtW1@vdmqz6yfl108000000_ll1vJn0041000000W -0GdYB100W000220000221W00018080810HW02W00020qHd1057LMwt00G0001000W0e0000W -20000400204G0A0000G088G00H00qRU600020G04000G0Y800x_R004G1280G2G0W8020000 -a00G4W00018WO00000WL0008000GW0W0201000G2001oJp0080H02XYK0000040000KqxC68 -02201040Y00208008000000I00201H0L4000AM3G040001810I002002GKt@60W08WW28000 -000Im0pDP0060110GW0HG00001WW00Oc234G00GW0800000120Xz@CG0040G4W028W08GW00 -002H200W010880W0200000810024W0202000W0GG00Fm0B@R00u00400X6002W11A0212000 -HW0G0XrBCG0G480O0000Ht2G0400G4800OuGD304000060W01Ca0O0400IGGW0G0G001060W -2380042G10000W44G000G1H4W0080140024W04GW18232001600280040mj@I0002GRY9W00 -0u9H36vp00281TEnmOU900OW000040W020102090000G02G000yp9KQX604000H000058Wk2 -VG0G00W00001080004000W000G8IG6E7qW32J0008n7X9Cee100040O080W0W0008GgY6008 -0W000GiZ6G0000020GpZ68082000G000QmaKI0000KlK6u200u8I600080G00e4H300G40G8 -0OGo7QLC18080G00G0008I001OqH3wHaXx5D0400G_O60000G480GGBCKNu30002wQq00001 -08G0kUZ1045_vUzW05040800200GMIa102W0@@dW100WCrC0800m60600G29GI3Qlq0020G5 -AA14020W004020JYApWq9D0G0CG6QF05W000X00002ae0O0eU3GRZ6Ct834000800W050GW0 -0OI_P9a8P50G80008080008V06cnq010205PQGAa900208mo4UnqWcFJ0100HHC9iZv3000u -8B0Giqs3XXOmm3LW030u3I3o78XB9COvI6008000X2000C0800WD6C02500a400W810mG8WC -2C00G08uS13AGp0008000410080004O000X480H2080G0Q4O004000G10GG0000OK0000040 -66tq0W80080000K10W8a0WIK000060Z03004010A0810048A03m0W0KeY100000038120A40 -3HSK06iGf10000tb811000O0O000040B09GG09a0102000G028010C010000WY4moN645G2O -GG0mGG09u110400G_O608000Ok30G0004008BbQ0400GC0C00004H1W009WgI101OKPC4zY1 -3cQ00O0O0C000c2082G01m0024000W0YWGpaOjL38LCH9BW8vzK3GA00OG000Ga0GehF0000 -10I5Yio2eaoU8qC3_8a1002404008L9P9Aa89qi4C1004Qs3000001W000fIXMO16IC5WGps -0841800040Y00GGmYo7R3002a820002e00044Cfi700CGEdA3820002G400001e00I_PICiA -3000W01000401W008umh94Q69NMOmWKRKp83G00100000GT08_iG_9KY@@h0G01u@@RC_Q2z -jaGK0U00a0uIN3000GygI50042srr0000C0G00W200y@V5zoPGxi9KtK2n1pGKPR4Vs6r3p0 -00Gnt9V8qiDIIR30110XcXH_O@eL00041iQg0Hz@@@@@@@@@@@@@@@@@@@@@d@o@ttyVzF@F -Vq@nFz@xL@t_r@hdzVwRr10u4FpzDs0zXst@PNq7shtWOtbelT90EV0CYNQ3e_mgu6iUD6HN -pW800Wxw5AM@ec@d100pZn2s5408WO2if_V60IG0iVu@VJGIa2X00mRj9ZqsgC70pE0JN_Vq -d@@Sw@Dl_@oj@dyx@77@Vnp@t4c7rZPmnx9K2c4NjlXI00W_i7hTT30W000002eQB3sSNY@q -C8qC900OMsTc49tRmvQdC7F3x8G2220Wr@D8MSL0o70iGEFfDS208010001P_d0800WczbuX -k4wBu1000KO300wExX85Qvz_7weZXYyDOy@40021yzF6pvv100Q_@@neF0C_cB410G008W84 -G028W008@V3c08XE6Q98k40t90KKm60028Qzt00204nq7o_@60004W020014H024W24G00U_ -pWe@CeIlDYXJ20W04V_d0v10Wf9s8xE3AkuX03jfzmAkF840000002JhbOZH_CObF3AmRZ83 -ge6n4O0O0qce1020Wk9qW@@X1qJ1GPYK10W0W000GgZ6Kxe108200W00_@V2a000_@@400GO -@@hLl8C0H10uSG3O2O000020800GGAg00exx@VgG010ikf1rRc0G04001H0400GgXmZ@@h00 -22mxDH1005G1000500000m00044080000IG24Y41GKiA0C3CBpO0K00W@@F10009b10W@@f2 -8000010001000G0G0W2000A000a00W0080000W2e001000A00u@@J00aGMKmL@@d0814008L -8aABG12f0000000a2006000009@@h2Wy6W@@134800G04100WHlmQW000042009JqYE00WAD -dy@V600qhy@@O000WRsbXE0Ou@VI0zw0KZIN006000O5W_O000W1G1Ej4x13O000_@t9hMRj -qgsQfpcvIdDNZ1RkcQ100w000S100iQjrIjQhbMRcBjsSD0irQndg20WCa@@@@@@@@@@@@@@ -@@@@@@@@@@@VPz@Jc@@Zz@lT_@Nt@@@@@@@@@@@@@@@@@@@@@@@@@@@Vxo@p_y@hJ@lwr@dk -z@eV@@vu@RU_@bh@Fvx@FE@@Yt@Vu_@3_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +0m0020050ro600000W4088204210YW0a0080mQ0R000WMm00Gh0pCH0600W0IA81000GX5OG +Cc64DGE002VIAmf65O0002m0251Q00Of0jsLeYC8a0020Ga2Uu700exXbUTuar2y8ZX700Oj +FfmOFQy00W0WE0SuP3F00y64nn3000Ggv0ZQ0qO@1dg1v10uc4LNeI2685_H2zVyGy6O0e70 +eDZn6OW7000e42006JGhyLA200EzF3paK1L5bi18b3WI8ge929kqWXPDU8L2Fote280009lS +20006m100RZaMQ860003uZaAAp1300xXHAiHG2a45m9nUymjC6qlm90rM0MM9axTCOUbMoe1 +ctXs0000aT90WHR89a2FwDgbCc2ftf700SwEc19n09HJGICd1C@cqOT1k_OXD00q1I58g7fQ +v1BI01w0ikrODMXnzBa000WmO00GfPBjOK5000942Y8anc40002X400000WG400GLRR008U8 +Tjb004HqEW13VyV0RTrqJ200m00000A003e80Cs2JYYVaez73I5WXe1s8AWAc6h212000X8H +G0008G2411W4e09044100b1c004X0W48032M10n9mi0U0000002a0WG48f2aW090We1s8A09 +gAK2vG00mHa40000881100009Y440Ya0a82WW02d0000007000000022W8I000QEi2C39H08 +806Gy1GI402Ia4I5814n100XpSY6eYe0aO4d@31w@Fa_l3g@@xhn2IP8iL6ceKYENt0yN3Gn +N@7VB6ZUMnagO00Gs8xXqctD7Gp00Tkz@cT@dvt@N6_VbZ@FPv@HU_@Zf@tuw@@kAAOo6WgT +@FczY008PDMy@TZZaA00WAgzObwMQa_@vf@Kr00m9@Uazm@9e@@1y@NW@@@@@@Hw@N4@@3v@ +@@@@Vz_@Lt@@q@@@@@@@@@@@@@lY@@Hn@@J_@@@@@@@@@@@@@@@@@@@@@@xLgSB00uR_@@@@ +@t0100m@@d000Wsj00m@@@@@@@nx@@N_@@@@@9_@@@@@tw@@@@@@@@@@@@@@@@@@@@NLNH00 +W0_@l21400@@BHM090000r_00m@@51002eDFCwqBXEug0008mISLK7N200sz_@790800H9Kn +@@a300WOZlJMxp00G00zzzGcVgy@@@PFiA040WOCCO203U1uX@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@xjuiY00mq@@@@9OL4000Qq100@@@@E_@dQ@@d_@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@ZDmO1W5ot8f0I@@@@KpXVb_70020Kcl1dLaMywailj4lSdGww@lrVNds75008 +ST100Fv@@@@McKJK000Wi200yalz0005_@V9G040@@RF000a@@N20Gzq@@K1G00u@@b0r70S +uIK0400YSP9000KE000_@7U00m00008AfmfSc2v@@@@@@400@o@@Z40W0W@@l2WZ3mCSR010 +8u55y_@tL006Qfkl1020WStL10W0mt3N1aW0OHh7Ub8101007Jm0000eM0C8203c08XI0C8O +z420AA000uU000YkPcjRa807d_@d100tTZJ13W0080008Z9y0080W1bq2ev1GA_F42K20200 +o1YX77aOzX7oUuA000S2100_jX44000rwbGB@l1W8neCJCkpaXkbCufbAEsSiLl8v3A3MnGh +CS2v4HCkqYXMjsukWn00KKqxu6bfc000W800020004@WCa@@f2uJ1m@@L0004w@@40We0C0s +6hj16000IH000ppLHPC9qGd10G90U0uWb2aBfWAobKYg9JuhK9IeCgqUbezf4Q4oW6eC83A3 +00WX4Hd1u00000W00nG08DgAA@0C006Wpek1100A000GtpO000140000000HY2eY@@x2qB0G +VeFW000G20000009Y80006000Y00W0R8488z2Aa0S0GA1l6A1a4HWVhu@@V300qiSIs300a1 +_@t0000J00091C1_1a100u7000E000uD0000m@@N2C10000O2_@@V0300@@V2m00W@@99Sue +0080zly300W0_2F100G008044020y9k4ZYZHY99y@@IWQV0YNNKx700Xmz0100WEuIW008W0 +48WsuaeBXe_@dj0if2Gx1m@@E5IXzNw@@@@@tI@@hy@@mz@7y@@dE@VahLO500u@@JMySiqj +@@ofk000WGS00ery@Vah8m860xm@VE_@@@@@rL_Vm@x10022M00m@@v4sxOzmYAuT6Wv_vPv +S923dAE0003_dJqvc10mTvlVUIboCG040@oFMCoX30m3ynVU00K0KdnOWFC0kRToLoVmD00m +a@v002a0m00GVq@@@l1WuC0YyF700m000093Dd@IzJ00WIVgxZ5dT2L_Rm5HF0W028fVF0DR +0iu@CPSlnnXUCbK54W00M1o3R100p@DvyJC00u6AAQRgBVf7q31Cc3G6ti5bqC000m0D00Kn +bJvm7500jxpEGw@VIgKfYsG31uh1mWzNH400ujaY000W62KN@@R3000nh3O00J0Ou0fzyLE0 +L30AzNB00G6fxxnVjU000410020000I_1005sJ5008YUHD8203sulbCBI00100W41000FwpI +0sJWRCaQ88200W00008n1u@Vj0WI04sME0080U0m0000q4400_@Nhh5t8JvG_5q0001000U7 +@@lB20040W20A4460030XIo00D7WLZxwsuD2xrZpgD0G008000GS300@@h500W200000a00_ +@N20GW00400YtS30004000G0e8000Obu@@kG150y@@C0G4L1000W8@3u@V30140y@@L0GH00 +00Wn0008iDCQtqWmsg00K100G08500m80000000ZF00u@Vg000AGL0000Ku@6Mv00Y8wGZ7_ +@lz3vZ2000bT00Wjt@@b@Y0r_0a6nd000On300y@VWbHw1006zxW0BAGX0Lt0Sv1X15l1000 +49000Dx@@@@@@@@@@@@@@@@@q@@Rh@Vsy@Vj@@@@Ju1_R0000HS00G0zV20u8vWkqIAWq@@5 +DmuS000Gl696XXJrfiRy@@600iyZVtiEpnuKM3Y_t3m_30hhzVAdgBMx1uMc97b@1i100D0_ +@Kfd300W1x00Gp_r1WG00010GOuRa@V20062Q7row@P0841GEgPs7U2@j7oqG120Oq8ePyGe +00EmV2Jnx1862WbK@Fm@D0m8046_6p@p000HhiP@@YSr10OuKJE6vaRmFS4cK06G0W0w@_gi +b@FUzV_Y3cw@P0WT2mPyV2000cv00mS@EDSUK00eT33@@lq@lB_@to@@@@@@@@@@@@@@@@@@ +@@3@KK00VvPF7I@pvy@FIWL70k1N21000G00040000100wvv7c4tWFnP3000g_10WsdV0008 +0008W60C89QC2ZxgZuh00mBMEsU0G000G02m@@gCND9tYz000W00040Pjk1ek7WkyJRhFR00 +0GIJ00uYtqs_NYZs810WYp@@Ebz0CNjR0002Wlst0OL1mgv@@@@dn6VIn@a0eZ0Om_eEVs90 +00Kc500QstfqIZ200Dpzoxz@@9Ww00_@@@OF_F5L@28L2d300@@@@6vM2W02yLePc_t3O300 +@@x4@32Um300rzl40WQpSupFQc@@uol@@n0K73GyHljCDC0000X900KpqOXXp300ihCkZAK_ +AMcFXUpd1053GHzp7nrg@@dpcZF0000Gaf1GGA@NnVH00EMYXxgyZoPfL90ow0yjnd000Gi2 +00y@FaK200_@d100or@@3vtdI0aR18yH5lcr000G3Hk_Wj00WOu_@@@M000KG0010e40q@@F +00m086k@@@767000m00000u0y@F3002YZXx@GlpvUx440000000EI1R08006GgAjIRGDsO00 +02ODRF00K_yPh7lsAKuu6Cny60G02Uhr3W650nmDsAKISghAf2XHxt9q@D6020WMcD402009 +bRGuyC0004012002000W0009XR0G00eAphu0x400uOUdELp7RmP_60a00uVU3000100G0f5P +3oqt00002jwd0000XEqn0qh0m@@E1W80eLT60000I0008zT30W0002010120G3zCqAZ4h@@0 +000Sj200h2V5010WntD8Pu70810W0200800GNz60000gjU38080iPV500OFhZhE0G0WbrdmJ +oX0wQ0OrSy0G0000Y0urRIGD00y@vU0004VMC400WGRQAtNAmaK0RByd00G404410DAO0410 +Wtkz00002r00WTNh3008W010WBd9100VsfQ1_@V80UL06TKE20003_e2000O@100rhdsKg9S +rj1PyV20WndRCh3020G6@g04W1Om_@BHMttuDG000Giud0030u@@q0004XU00008Y8000000 +0c0G4v1000mN00u@VF000GhA00eXRjkasZlkzef@400SjNLTKLXxnSy64AU5BEZ1Wt7WZBhu +zI3g1GZNCtepySAo_XGiJ0qS2mCmTr4i4n1R0004W@FF10006b00Wt_b00001000aQ0muiSF +00800G00iAi4000K00000WG08000G080W@@R0W440W000020001W0CSM202002Pp0400GD8p +000CwWJHgkc4028G0402H4140G20000083iR0058GW08eW1000C0e00G2X4W04801W@@D08G +08008G0W280000004W0G0008008014W6yJ00l3GexLSSQE000e0000000bX04GGBm6WG0000 +00a0400001X040W001002Xm9BQ300002000490100020G0C09COW040mBfP0000e910W15T2 +e008OW0240000021kCE1G08W02GO04G0K5f1WG08030G08008Am4G0044lj10040000014G0 +0000qwr6008QPP_YEgl200G0RrRW02W0000azBd00400008000G8QZF108W0000aMQM20W80 +00000im04A3LLxRmdY601100800210GaWrC00400G82004470010040a8H10064E20G40100 +02100gaF104009pR0008U9300JSV5G0000210jSR0G400008H@xd0000900G000W10G0001G +0IW0090YWWeVn00mrpeLT108000G000100212000080101G40W002000G0000058202044G2 +W000480G556CEU50ZP0YuZax_RvH230W0000Y105000050028bC00AY82J0004410G00G200 +300084021WIS82W2m440005b0804GhXpG3_603000000XC00n@@Z20a0000G00200004G001 +a00X0201820G400680450040050G00M4r00L3OG004HO42GWSni01Wam00CK0xC0G02u@V30 +0GgciVK00I000G014000200Gtx6K5l1KG1Y00008AW000W0000G90400Xwd0500040G20G10 +6@l2mY40D1Om@@BH4000144000ee@@P0H01004100002TWRmAu6iSF30Wm0wY7ZnR5gmUC0G +0W4cX1@@R0820001WW0110H000W0060m00m4y90200fpQC00W000WHex53gsV90G1000G400 +20040W1100000OWErD0300810G08X010042W01000W0eOT3009my4d1dpomAwC0gDHOkoGAz +l50070010s9YG0alE38e40000D000010K20X002aG88OV506paXGuD8Sz72f81fHW40600kl +Xdc6n0m000W1021uEu00WG5GtG3W9_Yk000Jy5W@@D00d5WTN0000CG00Ot1_p_XA0yWd1yG +u@L00WHEMje000C100O2m0W0W92302000C000G00005100w080u2KZu7mA00mT0008000060 +00C040A2O0K1m000W1S8760uv1000Ik5THdQZ1000Hg0m@_3000WVFe100OIV3000R000050 +034T@@LG4ntyr40uk30uR008G96000_XA0000005d10WzefgWR36OFdv@P00GzTePNLTD3fc +BnVyCKUU8WcU06aZa9_t30007K00W1vsu@@P0440KPk1jgPmftLab_3fyx100VehwZ2002mo +M609000848000K000029gP00G000880000110002H0A002ee0000880240GCkZN20008RjR0 +OM6WmmZY0m04GW00W0G00244000W00O0wAS3WI0804G050004W004XWH40060kHt00030G00 +800210W0W000000G2emxP0000DoK802000FtRGN@IKguCY0W004000A0100A1000I0000W04 +004W002001W02080120000WW0211C00O2004212002000300GC00Qfj20000002A5001aUc1 +Dp3Ji@O008W04G000W0000144002010412000W00104400400WWG0080400040N00408GG00 +000W04G0500808W00G0G000000X0400Ivd1ml31H@wHF_m4lk10020400080001004Ov_900 +0W04G00008YSuJ8l0300Y02H410Y00GtMCyWV2000e9700KOlJ822082000002K00400G40e +100418000WG0700W800Hiz9002G0011ov@C0084H400mxT9KYT2084ipXFgBcCOVp404W2aw +V22000W04GGW026404mEy904010041028IWTFbOdV308S0COVK0W8Y0m4002W08xH3ostW6k +C0080mXvF80188wU3UkFXEnV0000PgvH10200W820a1Wat4CW0200140019400G40Ga001a0 +0W80108000401400GH00Y0W40W04G8284G5G0I55zdmGtC008eAPVd000p030A2008002C20 +G5Ya1Ge43W11P00400AC000001810040CW0gG4000240W02400WGWG40440Y8000304WW1C0 +0ZucDOUV3sKq0W920@@J50K20G2G8200G0W1G22WK2002091G9AG00G408f000000Y00A000 +180X0aI@jc000WW3sP8G_4000GeQ00u@Vd000AG4000100000040400000W07104RZ102030 +W20005000W20000000288W05EwsWXuJe_s4kFF100SRhmH5G0E00030WW08oVt0200K8W080 +8WWWe000P880Y0u02100402000C0W005uSV30010DqE60dI0_@t90028400001800W240G01 +00A0O08C400081b0E001Y000500080W00200G00W000W41W2000HG0000mGZ6Om@@60G40OM +t4000WRM00u@VdGh4W30I98@T3800010401IhGe90608zY00GW00GC0002300H0W6e40Y40x +CH80_B_10100L7R0W00200008500000a@@FL00gv0mkm2Cr1Ww960000WOuC000eBLL0004b +dM40C500pdVT2U2000Wv5jRx6WS00_@t90S600mR0006W30C0K0k1e000O51006100ePa2mF +G5WcWV0T0T0H2w0YKW30f0U300u2u0W1WB0YzuCOLy400J08000EuU3000Wv700u@@e0G0T3 +Q0qd@gdez1W800G02ON0000080LL50ggA0yNLKLLGmCpWeQu1HLL300C1EHadUzJCH8F07uE +000000001mk@1000Wz@v@d000ft0x55SA0GgsHrs@@@@d9mK4W2kGgW560020010W8sM3080 +8Sgk1H0W0000XZrD000WGesCCMl1DeB10p3W@@T203801200200GG010e0A00400W0240K00 +00eW02100W0000W00020W0WG000000400G6as00W0G0144oXtWaiIeeP3000mJ6000010mkz +9S0uF000000202000020o000K0G014001C0m0000020308Ge26G000eJJ300088001000404 +000408W0G10800000GKeS@4Itt000xJ00008008Sf8I00060G0CCMc1riQ00001081000004 +0404dl10W04_7mWBhUujVC0DH0SCo@5hy@mC@7ip@@2zVlI@lBr@vQz@jO@Nhs@pozViU@@A +u@jA_@e@_zel1Rkd000e000000K00Ywdav@P00Gistw8Lz@3000up@t00F00@@R00C0WgkPe +Rx40C004204n@R000uJ4J00000KzB0mh2c0OXKB1mN0Z10000G0TI1TTqF3ZbdG5Ym0u90e7 +LIgESZ3DzumPCYRK5000W_000s7cXVzbeh2Ug50ZEFP8n@G00yWMoX7Zydms0U4fWD@_V2OT +1WxRZAWU3010002000000uf@6GK8000W00001mw@D00G080G04000420000004W0W4W0000e +04WPzD010000084G008000W0000I30008010000H0008lxRGozCydmC410H080g0a0400WG0 +HH080f18m0800aA000GWmGY01Ha04884K21a900YW04W01C102G484a02WG14O000002G0a0 +0280X20028W848C4000200000mLF10M8800W0420080024000000nfmF0G00y6q7UWuXAFU0 +0X0402402W80W0HGH000IH0B0W00W2X0000WoY0e00CW2W00W00A10YW0203004808005820 +Wa21400000O02K000004A1008WG0o2Am3x60iB10W0B400001300PYR0002aboV00W0oxwj0 +2000102mc@60G0010W000W00a08W010000C100024C40800420W00046018010004a0008O0 +000300000G028000G000W0my0600004_80a0000W020004GUjFXWxJ8c3CMctWrEmukM3800 +003G2wm@400G1004G001C0000006100HO000400628100GWH00488100s0101082H0m01060 +000160G022201W1000GV70mC0000C00G00080002000A0uaV3Idb7Y000088000081020G08 +40000G800a400080YY0008izV30041A100uN230W0009400000424H4W00W0000O200m021W +040000001MB0600002008Y00O3NXwztWL@DeEV30O00G204urV300400000200W002000G00 +L@R04CpCW01a00000G202840BOR3WO0000002008080080004K100sEt0W10W00080G40000 +GAUVa0010W2000a0W8C010000840I0000012m0K00GeG401G0008W20000X0008020080002 +88000080040W86000C0018020000000Wf000GSVi1001000400Y00uDP3slncBeD0p00000G +400040KO1004m80065G412082014008X60DC05GAGGXoKe4c0W440i84H011a20eOYaI4H55 +XZ82150W4a5UGI0W8u88e7U30HQ004G00842048104000u00Ye0000008wqySoGF1OW000G9 +4W0Y8ea004H0G00aW40G2n8X4040B00882G042000400WOeO0H45L802Y20008G208014Ha4 +0c4008080W190907AU0OO000Ww6W1f804040W1Y00C0004008e00WXpac7000W000We41000 +Y4WI0000I81400a00044W2An400022e000000W81K0000000H9500000e80WWYkD010000Y0 +W@@DWIY000G01004000k6p2mWI0C86030W20000000A0q@_s01D2104G0WK000021BpRmJ66 +002020080G0G40030CG10was000000W0Gp9t0000WHIdmj86ee0241000047001000W02000 +08W080000W020mnBI8WVR0K0C0800us23000G0000c0a0000HS1002n5Omav9OW009NxA400 +00011uGV3000WfL00G0001W80050000400W0200000WW008010WxmjfIT3G0GG001I4900m5 +v6W89I090044000a090000YAyt000090400000K0201O_dA0Y00000000W9A0010G1W00008 +0W88000G4800GivCG400u@@M000qJ2m10xc8400H0002G0W60000aY0Aj1mG0eE00W1NC030 +0000e1400000WN_@F1s000O300O300W500u@V300500000a900003X2a000G_510000WGG00 +0KG2Y10GD019010Ib0G0i2J2@@R3086000WNo800O20@ul0008czWd1wCy3Ks203k4W@nBWN +V70@@88OML_QY_0lg0W8t10gg2WgC70o97SVzDiYTMwrV0_o40_7000lRZ80yxF0000@zrt0 +000yb900m@@@uC00Wt000c070E3CHm0uY00W10006100OD00G7W2WY0T0L0O000m00001000 +K400O6W00305060c2G2m000W1W0mO030L0LGXy600G1L0000Z100_180C6y383W100G6000G +000W900WC06000o00imlD0u@V000@pTm10v510600Wl@D0x@300c78P70007W@LT0ixV0_n@ +02FczJ5r3Uc20Hk50ePA0cXN0OZDgkxU8oD8St10uh900K000mZ3k0O@V00000udVZKeeY_L +PC83Azd4O300Tr5rzOmaLc700E7@m3ZzjaOCnD00W0qce1000Wk_9dk@n0uE1m@@yqrMHflv +1000ok5POQF6004W4I8Cf4Q0000G800W@@d00a00000004246rp0W20W0W000WY8bac12880 +00X80002yRF3W80000000002KDb604G0080000WBlcZC008000020000GX0omgTs080YuZm4 +000IW000W2e00400008000240e210G000fKJ30W000WX00010000W008000C88000G001105 +580000Gm408jtO0e67W2EDGW20010000C000G01_fo00G0004G0wva1108000W008W00G00C +GnDkOa1000Z00000802A0000000e00A080W0002212W020G00200450W22000G410W000HH0 +000000408AgW00000OG4m0H0000000du000004000W14001Slf1dzO00G008008W200gMyXI +991B00HhH9840000A8mi36000W04K010G0WNWCOm83QBa1u000010WI8D10800020000GhEQ +f10140oUGY@@V0020okS64Id1fST280W80080ndcmVc600a00080000020400D5O00H40008 +20001gjm00800000A800080000Wj0GjgC0004w@@McRKY3FJ8mL300X4100GycL3IeC10G40 +0A028W0HG2000081m9R6Sw230200sSr00W0800WO1900z@F30030_@@dhaO00m0mGc9iCB3b +PQ0W0G040040000W20S_ye1hVQ010m0000008200IW0000000Wf3002WLCj92NFwHr040024 +00WG400qJ23xfo0A0001000W00800W00100OpH3IwA10200W0000O1041w9xwY1Y00W@@PO4 +W4wpp00e2G0W01F2n002005KO0000023H04040G200002100100D240L1WIW1HW0G0010400 +7000C0G0003N0G0A000me902g4M66Zgbm_Cu@@761mW19D00o000O40W64WDwPGM09030202 +0W822C2a489003108YW0001G00GmMa60G00200000G504000029000yN2011OFf4204c9Mh0 +00420KG10000001W000W9400WI00W00W0004000040WI0yvY100Ge8020H92000400W82008 +0KGG90G000W0500H0000WI941904G04e4000QA94200W0KGfBuCu@V3YXpW@@F100eqvZO80 +20W200000W000080W00GW800W000108oJT60000104G0W000XA210000020Giy6331O0G0Y0 +000mPZOG2Sv48d4000W400400100028W00OG00GH73d01m1088000800YPrW6UJ03W00000e +4YJ000104000W00GW0W01H0000W6WGG01410008G0XXQ08W0WLfL11G1O4lL008000K00008 +00W00pyc0800mJEDG0W0G706002e4200O1H60002OC73YfpW_XD00H000100GIA208I1Q9cX +_aL10004004f@@V004Q3W100D00000021W0002I8488l2O0040GA0X5P0X0W20008Ot4k91m +00PJ40000000H00010004aj20000W0G200Gdp6W2I8488@20008wHXD070Ir0G00O0840000 +2iXmY1Cu@VI005I0S085101m@@I000ud@00000AxVZn00Z2W@@1000Wv_xF0000cRK00u@@4 +YBx100my@@x400O400Wa000P020K1a1y3W100mF000G400W90004030d1G0C1i200O4000Pn +P0k050K1SHS3m000m5q_R2000Z1G0_b7W1000005R7000y60083m1GIWCWC0P00gWJ500m10 +00l10000S00u@V90u@00000GY10mfs9G40_tk0000StX@@J005y00UbHKO7_05K0mT@10V00 +0000WdV70VzD0m40@kHr0000iZrcmAyHrOj19nd0800XkhzONS32cM200N3@@hrxD6K1JBjW +dGXz6KPl10nO0QBFaeyDOfv4QpFX0zPugRI_r7ZlMDuMV3_KN2000C4700gTxA0G40h@pGb@ +dKrj1tld0010000Fi7fJ28000001GfqBnOyL00410W00OBh6awk12004I1A1GWW2W100W508 +q@V20044002001Y0OYz4W0KWqok14aW000000iK1o880o@z6GW20uIgY4100G500G0W82G0X +431004K4W8G0Y04G8W80G2GAG0W0000W80GI4000L0G00K00640202002001G800011i8R3e +00Q00050Y8G02100000yy0004W0G10080900G@h9000Wu_j4000122008BT3cuN22000@_Rm +k@C000e000m00e0G0WmW008a08W0O080W210002022WO420O04GmO0000m40G00O00C102m0 +W01G04G200uqU3002C3010001m02G41800000Eo102C0000008WnO8IKt@39sV20u10G404N +0Q0010000G02800W0WG0W010404000G00004NeoGn@608W0000WaW00WCpC0A00200204G40 +00000_K0X40G2e20000004C00pzdmzRCG8W0euVC0G00ivl1lv7IRz60WC0uk@42ud1000W5 +uQGPsI0000b900GWmF0000iz@7G100CdPB@c@000080m818G40M0E1051W0004000WzrE3Pv +dGw@64RT20OwOdRo000G0dCpmfmCyTM5xxZnPm6KY030001YOt0800WNYRGlOFquF60082s_ +t0WEB0VkdGST6Kdl4PwNnnqLqpl11Kp000200W40xHP0A00000H0tGamJ_CiGV2bFm000G00 +400000OW500G000u7y4AYxa0rD8vCIC800000W00ZW0X4100010u2H401Y00W80040KSK_C0 +WY10083G_z6qcl140004C00G00000WqPf_90006ufp7gyxX@@P00X2GnzL010004000E00Wc +_J000K10G000202m8G4F5F10G20fG8HP_6CzV20041G00820000OX00880G00002410oH810 +202@@pmRSCSJf7rrR0H0100e40G040aWI0iQT2000b0400200WymV300G00W42H0Ae40G048 +4WI00000221a0k1JmQ00K20001a000b000mmH000Ya00X420046YAH0000000I04u7E6oYJ2 +00W2ddRGWSCaEP2VZP020G020008000W0804@l10a02wzE10100n9Q0080028W00X0000214 +yl10002000X1000010e044100G0000GFf006GG0O030008C0G80W8000HENtcUnJ00W0GQx6 +02H00000044211I00a004m401Ceh1000800300000240002A084410C4W000G00106OyV30G +4G000040XeW880001000RFQWG10104Gc0WHe1CY02e80prRmmr600A000080B00WIGU0020u +TyI0W208mU6000A0Ha000000K01G01240048G0800AH00082m5y60008Q9@40G000WG00W0K +my@602W000A0W40100100000O4K0W02W01W08Ile6012102008000G2000pqcm@@I0WK0uWV +9Ezo0yA1u0WK2040W30000G0GB9000aI140000WyA2a0d100800088H4Qj1m00g6020W30X0 +080GhD420IR000000GI4200n000GW80qnc210Wl0000WAq7W120mNW8028W0Y000Ge00820q +01a0O0001000W10000GMSFa2W458RGYV6WK008dV90GL1WP41000mzIsg000O6LLj0m3VHC3 +00WGF@j80@BH0_700000y7Wch5ACS3000Wtnc1E300QWm0K100S38001m5WB02000N400uD0 +0Wc000P0E0U3a1a1ud1Gxt900c0G1U3C2y3S700uE0W2000GWq3Yq1U7f3y3S289uEG6GCGa +WO085m000091000020a400oYxXQwC8Gk700J00000EbVC000aB0w@N0y@FYkx00bD00000WV +u1WP00um@J00SK8y0W7y1fAL1dPC3W@_70@54cPU5dJvccpm@90Qz1enye4G0GyGN20001_3 +dX0TbONy7sny10002000GPE00Sz@30804gVZXZoJ0400GCp6a3U2vaBndv9iajAla720WBnD +uZ2028Grv6Cli1O000dVGbgYb020008g6WGHb02G0G4uICgk1nWRmjwO44G200G06A_10W00 +G0000008a8g180205W40yrj10210kxs000K0TQR0000010G00A400080000Wnk00mrs90200 +00004408Wee21000KurC0804e9w40140KDc1080000220W24200000O11W0020900Mjs001Y +088000804r8k1000W4000040G0280GWq6G001OxL3001000OD6000020100WW0rYRmt4F040 +0W000G4w6adl1@BRGTz6y@Q57xR00Y0040004000sjm010GW0800G000WX00000W1840G000 +f003040010003000G0000YWcDulv400H0biW10840U4m04000G0m0000000K0eeV6o8tWq_b +08G0Gr_F4kl1004G00000G80y@V3W0040100000G120004010@@dW02WYnbJuGn400940000 +H0GW00004100208042itWWQI8AR3W00010028@Q6E7FXr@DeWy42OsWKSh00O2GPuC4n@3py +BHhv6Kxz31_d00WNn0G8fRTCggFX@@tuUT3o_jYXhDu@V308G0Txl10002000C20A20048m@ +@6OWI10800GI9XyO_301004108iml1@AymRpIaMi7VvR0401080GWv2Omi@64ej1VvQWm10W +L7jPPJXEQq0G000PWOW081W8CV00086000000hHjWJI4uCKEQ5rx@0D00WuFt00O1GJoC004 +0G01W0GY02C700joR000O400H008G0szt0W4C0A0002f940400pWRGkS9WP0400000Y01Wss +De1V66Uc10G00HONHnz60W01028Y0000G0210001000200041S5V340n00010Gn000000SD0 +0epw72010WiebuzU6Yoc10004000W040820C00G4000W2WYyPOwR9010000W00024O4@6C2k +17zR000020e4000m210Y00W0Ku8SC6ytWYxJeKS30WI0000Y000e4000H00WI0200wNd1046 +00W020W10G8088mV3oCIYzuJ81k40090C2E3Vkc02000meC0bNwHbuF000oAGV300e000800 +060m_D6m000uzs4010C0A02000W0144G08G24118w5N2G100dyp0080mHpJ0000qwW60G02e +jG3mA00W0000006nPjOagh1xPc0123200080e38500000190K00000H000G0E000M_t08004 +0008W5400000002Wmj9O0G00ent402009G080Y00W004YqJP00Wb0000000Z05xRGjb64Ov6 +NrdGnz68020JY0084802400W00000080rfS20040mk8210G000W840W30002x7@OGOR9W090 +Owz4wupWe0I000WGOu6i_V2M1000dS4aGU2dwPG7qF4_M2PnP000WO0000noP02V1EaG000S +541000eG00OrRd00e0000eNu1eA0002F100r@t20KLL000y0m@50W@B2_gM40@d8uXdgKvO8 +7S3m4m0W9W92J0J400cemsO0000mst60W00OiU300N000k0MH00Sqz6ypl100W0O60000WAO +J73IWp0o020LXBHuRC0W108BE3KWt000@0FME3G005W90AWV03G@u64rVBqD00yC0000DWo@ +00W7eBC0ONO2uP000008WN@700000h_vxrDm@000O75WDkrw4CI2xkYHvP0000jw10WtgFP9 +DL40000Y0000G00420XH@DugS3g0G100000K00W0008000yqsA2Gd10M6000402pz10080jf +RG2SRyyp99aln3x643N8000mf7004H0FxbZ1G8000000GW04_UtWfuDuBU34000KaL2X_QGw +vFiJQ2@@R00WLbSmhunC3cdjYTo3PXzA02400082000W0i00000G00020010HKQl1HmRmSzF +8G00yw@40vu0CC@9vpPG0qLyq@3G08Gk_q0W0028000000200480208mOR60800eUE302001 +4W81G10010040400000K0080000W00m04W02WnuC00000000CP300viBX008WPbJuU_70W00 +4JJ2XqZn9e6WG00Cv@40GG22000400WX026G00W0GW0010W02G014W808100XIuD0022GhN6 +02004W000800WfyD000hRL8j0000OaD3A_tZl_JuLV3400400008kF6_@tWFMDOnQ3wyE100 +0804004100q7V21_BX000WQgJeP_4W000ahW1PFGo__L4pN2Dvx100400W000100Y8mWygCG +Q00GAJaCN_9BJIoYtO001001W0mGy6GG00010000081G00008_i0W008001u4y4Q9CX@oIeo +3IIcKb3@L1Wc9oLJ60wk1Sp43AAyX@@9vt79MHD70002RzbmnU68088eRS3000mwt00QqT90 +0n0CoL89aYHJxFCG_900400m408G000Y00W0080g0G0802H414W000100GEb880W4uP8KV3W +C00CRT200W0BhsW@@V00K1GWzXquU200W0Nut3500002000200000040021000P8W80GOG8W +0000eE106048Y08mUyDuI336rmWyyb8QW7k@730020HURm0u6yTV202WG0080Kw@30W08E2t +02140K202__FXoxCuqP32_r0Af00D_d000GXEmD86F3c2F10200pJR0000841001XnGuvLas +F30800cxtWhKC8Y46a4W00020W80W180WWQ@P010820001810000w1VUn00820L4_G1u94gF +3jaRGEv6Cv8600e06rr020004000_nt000025vRGj_60440Om@408000m4404W0G369KNN20 +0G004000CM0StL3UJr0280000000W880O20uwV30002K2d1000W040000002m000008WDSse +X_44200800GOdt4USf20K10000402Y0Ge41eD@4090000200a0008000000uu1XIsotWD2P0 +Y000W00mf@DOs@4kiqWXrDOlj7g@d14A1mHUp090000G0C0040GA00bel10060ALpW8lJ002 +10uAXGWm_Az8d0W000010500000A1000mN51I0C0GwbmnD00000I8400a05i1S0GQ3010W10 +X00GsS6W0808Q03I5m0G000fqbGtOK100K7000008E0g200Wb80Mas90C20HUdG2S9a5d100 +O0W000C573@WR000mF0J40tYRGlz60051G0O0i200G1000000GWp00009100k12000O5830t +oPW3000U300a1S08983iDk10002Q1t0030J000c0C10y3_6tep3020Mm2mTkNKpXllc0008M +0m300WCdCsDmZ0000yJbaugRC_G0tm0C2lAb61JUNCyRE3FdRmBS6aSM20800sWk2000y260 +0QhNbp8J8fDdktt300sWRqXKa2XagU2vgRmBS6SMi10W00E1gYq@D0u11m_@g0080PoAR004 +0SAg1hI520W0YolIe@13000W1L00ul@A4G00CYk4TpRGFv64Oh1ZqBHwr9C8k1Pt5IzpLG00 +0Od@400yDaHl400W12ioWTvD00101000Y5dF9aU3o9BX9zJuUK3EY@aB_JufV30JL0y@V500 +14603fB6zOi_700W0EyX10001wkt0000iW300IxN2A0m0frd000G0010002W00400K193080 +0oYZXdkz0200GRapipl100sf0WW0qOjJN@p0H0mWtwaebJCQB73X4C0vkfL8wUiKw9000Gf0 +00i8P5bIeItUm4Q7C00Mz@cB40009ndFJSw6yEl182W0QCTc@sJ0ih2W010W0xz00A2G85v0 +W10uPV92XgYC_n0000au10WPcb8Pz7YAC7A100B@R0010000W2t_d00W0WtmP0001GZ@I4BU +200k5gYBX1Ia8XS6657Z@@teNV3Yot00420t@RGHq6CpU2p0O020GaJmt0e52GM@XqmlD010 +00G0OG00000W04W0000W0e5Ed0002XzKD82@40000c573x6m0000MsA00WE00Yu_XFzD0001 +a000008WWzXCJAl600100W0000W010000040WkvF10400dvlHB@6ajU200q2000GaP_3f9@m +Hn6ajDC00S0000000Ab000G828KY8lD8wV30G94EBt3PnzGiuF0ybfW4W30I90ekHaG200GC +S6W0R8iKS3I1l5y@F00003zV00K9_F0008oP2Zao5Yt00000xaiuO0800000G600000P40At +dXiua8My4000000O6C1E3kPmWm_P0008300GIWCWCtmbG9RMM2UHPl_3000UU000jcVLgr9a +5t6l_@mmvL00uZlA8R0020qRU2JRpmxhRqmVEWXB0QI8dsm3f@pAYKGYfmPusxACF00i8ZDR +jpGCv6ih89h0MnF@L8082edK300G5VcWARNzJrqUibg11i@00002K000WUM0YmDXwLD8O@4Y +RtWxsDW000GWt6C2G2x_4rTj9imV2000000Cu000WWI000001Wi_DuSH3_sF18002DwPmW2B +jzF3nPxH_t9000vfrVL0081qoTBrMeoiSOS0m0Y0000ZO0yYb4nmXq6@UKIU2hzZnPv60002 +0K00m1k6yL6CdVXHXUmKbU8002EYJ8jXsp1Cq3mn@9Sf0Cb_8K5_6iXl4@MR000W800W8000 +8u300ysxC0004JDGef_De5VC0W00Kyl1000rMwt00820brpGtmH5lFC7DRm@@60g80uHVCgW +RZ6vaez3j000mhM0086EOQaZgU@b0088Gz@600uu@tx4AyF100W0TrRGAuLq6k14200MkMhK +vCez@40cI0iyV25_pGSmRW800eGLFo9PfZ_DWLG0Ge_I43d1000000X0aId4000W005I0008 +jwwh000m1E0000QNIp@600Ohdz1eBL10WnbPhT@4If6ZAzD0G40my@602W88NV3IzNYcvCe4 +E9uD0000mFuSzV000@VWl1e200o2B4w100nqLzRzNz9B6WET0IjLbCoEP7dVYXJ2J300@vUo +B1KDyF900UTpgHesx13ej2mkDN51k1p7RpnxC0000pc00mYtICofGXvdGkpsyvF300MbtFFX +FwSAX@b06V0KJzIPcUbf00WXjNgoGg0GipNb5FPNunLn812G0uOTU2bhkKPd40mVJLzyK@j4 +7FH50A6WFzj1Y00Gj@IybpL_400I@7cUoPOVy4woWg@@D00WlRsSHLFUK0NL0_kScAtD0000 +ueN9aD73HA45T00WhBp9__4ocZX1tZ20GGLo@m4EE3nYR000040G8002080000WK00OVCxv7 +00KyVBXZ@GJv600c0n000SxLfjN_UjfY1Gs6W1qFynVC000WwU00OENCY0lYZOV0004GcV6i +7lM00K_sfjb7Whe@QX00200020O2PC03q04dM85gx1180WOfNgjz4cRF1000iE400MIcXItb +OvT90000sJl1JM_JVyIG200u@@48W00atk100kQ0W00KXl1xWdmUu9ysE3J0amWu9G004W0G +8GTS2jUT50004m000ynl1W9T0Uvt0W00200W0oANY@oh0400mc@6qu5FXnBHjz9SQF3000mj +D00iek4r9cG8_9iyV2XXd00G001W80@AMtrdJI8y1e7@V010WSOw@000000yU5FfGLPRGdyQ +1WB089@Vsz0fi@t8n532yCdYtJeOSj000OiFkGbmfLI18108WOe@k000WF@DFRuRGXx6ySkM +00Urt@d78005X_Rm1WQ100004l0Gxu@0KH0AtSmm4004@zFW2U0000OwV00OU@h0048ar@FG +000G4m04M83TlbyH@g000Wcq00GhvV20uDFZiekA@aW_L1mI1mEyV2000dP00mvs2T9U5G80 +0Y57cwkh0004oYnISqk1rsIrJudCnT25qR0Gp6W@@D0A00mzoU4MV2W000INl2000W0001JC +F104G0FXRpypF0000s0000002000WWNtpm103CUF6000WcA7300200054UZ@Xi7_110000WR +tzj@9xXecytWUgP0Ki2m3VIKw_3DwXHAJHDEM500WmqF00yxl4J6hLltaCyz300Y5kayd607 +3yZ3mcbX0W00OlS904000200uPze00H0Cyj1Hpb00008Y200RQBHigF8200GW00mwsF000A6 +004GUqEH4X08uV900WaTIR5vZinqBQ1008420100K2Wt@V0K82G4uCKbB3R1OmP0Ia2G25xQ +GR0CKwTHhU@0000UX2005WxH4uIaRb1FpYq@@COG002140Gx@F00WZBQR9w0t08000G002o1 +FXNrJ8Cy44000H000uoybE_sWsnb0qE0G0kO0G400W000G80XF8OeHV3G020qDB3TXZ400Y4 +0000M1000004cI93i500Y0N2K80e0WK2040W30000G0G19000aI00@@RGA0CW02a0m00mAyE +1W0_tz0000u@@y@J000Wq2vG200q10000mE2Wz@J04T2G4uI0W3000S10000S0uD0000mT00 +0ayF3f0a000OW_mDO9rUtWCXAmb87S3MZkYVjJeoV300yZ59E3ZB@GJ09Cy@CNyR00G0WM_D +8nwA20t000G8G0040000240G8LV3UVs08010000011000an1PFQ90G00KCk120W0000G8000 +420WW408W_nP000W0018WAoJeJw7UC91e02000G0000Wag_3nRpmtw6000XuVT6000404000 +0020000It130hVdGjvFimj7bd9qJs9W040u4660Y00CgU2004000inraT5TMd020000H0004 +104G10G000eVy42TtWe0Cu4zGITtWvnJ0040mZt60009e1630012000O0204GHv602000002 +05A0W@@D0WJ0Gxt6G041YI1GW0000004008G0oKt0000WG000W0002G40W0000WW0WjvD000 +K80G000402r@p0WG0W9shupj7QtcXt2O0004010G0000WG00200048000040W20G08000Cs7 +04ogd12WW0000GX02WiGY1ttdW0044102200040G02inU20060YSDX4oDeAV3cQ@XgoPuNf7 +0030S@l160004m08010000W00024000WW0WX10000W00100G6x0y6001O483001280040800 +g000Y8KiU20010010300A40401100004W020000m021ifh1000GQdt08422PFeIC69SFl1H0 +W00GGW9xD0080000040G10000000CPFxV200e0sgt000058002s@tWf3C00G00G00WQsP040 +00008WKybeFkV00GW4El10240M@F1GD50FIIrfzv0W00080GmgL60000404W0008Cm200@tJ +o@@6CyBFVyh21W0WU5P8L16_D9d75SAjg4wzE1W5F0W000wytcywZ20802M000X0004104W1 +001000008G4000Qb0G4N@BnFiL0800eUA6grZA0W00G4G240A000Y00040mzp600mVRjBCg6 +Da_Ff2K8W0WKG90e00000WUfE1Wp00vkXHuRF00C0OEk4A2NB1Ka00W0HcpsW8KD0000ao10 +XqZdf_@h000G904aI4511002mUbJ00W5@OuI000480S90W00ysM2XmOm@@H100I40G00GW08 +0810495400YWaXZ10tT4Y0gYmta8Xs4IrRfosV00q62000GD000W004W000060000K20000A +gIW4vk912a0O0011@@@0I0000a000040G8001080000Gw@@Hfx0O6Z0000y7G0000003yZLs +000vX9Qh800GC000L000P0A0C1WG00W01m@@600Z78tD92VR3o000O2a100m4a@FL0up0000 +1eg@f400e2@10W_@D0000ZX00W7@xAV@70000Uhi12000g4dXfdJuNS900u3dhj41RRmEq60 +WW0O_cMgtEXD2Iexg7G00010W04000800KWS_J0600GI@60020010G00a00G2040020_es0m +Q30NLRmO_6000W0W0010100000aTIdGhq6yGf1W00G00a0KBd1lgNHpw9SGd1jX91W020008 +000200120K9k1BMpW0000W400G008_8F1000as400Ef@10045HWPmNqCSgD39bp30G00020W +9Udmgs60W00OG_40020000020W00G00a@@D00WiqTw9yZk1ngPG1zIa_f10080080G000000 +80qhwU00020050Opt6yxj1010000I001000009m@@609aY0020W2002000200m0USt002G40 +025s6t000LK40000KU0_4c100H080010G00K100040012002000010G310010K0AKXv9001G +180000H000800211Go5F1W0010000G00A0100e3U9EaFXCvJuZd4G200000020100004G8e0 +00G50WA08110G12WWGFw60000JI0W22004aW2000000202010050m40G028e622G40002G0A +Ga604W00000G0e080bW0HI80OA0W08HX0Y405e000W0540O010W001WW40G0001012r@pGpq +CKdk10O00sQt01000004G080Csek1000014G4G0020002i88K00C00WW00000u860000m960 +5C0001Y80080W002X0800C30G702088X4a0X000WYHG40Xg00G0000040800OmW0CIG00000 +4804O084GX000WH110G02WW0000150G08W00X00MPD10C00@wR0000m3vDeIK3YUt00040G0 +X00000O00W000m00080000200051W00000L0450Gqy908f10000000AYeoDOy33000O00GGO +WP300C00GO8yyV30800040G2Gi20O0W60080e20GU_F1G140j@Q040G4G002dcNHW7FCR990 +000100mGJ00ulU3W200jcl11od00GWWJSDOuU300082010WW0000G4000m00400wqt0000WT +LQ0000m7TD0000O__C4BE3ZaR04GaW4oVOUB3_@d104K40W0Y00000WPR2000008G000W00G +00_rt0004YG000FXn0m0080008W002004p04000100H40G000a0YmF10001huR004000020L +_@m90J4YEC000G8A00KcF300G01W00000G20G4W00000H04@@RmU260101000ImNd6000Gun +_40400000W000YGF@CqSl1Fup00GG400W0V66200WYa@P000nM@xF04400W0000W000G90jt +R0H41WyxJuLU3000Y040200004008YeNIel@40WG0KvV2nGOm@@908200041000G0292G5bx +100001414H@R0mY3008000W800G40W80085U302H409G0XM404G09001Y8490X0010W80040 +1eefgA000008H4G8IW08010WG8000n008I20000048000800G004nJi6iwl10G40o6F10001 +0200001000G0OVw7000H4YF3242000WG0002G40W8000A_1000204chF10G3h088010002W1 +000A8004c02000W0020008Qm0Y00W0000a0OW80m00410008K00eLS30100000810GWnQw6K +uT2K2027c5ZYyP8yxAI9F100iBtqo0b00W59IG401aG00WZnJ0G002002GA14W000000G020 +80000h40W0000W2nyRGsuC4vT2TTBnY@6yr_3vjZHKy60Ye187S9w0sWJWDOJ73000GG4000 +4O0HKm6q0W1f1R0010W6xPe2P30005qPh100200410SKh70001110OL5D3TnQm1y6000WPzQ +6kKF1WW4G02000K0GW808We0Q00Im040GG0G80W0I090u800C02GA020H034G000060SEV20 +200W00000Ga8f@480000G0Geu_4oft02008dWA1G0Gae0I0000WX0001W84@@R00WrXTuD8G +06000928AGI1004mW480080K0G001W40m8A258020822205004A2440Y88W04000OWq9Snk1 +800A81q0WG208oR3007I4vD3W0000G00020W00CAIktIWM20ehsA0RtG00800X00GBo600AW +040G82W00000b41H0GC01004105I4000q4G40100mLW8040W000Y001IA400k20PnP0000G4 +2000W080000WWr300C3mbXL000Gqk000z1bU1GLu2G10_v@4000O2Ep0Wuk0000eYuY30000 +0K5000000iKBAJd5HqkAf0z13VY3qNM4Cyjeu1gLW1Mh03C016O068m0CmM1KGf_Wk10000W +EY0000CFex4uD0000G18oR9000C100OQX73ozkY5iP0404Gkx600mKlE0300W0mR00OQA300 +05000e205m4WBmR0J0J0@000C10eW2001S102u2O4m5uCnFWL00Wx0088GB38300GJG6OfA3 +Itl80G0300W@u@V1Gt00mh000GJ2W@@D00YuUa290000m@x2mtzF000w000GWA4GP00Q00m_ +@5000000y00C3F000060Qz0000WLM14f@9ZckHq_6qjl10180YNt0q10GrxRmE7900000209 +G2lIC1q9G00040C0ill1000800W0yle1042411000G00evS3smoWH@D000Kmn@90000X040m +aq90000000npLt600A0ehD3IRp0W0003d@0W000080004001800MxT2000WMtYXJqJ8uR3UB +tW@9I00G02000mGSC8qy4MucXakC8h83U1d1GeC07ZpGiS64LE62108gHmWojn0W00mfx6i1 +@3G00000W0rYD3Lr_mlx9q3Y17hRGfy6000W1s00mBx6C3V2000GAPAXsub040000W0a6wPe +OVCMhNYGza0001000KWXpI8KU3000KbKl1400000W00086_HU3U6t000100IW0e000G00100 +820400YJvD0804Gjz6000G50W0HcX90000400G0100msqC00G0Guz904800000K_C6iZF3nv +bmWz60100000WKzw6a2e1010G800000K00080osK60U3502000G00aWxD0WoW0Y000GW4000 +1G081000001gm42GW0a01C0W0E10004W0G8294K000084G4WWIe000G43400040G8a00qE33 +02000840KuF3020WEJBX@@D040G0000240106W008004iPV28420G100W021ueT3O4040000 +4002G0j60PI640504A80220OmG01001Wb041008G102W00000809404CWW2G11414W000GG0 +YG8mA008GW5GG006006W010uDBae1@AoUWXalDekV3010000400480KXx9008000mDe000ee +lJ0003mxoF008W04G0Giv6qkV22W08QzF14000NyRmgP90800W011mtVCCeRB5KPGuN6y@l1 +0c318008008200001C900G4009FQWW20X@@bevz7AaB1000040424G4020a2uPwMUWkYr@V0 +0046t120110000O0ILtWQrJ8aU3cK@18120FrR000084G4000X00001084o0000300ZW3kC0 +002H5xI0G00eaz70004qq_900e4g@E1000808080000m0000000HU_64ZW44052ETm00004W +40C10040C08X80011W0W2nPeuV3EU9Xpuv1ONB291040aH0G1000000000860204000aooze +_V30G0000109eF3Yem00000W40404004Wl10000NB9Xui39rV3G40GKYc1Xzd0000IUAom04 +eWmCIW04H0200H4WG2820800000003418W00048Gd@C0G20005012000500401W004W11802 +0001058WB0E0001280g0W00G10080400800G0008000120W000WW00GFS9i173pPuH_@600O +2JW04W04402I000W390004WG10m02G0G8YaGnDeRV6020g0808288000G408002G404824JW +K8uX4J184104GDp400042rpWOuC000emUDCKuT5jU@0WG0Wglb0SJ100000P000Tc@000G00 +140Pi@mKi6KJd140000W200G000800G9@900080G0WnI@CyJ96R_N100081000XXRGK_C8B0 +0e0_700200WG0000GGBuF880085030004000W200020080000K7dpm2S90G828R_7I6NYVtJ +8wV600WW0W40O_V6008800W0uOV9sXl2G000J7O0002000G108820000GW000G0m00000WG0 +040041G000410eka4YVE11001@@NHt@9qyF3W400M@d1m8B0RBPGh@6qhl100HG_@N2820aG +e00048000000GYW80000a010641W800W0040000432G0800XGeW29YXpWVxD8YD3010W00I1 +eKU6MgoWK0U00m0GB_Cqel1000WDA0w000O9H@4002000M0048010uKaLSU00G00c5HWEcC0 +0K0000WGD0000G806Sc10WW8YD00mC0000O1eW@PO608QN00Or36000000mLGn1eCb3GSYJC +yK5mpXBMP908sL0uMb0WXV1eW_m4000nk0y@l108s00yy0000W5YT300000W7000000iA0D8 +W000GiCiXXBECx100WuY50y343d10509@iE10G000W90w0oW4yP85W700045Gl17_@0e_C00 +006000C08080m0G8Mk4QchYwvC0N0J0H0L000G000W0o3m002060C0k0O00S37305K00000P +z008a@V000mR7k3W8k70uPc8000M9VyGR0R0yq2WZ000jyF0JzF0200J9BC000gCt10udk20 +YVWs8_sDExV300vZZ_hoQuIy8g4VMQ00000G0G0LcbmN7902GW0082ulhC4HU2NNdmmr94@M +20kU00048K0k1W00010400020STR9AxF104800410W0W04vl15ko0A000W00WZoBHxu90A00 +04W0Gyw64UE3R8dGywL00003@00Gis6004010G0mF3OyRV2ZVcmbrLynM20080G000yr_3f2 +RGUyLa8F393m0010000kXz7OGxuCawU2Lo@000G0000100242OE1WC00RgnGKx6yrk1XFR00 +20WLvPeoT3YBtW1YP004Gm9lCW4100800G_I90Sy000040008G000YG004K8100800G44100 +00WRcD00000G10WOwD080000G04000400H00Gm00W000082003020W00G000I0W00W000G02 +00H00040PQz4oqdXikDOw_4000805400G014W000800Am24Gc3m0WW02011G000W0400000o +DG10atKD0400201aG0W0W04100808004H0X22005C20204042012000048W60W8000G82000 +404020810000280402200450401W0A8W08W002801410Wa@D0080mYdFW00080U3Mdt00GW0 +8008011K00W0004G18000200G81009410Y4010W0280000Gh0K002480010100000YaXG104 +028A00G0010e82K1A002a000034002401200084A00404000G04000WH010X00008010082G +00020040GW0148k@l10002wscXoxJePn46yFX8@DeqU30880qlj13Up0000Mk100R_R00m11 +0004400W0000c1V20000G2804sl1DnQ0K000W820W0400400800006001C00G000B0010W04 +0CUF3nndmU6IqNO5tzp0010WcCI000yK2@6KYk1410G800200J0fdL3gGeY@WV0080GPw600 +W80004820000W000810oBo04000BqRGvYRKrk100W0UeYXDqPmSnImqc6001400KW0GCK020 +000WH8RzrWwsy000GKq@6W0010aH0Z0008W404nwpG5tFK366Lbw10006701000000C04IO0 +830G8u_o60000EAV32SmWtgDepzAW1Y00C0W92H30812y@l1BLPmY@6q0@6W0006PnWbgDeD +rA080000mX70J208080P2000A0100000IG00000W00080aPcJidmsyO000a0W00001G20100 +809G0W002040G00006020030079QW00eW5nDuSf7wftWZwD000WmPtCSmW100G0006W00000 +0Ph4D00O17O4aYG04W0p02OG0884a8g1080OWY8216CpWh_s0000i0010GK2020W0e0eGGe2 +X0I00110005002000C0008208WOKVF_98XuzPOkQ3gwt0000ye10W0002G8YG0A2A3C005A8 +310HG20GCWQ0H82HG000O0Wcvs00H8XH30006w8043P41mmG1I20G4GG2_643t3TOBHWzdW0 +00000@ICSFq4N2DmRmmIU000WiiU6810000b00300GCSC4SQ2nK6osgO0Ee18HGFElC4a010 +fPnmvu9KXy3BvoGWTUSYU2lvR0000Q13107tBnWmFqw66Bcd0KGW0WWW04H40Y1sWHYPel@4 +k3sWa2Ef9s4084000WR7100qVa6anU20002sI@XSGm8gS3450020100K0W0H0000085W020H +9104373N2RGJTIynz9WjO08300W5008hV3000o000Of103UUt00040W00000f0S9S5L@RmAp +6000010HWWLuE003C00004d_@104Gzg7000WwhlXDC000mXNo1WSo3WoAZCGV5GV2F4kB0u4 +N0CBk0Gqk1m0_X3Wapy00B00k120G1bw8WA000pyx@000c10l0000U30000G0YXBXmyP0G41 +mEOFSIV2NU@GA0900WJ9tD6W1W100038yj4080C1m000m40mUsR03000L020C0C8_1O000q1 +Wb0nA0G150000O15K0000GEv0E_7ZhlP0y00000G00yF0Nz@0mZF000000@@Y50sOB0GV5nm +mF0@KPWXV00yp0eyT106_3WoA3100WIL200HAi100cQ0WB0K7W7u80yG0000BJ30B10We@be +_R3wW@auXP0G200000Gl300rjQGxuFaF_6rp72G00W5T2v7U30800yGE3FFRGzzF000RA3@7 +G0W0_np30000UhqW9sPuGV923dXJzEPoU3_udXa2UO8S30EV0Cs@395m00G2mKwRPRz4_Aeb +mPy0000ER00WVvVuhT3kVc100801GyGuvIKfU2lNPmr@60044uj_4_md10104014Gk@t00W4 +GbgRG3v600QmwkU3siF100Mp2000oqoWcxJ020040G0WDyDG000G9x6iNl13vR0000Y0xIuD +V6_uo0000W8W0041000000K002uRg6CNl100GGF0qWAyD00220090WixJOzw4UQ_103300W0 +GcXtWPlIuFR6MsFXYzDe0S6Q@l20G800804s_t000G181000820ayl1800000GWiGl1W00G0 +40005001204mpv600002400000098000008GcuB1000qg400W0WHie0300104401yCV2TuRm +i@9yll1FtomwhI040000q0mA_6Kgl1@yPG7x600000GW0mly9aih1btd00e0cPUV00mXw4@6 +CEY4PFam_yCKxd19KPm1POKQz30001Uz_XFoCeGSL03Y0KWMBLiCJNn94Ej1LI8nuvU0002G +a00mcdgCyi4JtuH9l6Kul108200WPe10200X0142G0Ws@D008WG9xX00u5z@4OoiX40440TK +c00008G080F0R000G00aHW003080WP200000068841WUs314D2GCgmKfA920W04001000Y00 +04000C2WG000G4H800H0310m0eW02012W82000001Y88W900G810mlzR000WU6gAQlcXVkse +EMCYnv18101000Y000018Y02020C192O2m2YfTR0404WYu310GTKqip4jX70004000A0410e +zQ3wAr000G002WGIqF1083Gdvg2OQ6WvV5wDN9000104000048M1_90048u@V3G00A0400e3 +W4k@yX4Ph0@00GJ1H10000100Iez60GG0OyU300G20m002000W0A000S0008H040002C00uW +@G00Oo_q@CG000cDs30080fxR000H0400008W2800300K4200100002XH400b0A4XG0G800O +TlG00000Uu1ODRLkxdXKbn0042mDy64sc1W10400f000400089200W00400G4080G00WKHW0 +0404010mx0D8koD000WfU00OiZJ6hE700Wx0udVmKBjmzguX_Gz0LnZTc@7hALVKjtU0000q +FMyG0086dcXJ00WG00022000000m500000N40vzdW082G4m5m8aBWL800h008G10G0k000G0 +C09k42uO1W2q8PC@401000202uEsD0W@1uVc1000u86u7000W@Nln0000UC300g7yC500800 +GBM00000UzL4300y000IOp3b000Dk720yrtg@D00@N50000_V60pl3Jd4y00O2v6beYod101 +8G0000ZEtWViC8@2L6rm000000dR8smVcTrPeSZhE@A1000ik380Ac@dJyPeOR3AGF100840 +40008G000W000010G00WXXtOwUC00SAtBdGfGaJIxFCql1htB10P0WKwnuJx4oYOZb@t0001 +O6S60014CHV3kFq6000CU300w@F10500fpOJE_CKv49xyRmHkFa205PpQWV00WvsbOoCIsr_ +XNxa00W0qc@601a0vrWAEb_400CNrqknbUOyid7DAcJfuO0g508hdkkzF1000HB7HIzvI000 +2Le00GpLEj2w37XcpB@9008VOG1mY_I80JC0LXHLuTLykgD000euC00KQcJFe@mmx60080u6 +UOU_F100Lsfh5LCS6000G002eGv8vKyF30C00cXp908200800000Y0W0002082H00WsC_9f0 +gG100W0008NV3000KSZdG00mj2sBgO_POJT6suE7GU70B0w408K2030080000C0000000XI0 +mGTayai7Q000gcnie1GhoVIC200GI0000W00910000o8vW@G_wp000l9idn0WV0u@P0000uN +Q3MsOl1rUp3000SX300tyGrHoFSX8F9k4Ls@OSx1F0sM0szagOcPufjV000moQ00e9LawYBd +6tP0001mB@F00OZ_itG_wtW8aVu9lDkhtWu0C0800mZw9CMN2NqnG0fa0i20uwFOQiFXHYsO +lT3EztWN@JOqT30048KJTB000ebB00yTn9m000o_@XIWh8W_4cn6ZOhbeiU60080yF_300WM +Fu6c6xJu5nDIFlYQpo1Gy0mXPNDNf4XnQ30084s300JpUrY@645N51ft200NmnCBgR6IUtD7 +WoC0tgLqQxRatE3J_RG2vj0000dM00GPBE5Qi1G0000800K8P2000010200G01eLxP00Oecz +WJ0000000I105K010850GK141000820e000a2W10G00I1mWDtR1q93mOuHz7j10040O00000 +000e00GA0FivQB000eb000qQkJ004WG800X0W8210GX040G800H008010H420W2W00G80820 +0008Vnr20WCXrrZ20G8msz90WK0000000I0WK0C0a20mpxp0IJ1upHRcd730GO04800q000G +W00G40002300H0G3f0ymeKj0000kwmVQbpIH410nmvX85GIHAWC0H091o8o0aHY48Z49169o +K0Im800YHW4WP@R10y3GVzpqAy60egW0m@1XPcf2ym3kmL5JP640yF8LbPGm3_WKbgO2W@1m +V0GLL2200ocj5U200D0At3oXCkC300k6I9NbexL94@A_X_XYdzeKV60ls0aSTKhViHQtCCx@ +6TN@0Q10WG0VBxEO00WZM4kAXaRm2tUiaP5H75IBoU0Qw182QO_mk22000Zg7I4ovuK00uJv +J2UFX@@P0W0100040W0880401sACdckz00WSrM0gSyF3Vi@mGTFCe0I@@R0m94WwdF1000HT +QI00G0400020W084040riqIoLj4fm3xB72040WJxVOSh4g7@A04QpXGh20W4008000030wtt +W3xD00W020002800a00000801KaEL08E0_vK500W8004080A00000112WOr_60010OCO302O +4yi@3BnZqGaCi_l7p_RW4W00W0042C00008080800408mg@6G080yqQXwyl200vIVTg24010 +88WW2GW0000e0220G00C00280000g0G308GWW01Gm0004mzRsqol7W3A0UOz40G0W0400182 +02He00YGW0020O000W00G8G400200H0042880X4K010nUGLGpR000WgA33YPr0W400008W0G +20iqQ202G0e40008W0WI000002048000W0WIxFA00HHZsg2C1004G00840061t00001W0120 +0W4W008000002KGH00000b00MxFAGw10@@J22W002000000W002m000000048Y000I0a4800 +1110001O02W40002GYsj@P4VC4F00ae_6T_d00i000G10N_R00Ga0041H0A1080442104aY8 +0W00I0W200IH00gU8A000000il7pF40040200482S0W000a882020800W80W3W000WY0E080 +G0A241W08a00f0O0051Wr_Z2W91m@@U00L100000@NGLXlegKTHLfAum3Um3t@Ocf5fgAB2G +uMaPcj80@3SuX70_pCggQHKLrYHScJs@O000Wt_00m@@UW900000J40Ae80K0N0e0k0S1S1u +Yu2m510WB2WK0e81OLszN5R000@@V208YO0KG14u@7AYs00080_pJ0ek_08000uLV3000yx@ +068afYc@d108cW@@R3202200008080B15f3lt0Kk2mgtISqQ8rZYnbZ6K4kGPp_Ws10W0tiP +0yAcQbaDNL9NR300CtztcAjqBnRlFCTh4Xh7oEu9a7@6W@E0Ucl50400NqpJ8ksu0008olhs +x790uGu74qLk_XiQU27Ol1OE1W@@R1081Gs3o9A008FVd2pdXTuD8fIIQiS300eS9YgLNw81 +Ek0eEXVU6tc4sh8czA00009Q008_qSMDlYJpu9F_A00y5tNkDLqVIzv6SP@3f08HjzU0u@0e +bWS8000EdyO000uHA00CV2LjFBTu1m7tTT0005MWcd7NqwIR60k40yPKHDfU50020000ym40 +0E6hbjoMgt@G004HWGa000WRF00000G8Ib0OGg05jIo@Pxl400CWE0S850AY2Wae1ewyw448 +0000041100004G440IWf0GHK0Xy@V2Ww10UHlYK1o10vO000GES200T0mGA0K45m60008000 +WWWS802002E10000VE800802dWW064620063oX03138f2yJbF@FPq@HEz@aw@4FK5tyRGta6 +KC93H1Kna@64lALRxpmh_6ihF3f0GHK0R00000483mn3vd7l100W0Q0mWUqPOGU3GG00CfA3 +H1KH@y6008QEFj@lZx@wy_VEm@ZJqFGE08jBBHThU0800edv7_Wpf99fDidPYqlY85w2a60H +YqIK9T8HIp6000G1020XKqop@600I0uaqq00W1i4E3lE9Hh@O8800Oy1s6Pz@E55DLnqk2Rc +MxPe303I1WYe0E10000820WEwT20I424HWG8100G4184100GYGWG44YKA0K45m9PX_VefsdQ +Q2T0OW00CWK0e8A0IwuWAG2GW804Y0IW000G8Y840002a0YG082CG2I1WYe08181c32007Wm +90000Sm1000WS807002EpXWK0e8A0I02d000820a41WW49100002IG0000GY811W890PA0K4 +5m9HY@@Zw@t8@@Bw@@@@@@@@@Tj@@Mz@dr@@lh@Vxy@lk@@@@@@@@@tV_@xl@V_z@V@@@@@@ +@@@@@@@@hv@@zv@N@_@pt@Vy@@@@@@Rp@Vs_@@@@@5l@@mz@7y@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@e2001e103_@@4m@00@@Jb000080000W00000000W0000W0002WY0U8AW4_@F11W00@@R04 +00WW0C00010000Sn300@@7500GWb1Iua06_@dX@2C0100GK0900048i030001yRW1@@R000a +o@@rAJ13kTGYJ5COMX7UXO30g20@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dS_@7l@Vnz@ +Fy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@p0080e80HY2GYs0g0000e300W@@f2000I70E45m9 +v181000G8000@@RF001W77yuP13Y2uXx5IuAY70m30y@@L0200AUOZTBQ1n00m@@y7f0IWcB +0oUGh@@B2000CC10W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Jp@lqz@7j@@G@@@u_@B_@@@@@@@@ +@@@@@@@p7001q@@s0001u@V9008Ry@Fa@681G_4W@@@VHXP_UG2001bRDG5080W@@N20a0m@ +@@dA1d0G00_@V90GE0@@@@@@@@@@@@@@@@@@@@@@@@3C000Y@@N200zy@@@@@@@@@@@@@@dG +7s@@Z4000AF200HAyVa2@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lt@ +Vx@@FX@@@@@@D_@@@@@@@@@@@@@@@@@@@@@@@7X@@@@@@@@@@@@@@@@@Dx@NJ@@py@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FR00010200y@@ +9000O_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@n000Gy@lA00wh@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@B5000q@@C00OG_@@D0WJ0U6030001000000087100m@@@@@@30008vB +0mh2c0u@VCW000y@l400G0_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lg@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Ny@@@@@@@@@@@@@@@@@@@@@@@@@@@lodV000GP0mm +@@@008B8C@y1200a103HAy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VW0820_@t90018@@B +gOj7WTu@F7@CH4004I1y@@V500GX@@N20G0q@@p30010G40m@@B1000oA00m@@E1100u@Vd0 +0Kxy@VK81000G2000000X00m@@51201u@@y930000G6u@@Y00ady@@@@@pvk7CCzXG00o2YK +u@85_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@730200100W4fT +wqqekUiK0400@@x48G6W@@@FCy@@@V90G10@@B4m00W@@x2Y00GOu@@6_XXXR@P6IKZZG0M3 +0_@7itP_1000Cr10Wczf2000C00000001a0000010CvFF000RYkcV0890dXN40002a100bX@ +@Ow@76@@Vv@@@@pG000O1W2YXJ8S0009uerm_2100000GmTEy@VZVc0W0000G0Kt@I08KX@@ +@@D5vy@Vd00Ghy@VK04000800aq7a95yG9S85fG2M200IIT_0p4w@@700s0CI1LrPi40002q +000@@x42a0uA5SAfWe_@lK0C00bmPGQlB53t@@@Z4GN6W7aJu@@nI6O6000mQ600_@Fjrci1 +0WPGW0yy@@@@@d0000St100Rm5r@@p3yR1OpCd_@@@@@@Vsz@Zj@@N@@lZ@@7g@@W_@@L@@x +7@@zr@FV_@NnLH00rDFX7r6k600148@G3_FCXA4p1qt2m@@E1W00v@V3WG000000QGJ6kbs6 +000mHA4rev9y@FI00gx@@@@@@@lq_@7z@@ax@@u@@RT_@Lh@Frx@7xgnJdg00mbGht8LqiA4 +00000W0KyiA0@C0_@@X01sOt@G0000sRL81OPGI19ibC30001wnI2000So300_@@10088@@t +800@YazTAX1U_y63Wy60@@xKPu9C3d19YB4000IH000ThTb0G0W0scv5T900i_sM@@hz@@lx +@tR@@x_@@@@@@@@@@@@@@@@@@@@N@Y4GM7W@@lA@D38800C7sF000ucywd4aTQ_E9400000e +Qx@Vj0100Sr7F@@d0880WtBi@0W14000800WuxQ3MlMY6iR10GQp@@E10080008G30600W0O +MH3shx7Gb80@@BtWYFaQU8_500_@@@nq@FC_@@o@@@@@@@@@@@@@@@@@@@@@@@J000yC400_ +@d70040PIxnDuF0I000002m9vF000WeGS3o6t00200XYp00WMtHp39G03o4FXGn99f0CkD03 +004000G0UNtWa2a00f0mC2U0000QYT6IAua@@n00m0mhxm000WsK00m@@EH001e_F380G2a5 +V5000GEGJY1sPOE_7004oMQkJ000H0120G00G0W080G48000020401_@t0H004pSJIx_94kV +200N0_@t90480000C000W006W0220mk@9qd03vp@mB@6apV28000hjd1000yO500wgt92031 +0W00G00000W400000181WEzJ0800m9Sjy@l100SJxJq9000804041G01800100041WGG2112 +0vk@3WA5WkCZ28W02282I0860GW0000000WG8AoVU000X8B00ePVdWG06W0000G000006608 +2HBUyGf@p00ezBm_e0240yCJ200044008yu@FWSF0Mst904044O2W02G8021WG010aPGX4W0 +KCHAy3L10W@@T2e2XCW0OGJGJ0W24HH4Y0W0C4K140m@@y0003x@Vj0000100810080500W@ +@v10E1mkdHrWR20W0H2dTcyH6BRt4000010224WW0uLi@00eww@Vg000WW49000G002I0820 +11@@@3ew1WoUr2000080108GO2HSz3M00WnMuv@V9S00e3O006rUYDiz5KG0H4208Z0m40y@ +FF002zkgEA0006000C080S1m0q1W100030WyZX40700Gb500LadQ800f8pGm200KW1eQqp@W +U00u@Vd0020C7jG@@p00WjzQqsuh7R6WBXrsgeIS3YZBX90IeojD0HQ0qqr3jl9HpQg0G000 +00G0002W5kPe_eAIwEXjkJeREC000GnQ008Al44000aK0FrwnGiQFyfd4@@h20WNoKKYw8Q3 +kXt04000Bgb0000YvcC00000G00aCfV8kS6QNpWApJ0CX3GLz5z@V20062128W0403100040 +154WIS00000020W20G00010W000W8zD0204Gh_94Cb7000WGA00qgTH0000Ndp0030W40201 +0400G010W221040080040020QYtWb5DuZD3_gdXwwb8p_400ylM3sF1CA1a0020008800000 +GW00WYwzl400042020PBS30000jIl10W00w3NYvkU0Wg0m@@8zIe1000G00012O00000004W +000000002A18082H00OtF3W000inOB000e0700y@lJ020G080102800002W000000G002WG8 +W8Y001H4000W9YG40C0800008200qx@908C7@@V9O000RVQ0W000KG10014GG14G00W8uYI3 +080K00Y024000W080KG00@@h2e51WWhe20020000102008o0000040W0000600082G00W0W0 +0000WG4dPBM700Qs7904200W8041018AGX04I80020P8E8488Y80G50224Ha024010I4L41p +0G6WHW43zilA00Oz_@V94100G40GGZm0W0MG2AnW1Q004W4I8008Q0oGCX8Y2Geo430004a0 +00200WISnWV@F1GG1m@@8100G4000000G7000GKG100000005000W0008GXD0Cu7E30400W0 +0006A0mdjguG00u@VXeWI000b00X00000G1AG80BeoGuk60400OzH3cpS6009kXrXK4h6000 +4I41080G00080104400WI80G100045700000G02408GG1008G008qkM0AK0SgNH00008W000 +20m108G004G800020402000801GO00000080YreC082000491W820Fag20006H3009mX400G +0e2G48001a40D0004GI84100W04WK40e128Z010W6000G000Y0WiuW10mWq@@2100070000W +0WMtG808jX00GKH0W000bCpF00W@MED0y10Wv0005K1b8oT4WQ5G8Y00aY0Km@@g0u50u@Vd +0m0PH0Dnc0w0A1q4K3e3e60BGD0N2O0_4Wcm90T050C1w0q1G0oYh5000qz@Om@@@00l100o +0k30043000c68Bb6GMADMiKQiCjqOPQ0n3y0ooZ1aqB98Z73Z000vm1U00000Cm0mC00y6YA +@@ZNbqg06k1u@@h0040cvLEv1m0000auZaemMCYAtWGWK1G02GoTX4LE60220_@F100W4DCe +IMw602W0u@@m100880008sS9kzLY6sD00002l00WKwFPq_SwZ0ZFtE10W4UAp5rcU2zsNHf_ +FaXS5TdB1420W@@D0OB2Gji5bmSBlhr2000Eq100tCKqa6aVz@6YrU0ccfB040074AHdxmmM +00ePVaIp3ZpK@10GBTOFT1008uVLX0Wb0iiFI00W8M5XaK@X1000Cv10WmmxwmVX008HkWBI +pbBH2Sp4QcMtTWHkv6KLmFfhXKlw600048xdA_h@700INBNxK2oC000020G0000Wq7@@1u53 +m@@210W10000008KY3AGAmiqm40000W9umVX000Qz@VHa4000083SHK_000uWE00y2ZJTrHI +1@9CyF900_lZMZa2QkQbiJ0aP04F_33PpGdD8Td5F000WJUsWZKe20000420Xvoy0000LRqC +0W00ecK900OCtmjGB75owy6aaV8TOX1eh5WTPMw@@70G084sA3fujnDx6qxQ5000ur000y8q +IrqLH9uF4jE3zIRGp@Cqmk4008wsmjewI6Bhk@lwx@g2@Vgn@Zgy@dE@lfq@NQz@aQ@Vkw03 +Et3Gn00DfxKKfHbKWABXJUvp@FUz@XV@@tv@tz_@Rt@Vs@@@Iv@jS_@Af@dow@vY@CmH1WOa +JOrwPA@EaKmV8txP000mYQ00e6ynozc700amrWpJ2uvKTlklqN1000A81005X@JpwXaVz31i +BH9xO0W00080000W7u@@jvAT3QLNY@@JOmS62G@XRpbOxSCUGt0ma005XR3080Weqnub@So6 +@XBzD0000f010WYld@f_GQz76XNE0JfpVN5810WXBS_eYT9A0D50huVLeAm4fm6W500gxtfo +MC8Lrb004a6H1L9AWq@@602Y1u@Vd0088KmR2RFK4000I3000@@x400HY5TT200ks@@E100W +10400010m@@N2uc3GjnE1WgI40@3000Wg_cN2B00m@@EX80A0J000006W@@H20GD_@@@lZaw +002Mt0xXRbYQm9X0w30y@4O@@@30082L000livnqxvaqM8nczmpPIa6l100wB79hhmvBoIh2 +maVFy0FIbi9KkS6000yGL00mcSFyf@FVyh2O00W7@9100cQs3ujslAWC70EoCdZDm87G96vy +a@8h0000ZU00Wi@auQI9w_hYkjMAMqD0081_@VN1bMnsc6SkfAWcT0wGq90010jBoGYg6KfA +300001200q_u3f0Gn@@90ac08cr700040001AhL9s@GYaM@vkK9ga53y300nUUI5jFKIP50G +00E0DabGDuAq7I1WY@@D00006K10W01cPZqAsWRf@@@@@@@@@@JWM10pMPP4NCeJ00eGId_@ +FA00xy000000X8100000G4n@@@@@Fa00m0w0mXK0e8A0IA6mZe1oH008GdI600041100004G +440IWf0GHK0Xy@V2WO10cY_XK1o9a034OE6000a3d00m@@6q1W1f0GHK0Xy@V20m_SG00G04 +E111000400WK1u9a03A6GYH9@FKp@@4z@EL@VJs@pqz@BX@lIv@da_@8j@@Hy@RK@@5v@FH@ +@@@@@sQ@Vjt@JB_@pc@liw@7x_@mo@@hz@xg@@j_@@@@@@@@@kv@VR@@@@@@pt@ly_@7@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@VUYQ70@@h8G00W@@b00004W00W@@91002m@@W100Wu@@A00K0480F@@@@l2CG5008 +x1L0020y@@@@@Z1mU1W@@L9IXw000mfO008DZ@@@@@@@@@@@@@@VF00EO@@t2Y00WWYmu@Vj +0Fu0y@FC00W0_@@@@@l5Sl2m@@g0008u@Vg6qgYLjOG100m@@a000E78006G00020AB4F4C8 +00WGpbB18u12mCG449aU0a2j8AA2IWI4qXd0m@@v00W0850A000Gu000eRCL0204H0m@Z000 +010042008f0O000G0f_W00uF104e200GFOAiS41X8b205000um000y@@98B60_@t000C0H8S +o@@@@@@@@@VB8000WGGE801002dvW00008S26300YK0I0d0008k7W@@@@@@@@@Fm5AVG200G +_A@@@@F_2O6000002e10yLmq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Jm@V4_@@ +@@@nz@@ez@7w@@@@@@@@@@@@@1r@@F@@@@@@Tj@@Mz@dr@@@@@@@@@7u@@@@@@L_@@@@@1@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V200G0_@F100 +HO0001_@@GW00000400080a2m30UN00a00T3W1010G0280040010G020000W008j0O0G00Ww +0OOH06YK0C0010000GqD00e00084W4AD8XV0C00G0Gx26il03H1aGm3H1000yv16_@t000@n +0020080GKMW104G010400200u323_9GY@@h3e320004G00020104OW80y@l1G0002Dm00W00 +00H000000H00eo230020y@@R000HC900ieX1W0G0000000030008Gi6604004400mX59GW0G +0810oI1o108y1Y00100XiGDC00020001mQDI0X00G289KkH20000NpOi@@P0OL3000000G00 +41000f009G0GuYa7800081048e43000010818h43wzOi@@D0000aJZ0001Z4Y828W08I0040 +5WW4080GmiIC08G0Y0W00W2W4DKO010G2m00eGH004100y@FR00GL0000210820411CGYHGW +G08026Ip81200W0W040020W00B000204100H00W000201000000w@@q0BK00009H029aGW40 +G00G000JW80008000W000W02002G400eG00182080A50304000W800G00mm7f100Wb2000D4 +40OW1041001040000C00Y0150G30004W00D00e0a2W100200e60W08W00Y0GA06KeJQ004Z1 +0000uO2200000AW000G0000200G4aGZ1W020001W0W10004000200m000044002G0W00000G +2m@@f1_U0G1K002WW00G1000G20G00000100012900002G0bpa0W00G10000000180108002 +000GiB9aKmO8Z_00028w500010400G40m1H000GH070400051Y00GA060a000XY0NA060020 +G20080W1WaSI300bXM2mIfjGLgY5u1F0_JLCpqY0ul5LDSB2m@I4Uu1_@000@X7LLj0CpSHm +NrYW7_51FyKHLLfIL000@J4W@@J3O0P000GH00W80h0k0k1S1u224m54e2A0G5m50AW9W10H +0Z0k04000i22i054O1u2m2m5m5W9WB000Jm@@i13c1Wbf1WqiaP909BcMGXWG02YWW220052 +210W@@DWW0000a0414482A181KG2I200u@@@@@lYXhIu@V90001a205@@@@@@OeO00u@VC00 +10y@l43jPsbMC00mfeQB36swXWmg8KE3cu2fCtCe6k7008WSxs301000oT0008001W00I20W +@@j40004d10013000000m0004f0R00G0oSJ2020Wx@PGAF6i1e100oM000000404012m@@P2 +0908789W0000000420WGzRfz@@600014O00i@N2zdKteN6yKm6008__gAXv7J8YmhsK87G04 +00000000Ky@@U000HwUO3000q62W96mvX4SC00G4GAXc5bf100H0G400y@V500op50008G00 +GW000008WYUC0800mnZlH00082eD0wG0010A50280000aAIJ00008021WOID30082000XnGt +00006J0000000G0100W00CEv3@JcsCG6e201u@@A00GzG0G0I00G0200818W0Zgc000AW85D +8vrq00W0aD760QS0004000818jp40000E753XH16006000000WG9YMg20000000ei4XJ0O00 +G300m@@6WG0G000G02X0eo0mu@Vg0GQ0000CKU0Ov@@L008Wu@@0990001G6eBsD0jN3000a +40082G002W8000H006zq00890@Tos@@U030000000jq20000Bc60MqI0000000U00m307y@@ +@D5V20004U300@@@@DJdy@l7WnJ020@jj0Q9853S000Sz76@T_mDpsqdj1pqQG6u943k1vWR +0040WC0C8Vm7Y3F1008xXMeoHXyabj4fUlncL6S3U20S50EJiYFhbeEk7k0hbBoJuyz4Y1t0 +0080bDQm7S6y@V5000e_@V3000EN4Qs1u9Kzz3BhR00Wq_PKoCdy48Zd0ydlPxHoG70CKCP8 +000OWA00Kbod00aA7BpiOiPe307s0SZBjD00WUwlxcbED3T0OGdH6yRq3zupmJr90Gc0ev@n +002G2040gQ_4W00001W00004200G00800000WYhp0002400808000iHk121000002000Wty0 +0mmHfjkA31EQ00G00W000REc01000G002N9o00G0000zUl_F600WXWnVm000m2_9Ccl10011 +YdqWcKDerT3040W04000uQ3mg@izrU2xDQmse9CDf4X1RW0000000ui300knqC89Y0000000 +8200W000WW4H8K203008000020000W00C00008500K2000W4oVp00000AXG00G20008rC5w@ +@@@1C10000O2Q0m000m0nkP0G0WfH0Cu@@40WC00000n0o00000430000000X500qhz@xY@V +kw@lxdb0000180GW00WOCER000WuD00uq@nI1s0040WTsD30WXnb4734020i80WWcOuIHL00 +000UP1u@VskMFXW299fW44D00Kx@LY000Eqs0000Hz5o300tv0F5r402mi@WDZV22000G40G +80H0eeS3kL_4000uC400srFjpqP85C3Yzd400Z5@@Vr256Chd1vld0441WcrD0G00GAmg0yY +0eSRs0000G041OtT34400acn9k400AgVC009000800011000Ku@V3048GG010W02HqesX00e +XAx@hw_oWY4P01000W01W@jJG0002o001Oe00r_72mv3Wx@13000J306a3U20001m002020K +4WWD8400W4mJ8PVCYX3iwnDe307002000A18aU3ozd4u200by26041eC7P004300000cGx90 +40Gr0G0q213f_N10WH_i@r200O60000KjA0C3000000WvP0000W7yg20000je50LRyNbmmx2 +0410000eErJ0H00011Y041Y000820001000m00083m10W_tCOq@DYFYD0m3F00000_7046_C +lW@9m10WxdLvlTCEYMbAwb85U62Yl2000mq0G0s5tZ4BJ850ActMeKpP8n_A000mGJ01OkxD +0200KZk100082jdXK0I8OB9oUFs0lD8ky4o_dXv@JOe19wE@10aF0R@7528WW7mD0008000G +020014002s6t000810001EEtWXSDexyG00009B00Omwe4020KWk100140021004G001GGe_6 +044000800001WmpCu92I00Oyt0@O9rxHs3X06E0u@@@htpcs@V002UJsi@N5FFZepWG00WsU +@lwkM__73G020HbgOTXL000Wmg00mRz7cO7695Guz@60014ekGC0Jj0yHabPpoWY10WEk9C7 +S32@l200JOXX@@V@dK4k1zmj1I00Wwr@lWdL1001KuP8P@Z1000Ot300DxdVheFG000ePKF_ +@V3GV70tBvNI1am600e_MgYwr000W00G018W02C1W10001k0m008004000wF_400jUP8Sroj +60W00G000mO660040008WmOp6aWh1zFS2eG2WuyKPLhMMVF18W00G0004001i2O29Vq2000g +v1Kv@Vy00OdjiyC@@@6fB4WDHivK_q0000QJ008dSOkKuD00sxniapE@f1kn0ur3L0008C_W +S0008DC00yq7CnKy60WWcK6Rvr3v000002g0OtzMYtXdGAonK00mjb2bWVN00i5sFbdW_D3S +F0G8zgSsZAph2@CxXqKz3LCN7uM4W@@FHc10000000WD0z8AKMOmWP00uxMpMpsWPnFP3D60 +0G9yIkAjfvnivaChWANao0GQ5WN6GQLT9A6FXnrDupVR000W25008uAd_Ob12000400HkYx7 +00GTTpt20G8W@@F10e04200020090G8M00WWGGa00A000H2G1100008000801020286kJ0eW +0KkMKVi_04000010AXXR020WWlpD0040GYRd000W3Q00mxWgiDeA000A0O00WW000Z0GZ110 +0020W0WG00020W10086V300G1KNu900ylpVjbQ9F9qV60000_@l1Z@a3854Wl7r202000005 +2808000Cwpq0800WfrBHXzU00022T00maYHLLE30100000208008y@400001G000500mQyUa +@V200MsoXlBW440VxdGAl9K@F3nPH28W5W1xl210000408G08H8W0Icsd100W00020oOE140 +00tKw1000OM000nZ65004W9rD00IW0000002GH0HG2G000Y5442001020240100XrR0W00Wn +7210G8vM_H1W00100e40G840WWI4X0W0090G1000YG20bK20H420GK9000008000w3U36fW4 +0KA0@j6544000GW22W804010G980WW00052n0Y001800G1006W0000C00Io36an990008K20 +0y@lJ40IG00YWPG403X0020FG8182I09SZ02m42A2G40W009G205000a084_@N500ieJuU50 +0G0000100129G2a0000040G0850100W0I00000W285008tVL04K0y@lJ0WM1080WY0W0OZU3 +GR40820R0z1OW18y582W1Y8W8W80q3W000Wc030800020W@@72000_ehl2008Fv1000WTc20 +0F000WRfX7MopmCFoCJoCJcCymb9pC9BpbIMcP2000Gfa@310WemvnHDVZ10705100w0a1Y0 +e3491ECI7uTaCmFmPWtWxGc0tXG2C21W04001O20000m0iyV80nO0_@@AFy000WP0uV0OApW +OMu11W@32Um3kgK5pC100_B800ur_Mq000q3@d0027ww@dUw21W40mDQvaJi1WbQ0Qm33002 +0naRGlL901018fy400W0yjT2VQ6ruK64ei1000GfE00Ka460400W000qMj1pUQ0800WabC00 +20001000800@LPGgwR008Gu@@V000m@@@L00A0cztWh2D008W0800G0000G004Y2b10100dS +@mLrI0cl1u@Vd00W020K0100W40G100G0A4421W102W000G00000L00W60200190008Edj1V +X_0000m@5b0000Ev10WQ6HgIL6cFn08002090G0G08000O0002200024000G090_@t00W0GF +iI20WhaazZ2G088800022W4A00081G04xX100W0000320G0G10G000004140xOaG4vF0810u +z@70A20Kf13@@Za800WtCV0004W080WwzD00G0KH06i3F9000ufA00y@lJ00G00HA0000IyM +V30800000WG0W0GxV600A10C00W4000010W3yV206gxUlZwst400Y1000000P00GG0000080 +0684008008G0OG00H02WgvL18I3miUH5Gi10001000W000o44000H08Xp@D0H20G@@600200 +2000900WNe9nk00GXzE1HG122Z82000G0AG4O6O01G4S104v1W15405WO0G1G4G2Wn1408cO +06508I4@94El700AyJsFA800W00080m800000W0002Y00041G08W20W02a8e8GGG002W0X00 +0GG4000wVqWb@31SY1m@@E100500Y00WK20GKA00G90001000WK200Y04000A1001vc0GK00 +001W0G9W2nb4b100r_x480mH0G01W0WGlQt02200e3200W0204088YQ30000300800A0Wm80 +04G009@720W6_T@T200C01010m140TEQ006001e028200W4080200000KG35680G2XWG0901 +080W000820Z_t3mq50j_x40G0G0001WW00082Y0520005W100H2004080492ct0000200010 +108e0908SU3Y3EXKAnWl00Gk@EXU90628R210000108000w50000mNe580e5490W6gD00042 +W10090N9010MjYG0yOT800qiZzt9000p0WvP0000Wvqi400y0Mc60W@10WVQ00@33818PHG2 +A448KG4C8000mG_@F10WvPH@x10A0WM@T207000i600m5O0WAWLWPWn000Z10003000K5O0m +0i0W1W1G703GWWA003W0000J000@0C000i308OFFi400SxVK000Bb60MADPQMQCBZ00@30Of +C20004G84YvW4aG8091988KjAG9KuHbNEz@V8FCpG2_s0YR0etVjQ@EXKeO0000KFngaQk10 +300Ypd@ufDW0000004XKwO0W08m@@j0wB0u@@ek2n02000npcGhK6010004201000ea2Q100 +0CV00WbCeY00881900W2000K001010W010004080b0040000001GW00Sxj19yJ2007wazZY0 +C0000000G20G000W000qgl10400002W0801OPV62Q_4mI70bZS54G1000A000e00401004G0 +G040G0010m00000GW031CFkA0008f500a7DL3EAnNuv00eWECye08000400uGV60200ySk1G +010010000038nzMCJT0iQUKJ9Qm3RI00200080GwnFKJO5ZU@GywH104G002480000082004 +00IEFX2yD000018000W800Hnh20W4WSuZY8820GY0008004100g_t0W00W02400000G0128F +XJg@d1Gs103s7520WWLzDO6U3GG00W0000000e0400000WW0m0G0I4G000uhV3YWD4000KM5 +00Qst900G3010b840a00000GX000W40G04100I00WIA104X0YGe400011W80K20000200050 +OFZG00GVbiVK00Gf001O0WW0uEN3820002b0W8P108W0064c12320e00Wm00WW200G7@64dH +80oT0Mst9082A0080071004000W00W0W416002CW14044XW04031WG1G4W80Mm00G0W00O1a +nz9nU4500020L0000110008010200008G048WG9I04G00W00G000001020G440Y0Wz_V20WQ +YOuYI9000aVH00000844410S08008a8q71300GlW80C8W04XW0W_00G48_221GWDDCOv@G0g +e0iilJ0OL0OcP0000eoCp000W70_l00yVHu5_Y0u@5LbgWhQqr68HgMDdfM8300qilJC000O +C00mFm00HWV0c0c000C10eW34H1C9o3y3u7uDmFmFWVGa0xW89m0GI0918Q6I00yWbilJ00W +p2sp0O2007@d00gA0pCJG0uVg0FyWBSufIL1XfC32U_74ynBV2mT0Wnm@4000V0VUypyCvZd +Ju_64Ik1vxd00W0WEdDukP36Ss0G080@@R00X0WRdVOuP3oxtWldJ0000n0l6K8W1nWRpMwC +a5k1rad0W000m@80NgR0G000G000010GoEt00004DYd0000mbgCugA3w@AXwnD000100W0Wr +eCuEA3wm3L100400W0ARt000G000100G00S0m0D0OmYw9i183000WUF8X@rP00mXvmUWH008 +emU600100008eLH6MCFXhKn0qc1m@@Nj3c100040021CSF3DfQG8zCiVl1dSX1000uKAlI00 +0Gs3CSrl1PFO30Wpkm7@@xo@x_y@jJ@Fxr@lkz@gV@Vwu@ZU_@dh@@_D92zt60hD0phtr1pd +aQk7q400QD@@Lt3F0V3000mIV00em_@3mN800000LN0cXx@Oy_@5m@RHy@L8@F5p@F1z@IK@ +V4s@xjYp@@D0mj3m2uH5y@940002_d4000eM300290fHpR9vw7g_V300xw95Wto2gaz099v9 +KwUy000Wsd00GBPcjzGE00SZ_@7iimP8qVR0iC0CElStwRGm2O4r@3000uj200K6_@Zf@VOy +@@b@@@@@@@@@@@@@@@@@@@@@@@OG000Qao3002GhORG5RI000Wnn80GBLUaKb1f0GHVL9ydc +AhHHIOSF0000YM00Gc19yFC6VVzGA0KC2M2bqTo@@GUfd1PBRGPTXSBc400iokuBXg@IudF6 +0G000010e103I1WYidJuDR3sBhbsuF10WGz@@@NqOH000F9E00KO@d0WKRRDNKmAB0@@@@@@ +gapI5@@R00W9gaz@@LXVsSD1i200@@JOeBOG00000GEQ85DkxQ5WGK0IwjnOZOO5K3000WoG +00u@@@B81640WG@@B18W0WhkKyyr4EHY1000e4600I4AjkZ9100G0810W@@P00WyMW0OaAW1 +r2yGA0K45G5XHBHEnd00X4y@@7004068G5f2mGn06a205H1Kn@@I000aG88Y02H200000W82 +0_@t30G8o0200Y2W1003Q128Hg09004H04H000a84G41XGIYGI1WYe0au@Vo10G4y@@3W070 +gn8gUCOe306Ir0caDEv@@P481WW8G240002Y4X000GW8G420IW0y@V8000HY281000882000 +001Eaj100m3_@t9000a82WW8I200002YW0000Wa822W8I87200EWWJ00000mn@@O00118AW4 +0001X30000GI4E004S200WufS24G20a31f2O00mX30000oX0E008Sc205H18H5164Jz@lS@V +Bv@lo_@fq@@9@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vlu@lh_@vo@@j_@Nv_@Js@Va@@@l@ +@Lg@@ay@7f@@N7@Vrp@FTz@HV@@pv@ty_@Bt@Vo@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lP0400_@d70B00@@360 +00Ya2_Xm00m@@s3W008A0KYY840004A00000000100u@VIY2uai7S200Vy@@I00G0850A_@N +bq3K9S1I00i27fW49NKHy564fWD17qIu6I0E01u@@@@@@@@@@@@@@@@764100fgGIK0a0000 +DQ63I5OfISYAf03Qs9g@@@@@Va0004450A@@J2OF0WK1S20d32003400W00b5n526200Gqqo +b02y01C682H4IF0HXMY2515G92UeJ0uye0E1000e0E7aK1S20W000010490u_J000W000410 +0800m1030400W0f_010uF204e400GT00W45m900m0I58Aa530@@R0006W@@h0G000000We0E +10000uB0WK1Yw@@@@@@@@@@@@@@@@@@@@h3u@3mXYoz@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@Vaz@5X@@Gw@74@@@u@@@@@@@@@@@@@@@@tO@@B_@@gv@dw_@ds@Vf@@@@@ +@@@@@@@@@@@@Fu@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@ln000Gw1m3P100@@78004W@@t00man@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@N0NKHmvn@@F000Ke0EvYWpZa22y@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Nd@@qz@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@d4aC0mRsUiez6pQNnss602008Ux4000Gq0W10000J1WXe0g8F09000mh2000G000 +8000010W@@RG7060000e103_@FXK0e8AWAc3mWSmJ0000K306aKG233ymN19a20502000M60 +CKW10020kDmWR2C04000G00WI0Ie303I1WYe0au@@@@@@@@v@lV@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dK000m@@ +O00OY@@@37oU3mq50@@@@@@gW000y@VC000i_@FOv3in@@d0OD0eWY9BWFX9zD0Z00m@@urx +06nv@GI1ZbY03vsR3Wr6WLy@llbV_@t000Z@vjG80G0000000G00_@l2W810@@JuH9R000Wv +QXG_@7C800000W01088y@F6006H7KGnPXm04x3m@@@@@lAXPW1000000JO@@@@@@FVaY1@@N +10Wfd@@@VT8LAnn3@000@@x7u100000@_F00Yz8mm9UeVYD_@N20w00nnPGVSFa205H1So@@ +H1e30eFk7IaBX6YO8b83I1WYe0ge_DTx0mX@@L1m90GV06q7N29oP020008000n2aG706a20 +5H18n@@ddk03000OXB00y@@@nuK4Gn1W@@@@@@@@@@@@@@@@@@@@tL000G33N10m3W@@94Y0 +0GWh6iWS5m000_@@@OVd1000uCOF0o2086W4w18Xi0O850AY28aEAoX000G6k94IQ2Wg00Y1 +uXi0g8GWAY2eY@@p14HG8aa848Y0XG200W82G8200W4X0GI16m09296068H8Ga5W4f0GHK0O +0900u@@@xqmI1000F1Qm@@M200411W48000Y8kYIE400S0XJ0000mX30000vW0E008ScJA0K +45G8oX011W89000WKovGGg085IW1Pp@@r_@NI_@Zi@V8z@@n@@jb@@G@Z100ybz00GFy@lZ@ +@@@@@f@@tS@@B@@VGs@@3_@ze@@Ey@dZ@@@@@Vf@@@@@@@@@@@@@@@@@Bp@Vo_@Vm_@5q@@0 +@@@@@@No@Vb_@@@@@@@@@@@@@@@@@@@@@@@@@@@5@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@FL00UD_@t6000W@@@@@@C0000dc00m@@s0800u@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@Vg000200G0u@V3G0G0y@l1m000_@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@z000Gy@V20010AZr0x300@@@@@@@@@@@@@@@@@@76knq6002Xvd@ +@130Whr@@s0000v@@@@@@@Ix@Vq@@Zu@@@@@@@@@@@@@@@@@@@@@@7C000G@@d3080WahgGF +00m@@d010GG000m@@9a1033Tr50WQv@@LC_0C0hz0CnWA8800YK8acnu1001mK4C0002000W +0000Q3300@@R3500W@@@1W0000100001004001800W000012200P0WNFD8dY4000tkLX4rLm +02OWWCBO0W00GCe9ignF000W2sm00008008Gk_@120009ac0Or6W1CUe3Z7ksGY@DC00C0m@ +@5DCN2001000IX04GA8bZ4MIr0000OS500_@V304022000Iy8XOHuv@kA2Jm00W00hFO0020 +XxIU0G00000qy0C2fD23I1WY@@Z200200G200040bpc0100004bGV@fIAACS0O20810EVmfk +Ba00o1m@@76bX10202MQy1000iM400cRugU2Q1G00002a00001000X6@8XERC00030000004 +805yuna96000a85090m40acXGF@a0C010w000pZaG5o60o80u@@G0060a2050080sc9d@@V0 +0G100000020K@@R0f0009000W200090000000iT0GJ09ytX400800W8I1020y@@400K10080 +00I152G00G000J5P0f00WE1CufWV6as00008000Y_AmWYEDm600GfeO00082100000100G0K +W00000H0020000CH4W000W000C4A800000AYWuvJ3G400q8aDW00000e080000W400Yem084 +0GGC0K00040102u@V300W9rVj1NBL10G100000N2bG706W0G1000000n000000002MIxHexR +C080W02080401G800805000001w3d40ej0iTg15ouKvgp0W1GI8mM302XTj0W00000S000a2 +W1000OqC80000GI800000003000@@B1040450G0025G000008G000002020020R1080mW285 +00002A08mLJs0002cgg00LLv1FanCc9u7yGmPkpa1H4y00WOyMh2K0G0e2W0G0a5W0WO010n +500pF0N00Wz0W00000I200GC000Y600000008cWI0Cu@V90m10000m000OUK06W0086180W3 +G0WK0I00cVmF9Ly@@gx3foHQ6qJb400Oe3CZm5by00d3G9Sa000G0080G6SF80008bhV2_@m +8dtOTi4oUsWVzCum76_@t000yDtyT210WW9pUurC3UxhYkfF1400GNsC00W0G000GWs6Cdc1 +TQR00b0000G0Z_9nnVI0000w2D3_2m001G0r2om9WF0H00udD300W0U_c1@@d000a04G0000 +00000220084102000W2400XO880W010GY28WM4G02800CG010WW20W040000oF40020GWCsC +OF03G000000800020080880008W00W000000O00010010000aI0W04IYBXJkJeGn7ELC1014 +GV6Om@@90a028yG300m000W18rR30G03CGk1080940000084e7k400evDZ83G010oMB10100 +L1Om3Z90200Y00000b808m000000ofnW@@DuWg70090yIu9b3O0K200W0W00W84W0IA0YW0G +08W00G018002200CW0000EA2001WmOU6yRW1JEcmfZ6q2W10000C0005E63rJQ0040Y@@314 +W0Gta64g0308000K00000YG410H9S6800W040amfb60010OI430000000WKZ04nrbXSik103 +0YUXFXtrD008Y000010820HnjHUbIG40GukT60e0005440A01GLJ608000G01000880010W8 +W000000WOe4011G6zg00410000mMKaCDa1b6knA16Kwf10080I1DXfcCW8000101WNgC0CN0 +m99Iy@V5hYb010W00008800GMFaXW5t000G0100mVKsefn4004000222G00G4f90W0820005 +t00W17d104Gm6gIKFQ50W0800010001uIm4IZq0101020H0404O8G020W80141C0801Y0110 +0WmYW8W0W0WG224G80GW04G8000Wf2W800050GobX0W8e9n36G010G8W1W84000800Y00000 +0XMxy10G0000W0G0000000X000mNG900028RL3W040Kjg1m0040120050X000G018H410004 +G4080000400280450000WS1081006EBXnGt0080W802082008200G400z8c1000Yw4bXfPXP +vm48000000010G14008G100K0008100G1A00ixC3yYK0axM29EM10W200010000fGH051Y40 +W041W4Y0WssIuMM60509CDhARromRg60g0000G0GTe6ilY10830000000OghLL300800WGou +@@7sUB101040040W080img10300000GL2O2VkymRhdKTP27aP0K400800WGG4A00A004P05W +Y0010100024W0000_BA01G20W0WWW10WZQCeXNC026Gy@l12001USDXxUDua43s2kbSVDW00 +000Y00000G00GG80a10WO0601100G910000G092W08001000000F4H2K2048Z5NH6nCqyN20 +00Gc3cXTRtu@@D8004ysw30e48G02C0M00G8i720000X0m0000O12G3WzG000m49wlX0Xm_B +0G80kBpWaTh00104Ge_04028G0012OsWK0CeZv40200KVe1Xy_mJfj0000KG00aW008000G0 +0mC00W11200Mc000WA5WeYpP7N3EorWkcb001000002000208G0F0O0048W001010200040u +0J3I18180m0G0W0f301IK010n500YBWB4NGM0i0koYp0000iY000O_10my3y300iN_qO0040 +004300C@008w0m000W105CLf18600was04J04L_Y10Uu12_704yF08uX7u@3FmCp0mnJ6000 +50Uu9GSl60GW00W20GDiO000tl_GOso4f@@vChxDsbcXilJ0000d410W4mt8ZP30002q3k4J +c5o@@810uQVoxSYK8g0ZPOgQ600P0afk7ZJRmARaCrj4XEb08W0WyjD8hj4_DEXisPeiT301 +WGitk120080800000WYL00mRt6iwk1zpRGzxI80008s960G00j9N2Fn@0000m9mDOjR3Eft0 +4I00tnd001WWTdC8v9300000009400040WWG40040202G1000000ApU30010008TC6V3G000 +WG000002m8h6yTl1808W2Aq04040000Y8000G0000G09Kc_9CgV2RgRml_600W02030IRv6a +Bk100mWtIn0000001eG0G0000GGG002m3_904W1000200W900020002018000G010010GGv6 +0oy1e0T3Ikn0W0007vRm1t6000101080000106000800g6t0028000W00G00A000OvS3c08X +VzV8LyGgwF18000000GW00000800281mf@6S0Z1000ewHr00Y00TgRGNR6SwV50610Y4qWx3 +CeA@D4000CaR20800ZhFXErDOmM3UMWXR9PerT900y6s3U50C20QCxXzvb8gtDE6FX7wD8ZU +3AedXp@Je1U3grtWhdnGaP2mi@90008gtV3kwt000W8N@p020Wey@DOWU30280i3VH008000 +02y@V20400sKu1000CnMbGay604W0OkkD00Y00HY08L86UQtZC@Je7FF00084iv600cM_K5Z +ugU00GWGXT9aQb79yP00G000001Z_R0440Wl@DuWD3AxJYx5D0080mG_9Cy93WpO02qFX9eD +0021100W6020WG4W8gjd12W000G2HMbt004100AG00A00C3D6TfP0008000421DOGje9aZj1 +xAQmDe982000W000Q00020003Oz0000wHcI8MR3G26000I0eNE3_rd100080W8Y020YW8410 +001GYu6aDE90400kwwaZen000zq@@6000a100000W2A4W80W001G1GHaBk1W810U7F1o0040 +00eG441000awKeq00000Kq0e5x4_Ft050W4004001YG0Ae01000K4u9000040I00e0000270 +W24000HGr4fAR5n30002Q300Bdomng6W0001W08muiFW0W000000002YDgDu5UIwftWeltet +VI000yyPF3RSdW02010W841sA1060I00Wa080066NbpSbufpDwCj2WE4030p00W50040O0Xi +3G00051_3W50002OWCwk19YRGeY9y@@600W7yGm@vXW@301Ve3UuG7CpC00W10C0046U208p +Cym3746k1E40Wz700q8z3000eKD004_M5t@@000O00006XcHI2SC8040GWG08000mPmD0404 +0804WLeC8m_40O0G0m0mu503sEoW9zV00muw_@6S6k1A0102Vp008w00my3W10041E38k00G +S1O0G0m8CS6_@NY4uCm@@1Wgg20004W5sJIvxLi@V2Wd206WRc9Ss8DkjJ_73008000OvgVj +Y3wuP4@e0l60a_EOjxn300080008G7006ppf2nJu2S3G800y@@3W008_kl5005OZXwX0W0W_ +xJ0010mvy9qHk11wdmb_FSdU20001gKD1000WxeRGFoCSjk180022vqW@@J0001qlt90Iu0O +FPC0004G000uqP300G008002000q7P600GG2G0Y0W02WjpJe0_40004Cwl1pvR00c8a@@Jes +Q340W0Sgl1Tu@m3dC4@F30008TF00ah@60808_upW4lC0002GOL600900000njV6Cyk133Pp +H@60W00eRw70000U2H200epAgkYNPJOu06gbF1000W00GWpmdXBkbuRU6QfFX4wDG040n@_9 +Cgl1G000100000c0uqxA0Qo0SBF300H0@@N2026004G0EkLY9yDecR98W00q@@3vyd00400W +8005N5oT@C000YCLe4AztWh@V8GC3wwc100e8r@R0H4H06820Zuwnp@64IE99aRGmU6S3_30 +0MAY9UZEmh0Y00mjv6Sll13y9n1xCiyDCltN1md2WKbL9uT6MqV30Y0056m00080G00Wtddm +@vUW000eDG6Mis0f100Jrp0H010Y08000606fF100W8840YIWB108Q4000CC3000002GGH00 +000YTcVOXV3_idXt_JuPI3W0080m008gV3AMt00800020a100C6873L@d000wyGAg8xV3o1t +WzZDux@7410H8218uC_4QTeef@n0SA0GEuF0204OqT3000I1W00040051WWmUuIuhNaY@L50 +00iA700o1@10810000W054000G82841Kwc6SJ@300W1kWtWcXF9ZOC0G00iUV2N@Z100rc@@ +P004HmS@6KEE30W100e00yyV2G080UcnWCkRPsSF00088800uwVC0Rw0y@F384W0QwtWFyD8 +nB9000G8000epe423daLphOSwAYHk2000yW600o1N2WK00008K0W040002000G610800120r +H7LCS6GLLUm3PCZP2_1F4ScnmPGLxF000Mv@@70G404Kl1PnXnD_6W10186kGo1l2000208G +40GW063dAW@90s@F100G1HWp0pF00YC060e08o1d1000W200000600040OrS6s2FXOuImX7K +bg00030800000KbW@101pK6LfALcP67ym34C200uX0axl10G4HM0eWc_t08F1G_ymSUh10C0 +0cytWR_Du9kYAxtWjkb8Jn4cA7fy_DOj@7g0cX4hP8EA9gztWbKP8wK9EUdXiuseVzDE_tWT +Pt8RSO0xg0ilT5@lxqKj6CXR5tepmM_60WW08zn7SD00CUWDDsZnlzC04018MpJEZ@XX7O00 +0IOWyF000W0000H3v6yBk10002ABB1000200GOUBt00400PYnmPlFK@fApzR000WX8dV0140 +0624000000Q120012G08001WGGOz6W800014000PWWPuC0040G0v60004G080000W83G00G2 +0W4000DDL8bK6ISJFibl1fvR0O08000004200000G1A00eSV30G000400002WORw60Y00000 +Wngx600404000001G02000G02801020004m006GM@6Cx@30W50wtl50021xrRGSiF0002005 +00000000v7G000W02Wizd1ZVd000MXSzD0004mjB6CjV2GWXWk6CXI5RvvNI010002208Pu7 +0xG00C000400mys604000Y00GVq9020000c1000000205o4008040mC000004Ogv90008QEC +FA8xXnob01000800WF_DuqyA000nDWX1x_7I2q608000004HXy9K6kA7ZyGQ_6C2l1fMpGQt +L00uzQwtDQDF10808Xm@Gls68J00uKQ326c42002@sp0040eteh0002mOyF0IO1O9N6YfN20 +002440080200001OnS6UZt0G000rxRGLSU002GyYP9400000G008000Y00WVuDu@V3YH@100 +0aU002hkd100Z800GYcQY141W400WG432gY200eEV300H0000010Y080c140C30XK4oxc98G +00em@4000GW400Oly4A8C10W16pI@000k20W2000A0Yxt00840pyp000a800G4288000X002 +0000J1C0Y000820Y000gItWDxD0Y00GUuayCz600010009y@V2a200G0a0i@V2W49fGH2122 +00WK02W2I00I101DAamtV90010004001W0WwaC000a00W2W4nD8TsJgkU3W00000A0whd100 +081dp0000A61200W40000WW0Y03H00oyD6KWl4000G000WG0008My4kLm0Y000W2A0000Xqd +BC000GQrFXz2J0040500G8G420Dln00Wi24G080560UuF1G0W0Vxp0000a3@J0222000WWTh +POLH3gIA4000G00W0gjnW9qJ0009GNm900002e0000081W4140020MY@1GAV0fxR00Q0Wh7b +W3W00002mw_D000WW20000080G100Y5F1000A0GX0UYt6b0000W10801000200G491000020 +I9000aq2020000m100GT_98EwF01WWcK0G000006001Y0@XDRJ000G4180W0vU08G2GP39yP +FC0uB0z@F0000_Fg@G00iRl5E99YdGUl9000Y88J300080100ODN3AtsZfuCu@V6400YO084 +n0o0mm@6qel10my3W000OF00On@40hS000pF00cV0C080P1m19mPG4u90G00001W00003000 +W000HC000A08000O0GWS900Y90006Gpu6y@@6U6m@W700005100CmGK0Uu@T0000yy1sFGD0 +0upVC25o00Cm0vAcGywISBU5fPxHesOKjD600sGo9lkWvV0084GvyISdV2Wo406KV_Tv2110 +0mr@F0008G00WG7uFavV200yZZedgpF9PV@7w@@X6uP0Kn3G2S6008W00G0G8S60200W2G0G +2y9y0l1020000W00e00G000X008000G02800UuN20GW040000800s6k100080014W000W0W0 +qQws000WBHw4YHD140000W00G00000880100028Y8004HO2O08210L3k100HW000004W0AUS +3wn@11000000G02G0yUz3FK@Ga2Ui9E300oNcbo00004040O00002G0G0002Gub6Srf12808 +006S0OG00002101010400a130400G8GCG040WnazI0140OjV602080X0081NO2@d1WU50HFM +10G000008G8000000Ebk100H400000400C6@G2Y8X6_B2000jb10Y_VzG000mGtFCQb70W0X +_t_aK@F10XPtPQI000G4900GLz64PQ23rRmCJCivF6009000H0W0008xy7UvE7WsB0ppx10W +GWdwDWC80m2t6yik1brl1008801001OQGOu2100WXM00Gp_O000YW80000GG010000001000 +4jIX10XC0cvV300O8002W00I0a3eA@yx10WCC0G805vB1110H00000eO0000O8m04OJG3W0W +002c10000HbI9a@V56WG0eo400200OGpMAcV30iA03qBnX7600C00082000G00YHCYGW1eA1 +0G40G0010W80008200hqZ100W100OWNhk4000K_804W010_hpWVqJeSK30W00020WW820020 +0Ge2005Dh280000020hPW40WDVW00GfPQ0082akvU08008002AW00H3sg200GmkwyOT@P0_Z +002W18pV9044080000IK00002WGvD00040W00XniD8u@D000200Y0OC3aous0B100XUB100Y +00002000802008081W000000011nG0@hR0010WPWt8yD30W00y7CC3uZ10Wc4a901008GMb@ +150W400102vt020G10200W000Cwv9FzRmWB810000ew0mW@RG500OBS6w_tWWy31W100H60Z +jtIud@V0000hG00W8300Y90Y@uV0008g100G1W106030006000H10002G2SC46U2@@@Ww14J +w1000860cQ79006LF_Z104G00W00W020IEs02C008Y80k2q03000000e050eCicDdr7oR@F0 +mP0OcULkBN50G005B230G0WWlnGB00mo1sasDLH_N100NdVrvPvzDIFdX3pteXw40004SeE6 +0ZS0U3Lblt81054000008C0020W8szsWLV39fTF0000IM00eu@4U1tWZxD8zj400001Y008G +P30H00iXV228W0EntWbuV0010ORR60048QbV32T9XLuhuwR3IVv14804jiR0200000pj5mPm +mu9q3k14a00ciE1o02000400WG0W0H00000000YcrYDuyuA0002G1GG8I13mOWWiUF900X0h +vtWJyPG0W0m_@90kd1uID3000002004001003C000GGvvb0W00000O000W01101i8k104480 +00O0W02CIXAI_tW3_PG00WoV5XCzV50002W0200000E6S3Y@V30W3C00001008amj1xl720W +000W40JTdGguL4bTB00c5lgtWz@t00H000W0WTZb00W0K_BOG0108s_4wkI8XyC0dORGD_O0 +0n0ugV3UzEXjrzOvN30400Cml1Y080IOtcCkP0k00G@_6atU5xCRGs@CKyE3RllnQyCSHHBT +ppGH@600ezvOY4s0Z40W0001000008K2@6W0G0G8208G0GG00W0020WL_g8__DMlmWTyP0C3 +10Y040WY80niN100200mC0G40008004nc1G008EDFXmrh000ma008aAED00020824WuPh8CP +Iskt0000ii70CW000000GSl_AkxtWwwDOzw4wRFXamhW0G01YW00Y85002o00Y00i@l4W200 +wlV3040000A000100010OzU300qrJ0A0260000a200092ZQ7ogrX00001806200W8W00100B +1wXhYox31000rYe6008100000mG1400HWW0Ae02000031OPvGG4800008y@@D0W0018080GG +0W200WbFCu2o7sGR30O00NiQm0j9akc1MXW00Ce0S_z6pgd000mW5yDe_VFWG0GWA0000GW0 +00010GL4t@p0004e9Iz0010uL@I00mmTAJ3AUlYPmD0Z000600000H00840002020000018K +x@O0008pD400aU1021004u00800b00208Ew70200O0a2PAUCg1m00C0040W2Qtt0meEPEW00 +04A0G000OQLFA3mWRhIBMU625C4G100000eQrtWi@91008g10mS7e300OF0C00000T000Y2m +znF89000n00mE@L0008k803C000008000Y000WqYK1Cm1O0GwtLyOk1jtc0H7000206PRl10 +0W106vL0000uA00000o3000WkX6Gv300TQp3O06Wnlhu2p7m00WD8k1000H0m03yTxCBtB1W +00ej@DuK@4cml2000yE700g2VlRi91006uY@jqNdA9kj4Ow1W2nVhfvDQ6l2000G_300wXlb +OHFf3y76aE7000000_HQEadz@h000H0080020409ZRGMt9qcQEWDM0k_f20040Vcd0000uJp +D0G0G4800eTtD00014W00e90CewV900W8ahU23hRGwQjCbq3g700_Q730220@@R00W1Wg7Lv +WO3cwMYUkp100S_dbU4HF300204880020G8WU3Y4tWr0Ju@VKtAerrrH290000002W300NDy +Vh_sq3j100G00G80000000y0Gv5Jkwl1hrd0E10WNEi4f0001000002000Kjd3nI00G0neR0 +1000mgF0D4it1mdmT00uW5y010WqmF90007@16C0810dTZn1_OKLl1n@d08i0WAR_Vod@ZCw +@7d_lng@Nyw@4p_luKvsuc10005d_F3mY3WxA9vyRU0m30y@V29dMn_@F0040E_V3000o0r7 +00000ZZ10WVyoCf03AGsWdErgLV3W0004O@9jfQmU@F0Cm18BWG000W00WGOvT3kUtW62Uej +QFW000T4F3Txd0400XurFHI00GMxI4wz6frBnQrXC1VBB@B100Mq9Fw201G00400G0000G04 +Mbz10G00BsV2GH0W8qh86z7wyFXTsDuJaD0G000800G0001080YxsD0040000801400vhvn5 +x90400u0U6000GIA00uOw40004ytl1jZdGO@C4w_6Nlp04Y0043G0000WG004H020000G014 +Y84020000WoJmW40801000200XP@P000W00GWWP0C000I008008001x_R0mGX00GW40GG200 +0GK8W100000W040009G00000900G8W00W00@@F1W8008Y200410W018gbV3YZdX@kD0813W2 +002040WG40400000X10041414G0WIuhG000GYT60W100010W0000X0000220Mkt000080008 +u5008000eYT34003qVl108000I000000I020Y02001020P2OGAfIScl4PNQ0000YK6C00010 +0m8WsyJ0010W00010W00F9OGk6O010G8F@400uaB000G80WY000WsMDexV30080SuU500240 +0Y1000300840410002000004Ap@110G000000G4Gy@V208W00G08M@@30820QzdXnrb89_40 +ed0000Weq@46nt00m80Y8Y000000W8YG008Iv@90001urT62zl20021Xtp0000n0cJeylDsP +eYnuJ0o00Gb06000G4Y00m0_60012uq23ocFXZmPeBS3YjFXryD8ic400W002108@V3005G0 +80004000W00YjYbeqxJ00WF00082102m@@I00GWW8Y0Gv@900H0uSV60W00qll12000Yz@1W +000z9O00G0ab_DW804mdrXiy@60aH4pws08000nCO00G4W8UD006Xu8_6000YO123a000axk +120100000004GuwT30100KnU2000S0GW4yqk100Y8wFt00W400a800100iXE3G400gUF1000 +GFVBHA060000qc8200088000200H000Y08210G4000000H8E0KW0HY4H4120G0000W808I40 +002F1O000W000W01EO00G000W01xfp0840000402004180H000W0Y108OY8242023_RGa@O0 +0C0uGRC00GI300G460We8W0WGAC0000000eAeGY00W1L8200001001000H0000W000G4W2It +0g0G0tsR0C00020000080Qr8100WK0G4o0100G00W0810010W000010GA0bWi000Y4000WG2 +zF00fW4W000Ya2A4KA0XpdGzy6qwV2W5G0_@l2000oNMAHWx60n4000280G0e900W000W800 +80G00000I1GII9alY100m0W5KWS5Y10H00sUs0040M@@@0G4X04150010000HGiI_3000Y00 +400000HG0GmcygG000000K00000W0205JR000301008RJp06WW0200G0200O000G000200Ga +0002002084886MY10G048010000WiJY13T9H6J900WqSpw4gtE104G03zRms@6K@j1G00000 +060041010002GG044508800HGA0BW10eb73_@F104900000gXn000W000010G048000P9z74 +K100800e5A3UnlYF_J0qD1Gux6ykD3G00200A000I8a500000W000400084owt0000ae2000 +Y00X0D20e064GY0820G0@@p00e_6442fS00X0000W0040050000WXK0O8Eh4K2000fM0W000 +20E0020R1080mW208ywo3208G000mPG008SL9MvN2001aG00m0A00y@l1YWe4008001Y2100 +20408YeOyen@V00Wc@@V500030OW1W100ube4cS9100W800W@1G0FuXe@p3HVe3W_F0y000e +gg_@F10O_10Gq1u7W0G70602000C000Y200f0O000Gd@@P000Ok30WO4i70E010006000p1O +lh7W000WO000GD2000400080G000Ect0800004000O000m0m001Wnrx60000400GC200G1WW +W2030CWEW00P050Y0A04P008w0a100e3e0iCF3YyA08w9AuV0OGN00GyQ6000FJH00004v@0 +xdH5008000mybIe9D3I1W1L10000Wg_@F100O68000C000iUV2o000cNpZxu@100YS7Tg004 +081E9A_l200802004I4c110000014QjBXy2DuKk400020Y0GOwE3Q6mWlvO0On2GfSF00000 +208Gx@64pZ1@knGCY900X0ub992CXXmL89rV64W00yWd180W0Y@d1000CS000YKCguqDu3F6 +0G000004W008mJWCSp73Bz9nRV900Wl93F9_Gp00000T1Pmha980100180GTZFSE93040010 +0G00808DB30G0GyPj1dRdmwR6q6u320Y0s28X_tIOuG3kto0GQ50jmb0005WTwC8Vm7MjYX4 +nI0G41m8NLatc1TAQGjW900Y0PPG30004iIJ2B0K0280X02P0100000WmTuC8nh40bv0q873 +00040800001GWWK4084024804zGO0090400128W02001K0GG800400240840G080020W00W0 +20OwG608204ie1G010YvoWGgC0000000AWH8JeED3W000Soc120G0_@t001207Do0000I330 +02002_@t003000G0W10000W10102000001802200000G0W01000046GI@60200egV3AOC180 +80@@d0801WdHJ84y4oTCX28Du@V6_Z8XEBJG010mYa600U00000000Qc67JOiC60G0X00G2u +5T3EEr0G0080004W0G80920020400GW5GW000010wepWOC3fGbJsYd1000O@@R0822W@@h00 +H0080020010002061B1G000800000080WWW86k7YipWHwbeioAW000KPt3zmj1008El100@@ +B10WK040000004900920410002000GYBtDuwC30GG0ief176O0008Xe9IuDpAW800a079X@N +10Wmhkug0000Iaj6q_P2z0c0040008020W4HwZq030e00008000WC@I2bE0po@X0uC0Oaq7_ +8DX4JPuQD34020000O40080a00WLPC0040000Cea0J8iqA00W000H0OYG62A33000HZ5o008 +400080Zx@GgX60001WA20WfG0Hg010G400c6q00Wu4800800000065000G201242H0002800 +02000I80WWG0I0104000N4MHMH94IH8lL_0K000010000qw@@@1e00000001C20000WG0820 +0OE20000m80000G010H220L00W020C4WAe8W010WKG2040909100002400800GUdL2G10004 +00wFsWgtC00085000m3Dh000808WK00008W2311X2YGAfeWPA110000m390@@RmxV6GA080W +G00040000o0r4QG0l90A0510G0GwZ600e0G0410W30gP9D0K800810000b0JpMnR96ihB30W +0WMV430W048I0084305xc1802Y000mZ900u@V304G0qzf102200o2080q0eat7W000KGX1Vg +Q000G080020300W00080021000maX6aT730200e000000C8RO30WKm20000044GrZOC0Z100 +0JcCr0Q0000000004rinB312O0000081010000080WC7S22000Emq020A0W08WfW000H0WW0 +G8100G801e8G40G000m46W1hQoGTi60G020W21GHZ600000Ga0GalO0W20u@V90et0Crf408 +80W0800G808Pf40H08qgj1xBR0I40240948HIW8040G080umJ3m02Yqmi40100C0IXWC9800 +812002000m00G0GK2070fM0u@V900P2W00028000201010W0e@0040Oe000WId00mjtC0a20 +G00Xoaj6qay3r@bGPp6GGW008m204100W000G40014000I00uvuV_@l200GgtvunGqFW8Y00 +W00W0400m2010001M6s001000Oa12RrW0dPezP3a400WO0008300W9G600GI0u00000xb0t3 +@@d004P00GC1Wmy3W00703008BE30N@0y@V200W3Q7t0c3004T000O0O0G0meBJ3YXB11W0W +2030K0600Wi0I243a49k09IS1uYO2a5G1W9WICycSU4p3j100XiN1m04WgVD0K1000B0W6BD +O@33mC3Pe000q3F8eChIGPcXWoCZgy70LfA0gIL02mpO5D3y300mlBD@g5FPOT_G0020018G +u0T30W200W0GexD60zJ0y@V51FQGe0OS7i1RglH_sCSo@CPMQGhe9WS008vuA2t7300W0D2O +GXvgK4O800020010Ewk1ToRmENC008NPeUUUAjYjuDWY040024WWnDuqA64A00yGz30C08UC +NYbTP0ee1GUrCaMk100Y8ky2Z4yJORPC002400Y80G00000WX3lD01000W0082048010000H +8SHV2nx@0W80Wl@J0W40Gip90000Xy0001108G000rRPmPfIqyz38020UH@X9wIe2@4I@tWI +RD040WG5@6028W000004P6WUbIuEx4c4@1000GjHRmV@600Gf700440W0eObI0400mKMR001 +2eM@GW02G0101070WW2G0Wr@D000YHevLCxF300m0_@d1000Dj@d0GS3Wu9V8PP3c@l20080 +@oRmz@CibN2pAknOe64Qr6LmzGy@C000WbT00HYSRaDk40001tX4fhA39qV30GKG_AM5pw7o +gT64hz9Lq_3WT3WU@hO0FCgAXdoSj10002W00WmoJ8F0OsnH52000LRBnCtIyUh19Y@000@t +lxDe8f7_UWXk_b8XT3kYwaSuD8iV90GW0iul4LmPmafF0o51eOQ9AltWdoDuhL9020000001 +000qbVX000W200GuRsCSs03PyR0100WnrJ00GH0aW0200WK05W8010G1A0400GG0000MB001 +0200008IbUo6ZS0po@gqTl1Tzd00082011H82a200O0200000C20802000sN0008G404H0G0 +004HGr5a00218IV3EVpWOHy084eGy@90O008aV6wTpWzjVOqU3Avt01G01t@R0mG50KG0eT3 +yGWavSxg42800E_CaiPDeDS36MFXIyJ00006QG0WurheK76UnlY5WOO6bD00030fG8Y440Gq +dF000Afmu4gVF1mM0001CS0W0004020000B0080W12000Of0I1000aI1020GDqCi@T8neIIX +u81GS0uKrD6nS30Kr39T6ICS9aHa17aAnB0IWO20Wv7W0Y9030G02XXR0000Ui300FaR0008 +h@ubesqAc_tWuNifU1psmHEWi00VyWNfuCqNi19WRGpb6qjl10800000Wcf83ZcMno8daKmC +jyVIahI48GBv@Vo2aOKAU2FiR0480W3zDW000G3u6agl1WsA0w9u70G80VCNHgz9CrT2nXdG +dpRS1k1b@dmdx6mQ00uGP3_2tWCtnutv724L2000GhqR0100WTwDW88WG6RFC4M50002ARZX +ksJ0G00msv6008G10280G00umdVOoT3YCLY1xJ00W0HAyL00W2uE@4Mhd100G0dARm5yLyqV +200X8QOu10000W6C0_@F1m000Fzd0028WYzVez@78880aKz600040068yOd1zH7IJtL00010 +0WG00000000yy604W440yJW1vElnypa00100W00n1HyKPl1txdGyz600OLG404X80801410x +xeraSa4BE38200a900i@V22QK002H08Wm00H00W8G0WgOfQRbM4100mCW0u5z7OF00yOY7Na +Fp6QF0G0085cJ_6k200bt1_d0004m4MQPi_DMGZ1000W00W1thFanEC8DU3G400Kyd1ZsR0e +dD20EJ40eK8C30082C0eliYwrV60002000W0041G0020p06mIw600W000010000Cz2020001 +wMQfcqJ009000W2W9tD8vc4UiN210100G41051A0880WK001020000bC0000q4KG10b00400 +00WSQ0G0082W424eY75Agv@7g2@X62DuPU3000W000510G1rmz9Szk10JO000000408ADR3Y +y@XD0D0800m1SUq@F300G4pWt00W000000T040CdY1@@Z1041mesP04000K0240008S000G0 +50C6l1m4G0lvtZcpQf9d4oLtW59Cu_@4wjlY0lPG210100001001t3o00WO708408100_J39 +000a00WGGA0046E321WIIWJYiuP0020f2000WW0zvnR000040800nmb0OG5050009oPmHmOW +810O0Ep0G00ObL0000uVy@F0U00irAd0004Z00000C1GOuCa0N5Lmb006010004000H000Wn +00021gGC2I2WOuI00Ga9000G10We6030sfK208o0h5MK6xd000_70t@70ymZ85U0CL3Gwd6K +s43jq97400XKpt8Rme2b@X_1s8X_46M_XGwV00mpmcRsK_@3dyRmn_pCik1hdpG3vIifBF1u +IIlu6y@V8W002IxTZHcQ9py4sAJYVUV04000004WQuO000010102G0G0Xep002008200pjOm +5wO00OMRrvDkXsZIStuUT3G010imk1Nidm0F6Kbz3nw62Oo2W72i9hNIcoFXZqD0402W014a +9oVOE_G000Gw300eLDLUg_40W00rkRGqzI00028xX7W1000G00O4@AY_t000PxJrxn3gcTml +70d80k_6fqxD000GmDDE100Y7M00GtQ2H40000800100nIEJeXsb00eIyJtRzlIuz6pCOS8M +200IDV60001jvp00G0W5vJ003000G2WoXN20mZrN_syeE3feR00Y0104H0000eA0oWOiM2iD +0rCfCy@gAZcBHAiCqgl11_NHt_pm800OgyMc7814204@@N12J0mRfT20WEc00400W0G0A000 +0406khD9zR010H000Y8hPG5Gx0WF@JeJOLQa730W0004oI0080a076PfF3000CJ190PpnG9z +g0W00wb_7_d0f6o7300J0048WgtCOzyY0OL0aDN2ZBtIuu6y4F38200W000KuIK000m5D00C +glG_h00000FG10X7u00mrvCNX_9G0000b20qmDI3zT5000UZ300xyMNpud002000WcUdvTru +k12020Qi7ZYt918R0Ge@KbjE39xpGGz64nk4viBHNx9azmI1m@G1_CCkk17ibGB@LKc@600I +jt9ag4uV8inDwyVZ0vJ0KY1mpNV2000l8@AQ5Adrnf20H9Tk3BLQmLWsH0sk5C00200020cN +87000qA000Av5CG0008000sX8700TnfuRp_u6yudAzup3802WTzrQFy48040KKw3b_tYA10W +O@j9SOL000WaST29kF30WUtUoHQx@A0e00y@l10600cstW0URv@V30Ss0iIaDfJt2K00WJLo +1000ja10W2zd1410GERg08G8Y4400040WuNi10W8V@es0WI0010mGDO3dpc1000WRzs00830 +TzF30006T000F@3pfz6G600uRpPYAroJt52mo3mUgibNSBr@R0000K_000XMm9000000gk9@ +3sOv9C@o9P@B1Wj5WlT5rY00mEK@SDU5@@p0W02WGlu10GhHJOW1000SvxD_ccaa2cPnQdE_ +d4B100FxpJnx64NWS1Ts_k2@dBn@tQyVj8@Fho@noy@hE@tAq@fpBA00VunMTAf0IpU8Vc10 +0xvLqMO@7fm@NnzVLU@F5u@H9_@Ja@tav@BX_VIg@V4x@nnJxLujC@F300w4A1_@yf@97_4a +800KblPzizGYt6CyF900ULwb0immtuXzJ0Yc0iHFRPe@mv_a000W6u00GJ7WbQ_63@J20W0Y +gl@Vwy@ZU@@dx@lv@@@@@@@@@@@@@@@@@@@t300W0400WScK4080m@@v0at1e9yzG0104Q69 +o600gCVigmUu@@M00qc_@@O9mP052000400Zm9HhTRCUd1WlM0_@l20400h4_JoQF0G00O83 +FcCi240000008S300C_7O0080000W0066000WmiULajd1B1A100800100006LZu8g214wz@7 +0DA0CZ@OY00G000Wife100003gi5000qa500QpCj60D00W00021W@@L10n9wXBf110040G00 +0G2WM@X14p1GUcV200WMZ00m@@Z10W040218I0GG041W006000H0SxlA002zIpmiHAD00W8m +Db6KuOB0MR0k7rC0G0041A0000A04f0expD_@l27200RuOsSD600040800GcGaaKmR00020K +0A1W080AW2mJJ6KrKBWOD0_@VC9000W28A6nrWa2c1z00m@@W100830000041WvjC00W4000 +00j000@@V200sw@@730g00@@3000W2ZSP0000UG10W@@h2eb6WLXDJ000424100440A0000W +A000Z0u@VL0F00y@lPT7R0c1uWrhDu103_@d400WG@@R6000H02007DRGdqd0000x@V30mo0 +qsc4z0aGP0Iypr30I00_XEXAhD001GGgrIShs3bNdmORC0002eFE30G08yaS208000000JT0 +08AxGc3B11W00bubmRrCKPD320102C@XvwC0H01m@@U0W0083R34W08SM63006410000008C +fWJ8012Cct69wTI1xCinE9WF20_@t900OG0008cVc1G440FBmGTu600G1H00H0I10WW_C0I0 +1GVy6qrt30024000mXS00OHG9YzZ7002000G040006jU2012000001440uVT300GWSmU2080 +W04W000019Jm40800ysj1008000W00G0000WLzat6yvz3xUp0094WllDOyR3M@AXK0IO2y4G +000W0000m000O0WWKzJ00G0CG0000014phRW0W00G08W000O002000W1W0021W1000530DyR +0000uv5J0400000061000WSI0kOqWHzO0000uMa90000QVC34001yGV29Qa0020WB_I00040 +008Wx0C00001O00Wh7P8rS6800000002009mpv900W08uU96at0000a47006@xdcA5A53F00 +8CaQEUZYO0102WP43HaR3myw1cjI5t@d00006v100zmZ7080000100202_1r300oX1sl7400 +WPKC0G00m_dR00H08a2yG002C@f140H2YSr3P1009KW70C20X0WK00Ie8582G000WI00m@@L +000Wy@Vy0200010W20W0WG0042028000200K0ashV@@@000m10000M098C080002WGHCWIeh +R8700u@Vy4f0W210WG000X00001120G1W8_@l200nL5pW7o20022mB0820080W0W88I80020 +S0Wmah0GN3GdodKiS59GpG2pj000gIa7UWeFy0Lz70ggg3KLLHxX7WEDnA000G0D00u@@w00 +e0GW00WH5011203W60405080A02S506@@l700mi4i91Cc0GzsTbmT2t6B10W0WeuCudP3800 +2K5k4G004000GgP00epRCk4FX4vC0O0WGiSFa5N5jM@0020010K000W0gYs01W00nH@00080 +0X00xGBHDL600W000GMg0080000Grnbm@@F800Gegw46ccXevCG00G000002800T0Om@@900 +60u4y440010G4000100W10WQxCutw7002GCOj4BeRWW80042000Nr00G0000210010m3UI00 +028kF3EesW2oJ8bB3sm_XRiRPrQ6oPo3000uXKappR9006GuRl70W00020WOOB300eG02800 +0G0420I100100420G00000288R1308e004410W000000GW28e@@R0WG0002000008Awo0002 +RHTpmqxp00G0efS9ATpWsmJ0W00841020000W0W80004002W0102ZG0408W00GW20006G028 +WW00G009000002002G_cFXh3C00d1u0zCCGj7nobmV@601000040uyx90W208c_400C00000 +00m00020O008000401aG0100010410060080Y242OAe202W00568001W060W0K0W0PW4C000 +0000GmW0WW0Wx@D0G002000IQ200h9VIYx6Co_3208G0080qJX4000OIeB100300W4000050 +0808ne700080e08O7U3krBXz_J000W1000000Q40100h5uXnyFvD@7UqtZuwJO0_409W0004 +CW110004006880W010UD33WS20Bx@pbNa0800eav70003048XCso42YBXo@b0004Aa10W@@v +fiwJ0408W00GOGV9000021C2OVV3WG004_V5006WYNtie1b0004W40040G0G42010204000a +exVC0VL0y@VH5Wx1W00045507hPm@@6000m2G8W02m01WG010WW90Y2000G2uPlA000mGO00 +8aYY2cpZfKCu@V60W0GGOX3W02m0W2U080W000O1kB9Xs@V000SzO6WL_V2210fw@dXD0C85 +030WK2Sz@60_O0MstC0010r4nmJH9SZf10140IsV3U200bEcsIe6aTE3pXR0W20WbAJuyV90 +0qXdwXPW002IFsWMuP00a00W000000A02000008inE60sG0MsVCW420009K0000000Mu@V30 +00qB68007e0001001W000aiO402OK4W1nhB1V00WEJF91E9IUl50kJ40000KG10y@l10eR0T +0002H4wm10yBO1u8F3uy65GL2@l200m@@@B4e00WmoDOtVF0S10000sx0P64000A0@0G0x1W +0a100i70HdZH2W2Lyl1J433008Q0c1004H4qD00O_H50000WVX5G2200b@N1c10W@@ZAwQ6I +WtWuhb0000000mW6n2100000WmamlJ000XmJ@6yzR2LYpGYuLCpJ8NXR0A00elwD001000G8 +00400NPbW000WNtCG200ohG6W020G0000201WisJ0eW2mwu6i1k1dbdGpv60W40uny4aG00i +QU2rc@000800402@apGjw900a0000WGxo6iIN2peRmrv9ynk1jxR00001810200042O81000 +Sy700svt004008GW06iw15001fiR000OWa2y0020uGmOSC331nR0200WgtDuqP300000W10e +YV3E@N200i1HQTItogqqe40G0W00020400W00000a040GW800K100G0G2002GG00002WJ7D0 +G040W00000GGX_n04000mkE0zq8Hy_I4Zl70040800WSyH2jqRGDz64Sk1004000G0LbV200 +44004CX000G405408021W0WW8W00K00G808G0G4mcS60040008000W08000iQ200gys000W0 +v_M10W0YV1PO6z7GGW04_E32000008000G00000I25600W000W00W10020000G00kjt0210m +4HHa000W0OG042W02002448008040108404101A00GI@6G00008H000WFbr_PevV3Qy@XiQD +es@4ULa10002PXPmjt6iwD6v4OGS66W0102040nq@6G2100500008W0000mhuOGJ59aiE30@ +102pF110000080wutWgl2fTn78000T3d7RwpmVw900W00000800G0000Grxd00W0W3BJ0004 +2000MI000G4HWIDlhroD0004Kaw6W000G802mfw6004O040a001080O04G800UgF1040W080 +00GW00000001tn@@9004HCNRXw3SZRmVG400W00000012W080000G0WW0850300018Y018SV +3UZq0mB502300s9WdPvK1004010000W0A20000030W020uYH30000Ga1000020Q000420084 +00G08000041820GBj6e1008_SFsBl80000020200W12000010G0200026X0G010810L006f0 +42041O0A3JmKYW0CW0020XAO145000400080448O0000I2W0000p0GTB5bXF60040d_t0200 +080W04O00A202e4U3410a0a0000J41820WcuDurQ3004G8282240002000WHF00M4H2fWdgD +te@s70W08200000G150I00000K09200G000A41WP002fW20A10820AG4100000WwH6385020 +1410000aq10W2wv10G0000HA0011W04180108100u@@D0I10q_l182W0Usr08000A0000WHW +0088002W0000C000H000H00600A00108800820006B@@@3010AH120048W458Wq@890G0GUB +r020X4000n00Wuyig1W00G0WO0041G400148Y000GW0W088001400000qz3mdGv0008G000W +003011000W000W11882I0u00G8eRq3i10G8042W00080W000100000W44080000I40W00240 +4Gfn60W00404eG6t6uR00evO30001z@lD402066D102W0@@NXG0000W0000G8002000O10W0 +1eGW50820a2100We2028000iX08a0C5m20Y00mG00082001200GD00004A0000cs00D000k0 +8cvAI4F4WK0000eM0W040002002Ga300WOoVOIO6Cv40000UmC0yl@00WJfP0G00006000Cr +y0K50@lc12050@@R0Wy300_700KLLyF00egg0u@@k4004A0P001S140I288GCGGWu2W0n4WB +YBGI0L0k021x142f0a0ZB8901WR02140000200W3S00003000606xpQm@@p0m30003W1060Y +MY31008200uE03i04nT18Yg2mAh40uv1Fhi2NuMboKM04jh0cHV10tP2W1@KmAMXBkoW1_10 +000mS100Wwbg0KLg0uV0eA0ksJe@@n8ij4IdFXnfR1Gk2GsMRirk1p3@mGuL0002OOS3_3tW +2ybeki4knV380G02000W009aGE3000OEkBXSmJePx7W000Ktl12800000W80008QU3M9F180 +007cdmIL6yhT5zgbmPsO0004000W000GWY@V00G_KHwCiSV2h@dGct600108f03MMFXMsJOx +x7G000y6_60G00cqtWfanOjd4oSd1GjD0342JUGIiwd1t6PmR2Lysk10000H000qzl10000B +jtWzlhuwU3wRp0000Ce4000000KDk1XImG35R4iE9W0080004a4k1LnPm7_602X0enI3Mkt0 +08100G0X0W0i08GW0404pxz6020W00001000G00G400G000eH00200008820000G00fna020 +0WChJ8rV3_E@10004r@P040GG0000nPQGLh9KTk1001GUYBXjuC0W00Y8000000mzxR08000 +X01028004WW022W010q4GJw600G10001000220G4W01000xX0010000860100080802040Ul +t000G001006nWXNnbOV23kid10G10HWdmP@Ci_V23sPmWzFqTW1rklH_n64zF3ndYnJr9qkk +1bmR0080WRuD8e@7wjjY5pI8_@7g2A1800H8A00_zsW5xD000000WHdUtQH400GT_6CMU5lV +U2G00WWUn0G00KLwCiYf1YpN0_@dazgyuEy4ErtWVv_9yE6000H000W8g8Co2GYRZ3fJ1Lcq +LYvZDOrC3URyXJu8PIMLQOtWiuD8sI9MrBXOQbe9G30002084HqW00000W800WYZKP04100G +iC0@@xnjp6000H400WOqp6izi4F@R0041egu91W040000n8uPeSf40082aDF300400030000 +0NN00mz@Xa5W1Ryxn8e60010Op@4gHM5000KByd000810800000eQdC100IJXH7rabXSPl48 +200cFEXOnJ080000W420000WmA0A9@am@9PzR3Etp30008L9B1G80000000018G0024UE30G +00000m7f09NgXn@@L0500O_RC6qq000108600M@@XLbC020000G0eqMD8gT3W0G000udv@VI +0W08aTG2X@l1W10WZzCemq7IxEatuD00X0W0001Cn00Ned000W9C000W1B0QgE40W000W90o +u@400FW_3F0I_E7000WwkB0000ThRt0000Klw1qT0004nhl4000400G0000H8000iaY1BflH +EuC00200004400W8000W000H902020L0W08000K1008A000iOFrEaXVDuaA3gMVZsmF10P00 +00uV@2ucp@pWy0000C@y0CV0Yqp0@dP6ggg3CpC0wX70qX0000o7W@@tGcP000EOci0COH66 +IuFg_xz8fWD_@tcGlJeaT3olt04G00JYd040WWF6ne4@A00yw@rRNfOVojyU0Sr1O7Ud0018 +KRF3dkRGgT6Cok1rABnMu6C2E6000GaA00KOlJ200e40001400fVT300W00000I100000042 +00m000048C02800g_T300G000W0110W200G01010GG8042000W000040W0000GG20000000O +GDUl10A00g2R3000WdoU200084600nOR002800W8200W00G108020010G0020010G000WGGG +00iNg140n040008W00110080088W0G020W000W5Wm8G214H01e010100Wt502as904GG8510 +W280040009200H4000G00AX12Mjt0a2W0082G11D00400G00020004Y0W801008001WWW040 +40Ka260e14X83000WO4W80000085E004f03ZNBKv@6KhD3fzR0020WFSD0100mKp6W000011 +0010004G0A3xRW054048009WO0828G80G00020000Vkw@3DtN1000Y@WFv7z70G00Tkg4b@R +00W0000409od0010W5ACeoV3seF1W0000FK000000022A5iMAt769840W400g@F100G040W0 +oYt0820W0000482W02040G0000202M2108004010101G00W000008KG008nPDJ1QaC0l1ftd +0000J00W0008000Y00000400020G0G4W00G80080G02000I008100050000G0G8wvt00A000 +00000aBzMV2FAWa004WgxP0080mQU900W0e6x40X00yrl1000W0020_KX120GW82008H0000 +100a1G0IW0100000mT8G0014002mAU5juI20404Qxd1mK00W1000A000800100G2G0W012W8 +u0004200008G40aW8004AK0G0GL02W8Gn8006450C0W2CAG4WAtcP012800Y10m028wjxXf7 +uPoV301600G004404100WX1_D000G00YW00000004W000010HW02441200200GnG80W40040 +048210GCY02010W00W04050H00I000W0wZp60X008DZY000G14008BV380044_c10G000G22 +00Ga20004b0GA000H8K008540000800G100XC198bK80Gb0G8GLll1W2005100G0e000008G +000WX7K0008100WGA000a01m@@81800eLI30W006zf1000080841028OCL3010W00e2PV434 +20004G0G6404e00WKcD00G06000400G8W4e0000WdDh10G0G000XKojD@Kw1200W3vD0C000 +G00WoFC000G006060004400G6sr00200004G02002K200000Myu6aFl100iM0245KVPBdsto +mz60W408QV3G0H04VV2G100000Kyaa1000KG000041H85P30W00LZl11vR0mVAfDbOu@VX00 +a0aQF3XlP0ocW000mBtnaW00O08r2zaW00Wy800800043001el40G0WU1M00008B400000WW +10G0GM2080G6000GH6OB1000eM0GW_rpPc@J0ewx1000mypZ000007G0WS7000000Q7H0meZ +Chx18gLLCG@0_130KV2qre6fwx1m@A@tl100WbI2FA0G80RaQGIh9WG00W830033G600mO0e +00000h100C600Oc30WO5eZX28B0CWP00Wv000c2008C00GS1eYe2a503WA00WE000H100WE1 +00WG00Wf3W800mS00mpC5fR40000q000000i7000WPyFWg3000000gB@0000Cp@Z1c00l_A0 +0000_Fh000ZO_v1qH00eH30Gd70000WeSuV00W0Urh0Md_1CV0004oUU0zNP0IV1c700Sqxw +Q18048rzS000eFksUvTpGm@L8002000000eFW4tWPv_VMuc10801dv72000QZ100zr9NB@g8 +00000GVvayU49XD0GGW2d@1001W2G90012G80H0a60200000AeG0fXd0000aQxD020800020 +0808G01W0100Kwk1W8S00400Kul19nKHy_m0WG0uFV30G1000010GWW02G00520X200WwAqW +WzJ008G08Y2014G100WI401880X20004040220H0C0W000481080020010000AaH40tedGwX +6Syk1vj@G90Iy@F64400_@n0A00001000C0100081081102O404H0041G6wA1300GZiR00X0 +00H00W0000m02G0W010G90G2800A80@@R000SuZmD04G0Gn@CihE9jOinkz90080uLF3001G +0G0200000040G30100000Cm000109402A060005GG02W048000000W00G400001008G00G00 +10420000q63Os7IKsl1lzpm389aWv9G000010000W2ukU3000G0040uRU3IXoWK4C0GG0mWz +C0000QU23A_tWd5C0000DY00YcsD8OOa0810G080W0H800X0000W0toRGb_686000W00GzQ6 +CPk15Md0041G0000048000400004SaU380W0000000H3pwv9y1i7tdh20G02O00000W000G1 +028G000G0A0Weq_JOD_4oyt004H000800G40G0000400000WYvyD00G0W000G300080000X0 +0qZT5lad300GWfxJ020000010800008020WG0001G041108GXWAxD0W80Gnz9000W000W014 +m0200000110400qKg100H8100G3F040000040GmVzGI41204a108G2YGa800008GA0000020 +6G00L0004530020010060200W80OG00G4Y004X100UOl108W00nWO0GWG000Y100020C002G +10410000O610W0000420080NUmpZ@CG0IW8xV30G4H0382G014W000KI0080604008I0022a +8W00G24WZ9P00H009W00G0G0020400W180H0G0100000Am000O6000qH0WZ00G010W000WB5 +B20IX04W000aW000H5e48IH020YI000e01GG0000GA8W04G04fa20Afa00GWXuDWKGY00G40 +I900W0100W000040200858X4080WK000000W5000WqO200Y04000WK9faGH_@04020G00000 +105001404Gkmr00G0Ge0AY02G2GK0585030080W831000020CaG5008010WO800040085030 +0X620G0004I80G20008_0100a100W8G0000AwW@510W020011011800200XWGG00000G00W0 +I0W0000600008002434El1002s10000GGY00000W040KmA0004400m00410W1000G0000G04 +84000E70W2Y2eKS3YeYdmmPG4000000G1400G00040808X000204X40G2G00X0204EZt0008 +220G0G0000088Oz630G200G00W0050000GG0W0I80010000W0Y00006FW00H0500420_T9Xj +u@1rb0WG8r1080100120WGav60800l0hM0008t204W000m1008wdW03WiN8x6400IU10XG00 +0u0042Pt0W3Gw5aT3100WN0WG000080020YI0u08r2000Wkp2m0084000W32Xk80_2AXcPm@ +@900e0Kgf0000uB00000eF0000pRU0pDt@D0Wx8000086k4sBqWezTYn500H7mDYEWE0O0T2 +VB110WvEWAJDmU0e0x086E30We300pFWEYEWz0u0w000s300OE00Gq10Wf3e303OE0S06f0O +00006h200P1OGZR6KSUH00GtX00vBWkwd3@pA0Z900wu@0ywp0000uXFm0000W8A0G000000 +60ff60000_X_20000OU30800000mAWV030tX8@l3HAAWWzI000S1b1SKZ000PkdprOSMOEFj +sUY00000401000WKD08KWT2G000AxFXw_heZS6I0cX86XfRlM00qiMJ_FbTDpDmd0W00OQS3 +0OE04Va1TZRGvu94KP55xlH__900018@T301000G0004G000e0WXwD83C3Aas040000020G0 +0000200W0Imcv60G000e000004WEvI000100006M000x7RGoLFyUV27dnmJfa00G8uFT30H0 +00G08W00G00008WGG000820IGX0000ixS30404WG20042G0X2YWenD0G101G0W0012G04W2G +400W02008X00000000KU0W02G4C00G28010G028WWd@D01400000m4MJ8ZxMUjm0008G00GG +000H4uh1GW000W20atZ1@@R00007000G20W18040C8l1008000E0000W10AW000018004xHR +0O0008W20020GW0004Zc1T_dmkq6aBV2HAS2000me@DuYU3410000A00W800000G0014e008 +0000211041G004001400020286Ts0I0e004G000WG020000W2mcz90000oh0000G42000020 +10W0014Xl1bZnmnrpKRU2nwRmCO60000KA00000GaxvOu@@440404kc1ZbB10W0gcm3vHSOk +MF180000002QBpWK5J0300080001200Lj@0ZG0Ww5J0000840000006RwRWu_5W9oV8KnAgt +dXNnz00800800WXzC08000002Yx_D04G0mV@6q8U2ZldGDx90008eIU3kQd10008c2000100 +00W0e103Qdd101G0XAOZ001WvrJ0G00Gkv600W1eSt400G00G00__U9sltWJXJu2V3004DNi +g19Ain_UmqiV20W8000040G0000200006GW008000WGW80Kzk10WA4Uvd1g2040000e2W0yh +X1j6a00000Gy60@vRG1WFqvl1FqpGIWd00A000Y010A2Wl_DG0X600610W0094008Gq00G00 +20210410WcToPeA334000028WuvV300410W0000C4m9@6mM0G00000024000G0D0Om@@9a2W +19bRGd@CSVk7W2041000G4eY0fA10GaIA4e44W00f80Y000041KH00bK04G95aKI100WKGa2 +G2KW0f850G0200WKGW80004W8L40H9f4WKI12X8504200WrGG10Y82000YKH00b8a8G150K2 +We1C00002eY00A101W2A0010000e0G200GMv6Snl70e00800000m2010O4G01048A00040K0 +0000000OAG00400W001000e0205G10000006D90002280GKH100W0W2q40180G0pG4022014 +0WH0000000W2200A0000b001C0Yxt04000W200K0000A100O0G0004000WePb@myhUG4aLG0 +018K04WDpD08G0300050G0Q022W0E002880KG0G0O4200400e04102G0Aa20284Gd00101v4 +04108G0G0ISG5a80112200W0000000D600GK0W0B011H45GY0484W00020eG00aXe0W2W092 +820802GHe000W0W400Y8mk@6aBk700007vs0800240W00008XW03000AGZG6004000HGC008 +0G400WK00K80002C0G000WW80880040W00@Dt0080200W00A49000100GrGBj60000yqI3ET +t0W08000G0I5m00W08j_RG3u9CC_6000O10Y00mG000C2001202H@D0a80z2z00H00q80006 +10O010GeN2014WZWU9O400E200Yne0OmDC200V102n800a80o3m0l000WmROL2Y00mM0W082 +0092000B0048052i04DW1G4001400a2W1W404RssWSm9X_20000eRr300jzRW@1yQd1OgIv1 +00mit330000rN00@0WUTK1o00GbvE1e49619Ii2OoS7a5GEmFWauU09Hp1IY6NW49k0kP_1o +2e2u7G9ABWI4n0b8pF8H4JWVC@WaY2810bevE8HpTWVcxWz0AF03aev000OI0G9IC2IqS7m4 +n8OFWG0J09na0IY43a49c09IC1OYO2a4G4a2G28c00000C5nl700Wg8uV0GmCpGLggY7UG5L +LbWcP61fw32ApC4aggyWCpuV00m3UGm7yWW@101LbA2_70_FJPCpC0egg8uCpGm@gAF03KrV +0a7W1Uu11fA000Y0gYW@101Fy0Lz70UuX3Y1m07MbWf0OWCpu1Fan@0Gm@1WWPgA0@300000 +g2WshfQNV3gmEXhzDOSV3000W8E00eIuAsi7c9YnG000mdvXSHC3xYB100EjGhJuxuDobMY9 +nZwt@D0ja04oS8ZS@mpx6iz_90800kUc4C000a000I6G24000000WKB00y@l10WW0_@ddXwb +eiU9UPEXD@D004G0C00WSobecz4w6t000JRLBTIWyvqQ7300W00010SLZ10W40G00000020G +4G1202asuD090G2200WPnD00W0022G000000QM0AKe20G40dp@mAT6aH8FPiRmcR90H0G001 +20080014K0bwR010020W00Nld08000a000000uTF0000488NVjMrd10004a000_WtW2rJe7U +3A1t00W00W0100001S2U2G000oQpWDjs8o73_@tWNgIuTaJwx79mjD0fqro@@s00W08x7300 +01000800G0WW0WWZhPuj53wR9XGzD0G00W000000Gqg3002xF1G00GNrzmHyIW000ONC3AzS +cuNE9in700makzlAG020gKWd3HJuAV30004qmk1R@Rmsz60820OZF6Art00L0000020G00aB +A32008Y9FXOEUee0U6ut0000100800204iTQ2@sP008000H001AcGtz6W00800003k00WW0C +eqW40404iYg4PTQGvy6aLmC000GCC00yLl10080MPr02004pORm6d900G400010W00aU@P00 +0D_kDL04G0ezV9G200iheD00A0a0WG00GaY8He4W0G0I100W00080000H0I00I1W8WIA08W0 +000f0G2I104G44000108200104G002In0W9a000041000G00Y00G2014000080XZR00I0000 +00K000MmcXiaj100G4200020200Z02G48W00H45000208i00000010m008004G000W000010 +2K00WY8G00OYGK00180001100000a82400G10a5W1210Gw3t0W000Jn@GFgaCr@600CW00O0 +04000480400190u08020W00WGWL000u00WE0W00001G00W10G01J00X000IpG6000G080000 +0H56400rrRW00W000W120022Rj200WItIb30200200040200f00810WGY001001010048W00 +1042001000044208Xh1D00220820000W00102002W0G000ST200YK000e0W82W0002i7Q22K +00_@t0e000rYcG3@v0s00G8it20200X2m8082g500WWK78J0008iU10H00W0O4041H100G0W +3K7002bME0G400G0020Ha2W10000f7H1000186W7G4000G84OTV6000aD_mFYKLL0WgguV0o +m@04jPc9QP2GqKLbe3Dp0peo3U8w@1Gqg2WeLbAHVe3_@F0CpCoOcP4z@08wC100GZcPY_G7 +4zV0Oc3TGLL1WPcHqLLbe@hAG@70vbz00U00L100XOd3Y900cV0JC@Wz0w0_1K0a1e082G1G +430WvEG6HCmUWQ0P0D5030Wv700H6WVYC0P0TWK0CW8000Oj2K08o00Ga18Z8343G212O000 +Gc@@VG0004241WcmIhy@42d8X@@91W8goJxH5OJ8004Bp@N22020D0Ompv@ty_@7XPpdkjCz +l4WmL0srF@ZwVeQCU0WhOrtn@xbyVUB@VNp@rzy@SH@7tq@lLrOOed00OWkQKC27bd2l_Vmi +@3Sx@@w_lllz0GE400S01d0000mX30000oX0E008Sc205H1i10000S000R_d0870WP@D000W +Jn06aAmCX4m0E10WW3@@tn@xjy@TF@Ftq@lTz@QR@F2vnuhz0y7y@z9@@Uq@ddz@tX@VTw@F +7@@nv@@@@@7l_@lp@Vx_@@@@@@@@@@@@@@@@@@@@@@@@@@@Bz@@@@@@@@@@@@@@@@Ff@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@78000Sl200@@l4200W@@Z20m +@_@@B1002u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@7k@@W@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@a100mR800u@Va0080y@FL08Mx@@@@ +@@L70WVn@@E1G008DZv100G0800u@@Y000Gz@@L00yh@@t9G000@@R90G1WB0Mu@Vxn600yC +pI208G_@tUGG00@@N400W00000G0000C00y@@y008N@@F10W00D2ap@@@3000ri00Gf4Cy@@ +@@@d3uR0WlZiy@@@pUu@@@@@@@@@@@@@@@@@@@@@@@@@D30mFo@@BDvLE@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@lg@@@@@VTn@Fty@nL@@Rt@tM_@hj@VQz@Vs@@9z@VG_@@@@ +@bv@@@@@@@@@@@@@@@@@@@@@@@@C_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Z7000Y@@T20GDz@@@@@Vc000G_@t900qKHAyV +a2P6xn@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Hx@@@@@tn_@Rq@V6@@@@@@@@@@@@@@@@@@@@@@ +@d300Wv@VL00020400u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@130Wzz@@g00 +0Wu@@@@@@@@@@@@@@@@@@@@@@@@@@@FG00W0000000OMK2m3@@l10008E940@@pcP0z10000 +W080_@FJ00WCGz1000000I00u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@9z@@@@@ +@@@@@@@@@@@@@@@@@D000W0000000402000_@l8000q8200_@tU0008HAi48V5W@@T2004m@ +@E1000ow00m@@@@@@@@@@V1_@@@@@@@@@@@@@@@@@@@VINlrt4C0008uC00a56L@@Z100WW@ +@D0G80GU0d00Wa_@@MW0084LM2T4Wn216ydF9h0Kn@@I08N08c@M0W01aolAn_NX00004000 +0020oUG5o300t_xqT1mC8t300W0_6p0000GrZP00Wd@@@lgO03EEB40G0070CGG4U0aj1u@@ +h0800SK192004cJ8Xc3g0000hm10W@@TQDZJI4SZRGO008000Wpm@@P30G0GkTp02J0ORHvY +WWX4N81B00m@@lzEYA@@R000Uw@@V30Y0m307y@lb0008000HCgf1Y0002fWJO00W@@t2e@7 +W@@@@@@@@@@@@@@F3fbI3oWFSK10001700W@@J30kx60085F@CWcVU0bA00y@@90021_@@@@ +@@@@@@FOgnqh31800m@@d00WeBkkkIB4Z40R1Kq3m@@@@@@@Ni@V5z@Fn@@@@@@@@@@@@@@@ +@@@@@@@@sVU@00W5t@@@@@@SsZd1000em700w3sl1sJe8TC00qR@@@X@YpGHoF0mk0uxk6JH +NYa2qAxXg@@N208I0@@R0G96WzZvK4000008KM3005D@VW2vy8l1lGQ08S5WTnd40Y000020 +Y0004100000WYN00uR6E1800000iFfzC9500y@l10b50catoYIJ0000Ac00WXcu4201000bZ +F_p4W00mqz60Kj1OmUB10020a00G00XIqCSA20000@xE004000g0@@R900u00000m0000E00 +00F0u@@@@@NTWsC0T9to@@@@@F600q66wFXIrORs@M0jZ046kM1_N4000G@200V0TLfvC4f0 +F00gO_@@@@@@lB_@to@@@@@@@@@@@@@@@@@@@@@@@@@@J600WGoLX48mF3c7r@@I00e0EARI +_@NwxltO8ECs5NYOpJ0000Xl10W@@v440000WLw85ORGs4_@@XW@muwS30Gi0aO@d000OnF0 +0y@@@JV@Vqv@@y_@Dt@@o@@7xy@lM@Vht@lQ_@fk@@fzgNd73XXp300mbBowgMjJ_@t3GAE0 +@wfLgv9y176hjVYc00W@@Jh8UR00q1_@@O0003_@d700C0ph@9008Ms300zm@VLAk2090uZY +Su000it8R@@F30WszGID3H0Y000Gg8Ni1m51m@@Z1400G6G0000100GO61fCZo00W@@DJ200 +Q@jay@l400uI_@@jUXc1m52m@@ZXi38000z2040W_Cc1000DP10W@@@@@@48o00my3W000Wn +@@p0uS1u@@@lFYd@hJ00WoN0uiTSS2W008o3N5GB305axK1Aa4oC6vVN1000Qe200FRNq4Nm +aRcA000D@@@@@@dvbR9000mu300u@@@tZh8Wy90ZX@VOw@@5@@Tv@@@@@@@@@@@NzgLLq609 +00k5_@@@@@@VWIX6Ks9mVB0@@@@Is510Gvz@@@@@79C000@@Z1W00e5NmRxG600m2ChRHbbR +GQvUalUBVlo0WD4W93n0001mZ85reZG1E6oFwgS3XJ@@@@@u@tl_@xp@V__@@@@@r3ZKh@m0 +eL0OCy@3J@@tzZ22W0GTz60W02u3RF6kN2000qK600_@VC08G00G040000G010u@V6002GCi +56002000W0008000WM240001004G0004021SRm9xDQ00001800000H8000080408v@GmW00a +Js61Bi1eGM0000000210008G040uVXJE8d1400000048000Kk_64004wOtW@qU0008GlvO00 +0040004000G000mg000cCRcQtD01000000actb8PG3_VFX7uDG0G4H2XCS0m0D0OGO0F0W10 +000W00G0W0qJ00m28000qQ0C0W010G00000107jR00010008GHiL1010WCwJ8Y_70004W0W0 +0G0Gm@@6W0W08BV304G00008g60300001000200W40G0880000280020010002204400000W +40G08001000020w@V30KM0040000m0ISx64LX10000W00mCcl1RudGjx604002010Gox6qRd +1000WA8mWjxC0014001030400000800010G0200G0000020Y04m8G800400100008200G400 +m1040310000220G40G0000a20G800006810482020001G4@6000Wrj0080000GC10040G_Am +0000080020040100W0GC0GpfCCul14000JFr0008004G0Q0mWU@tewUR_yF100bx000Wtlt0 +0200fNom@b6yWP51vhoYh90H00Op@D8008abP200010e8000010010m4@60SD2OPVp000410 +0000H0m@@O0W010000W0W00010100081000ypW100W0CC00yZGEJZw400002YG0vZQ0000XJ +2J00mCHYY@iuvIzrR0020O00008000xN810kC09AC600Gak7IuVI900020020004000410A0 +00814Ym002X8042002400W4000ac200MfAgqOg8a23UAGYd9J0400W0804a000a00G004002 +0200I00000000K4fmamS88rfJBZmO00W200010000eIrm0GX00G00005K1810000000eX4A0 +GA0D1O0p00W1fbBLs4oEr0W1000000O806U1I2000uGF00acXV3ec0200000G00W0W00810H +0800W0051W04040000b1W7HW02020W0mxKOaqzO8400000080028pc4000G0W00v@V30OX9q +UZ1Pn9tdiC00G00W01WGW508Y0W2100W80028000iGW8W0C4000Kg0M0W808A4000000OofQ +DBj1Pqk10081G6008CO88Km40fG80I19802IG0300000000aIq9JQthlX20000a5mI0OWb8m +58HWB0N0NWi0c0S1SYI2e41C000qI0IY0JW41c0C100G080_@VFLLbW_301fgA2wm3_dggCZ +PEuV08uCcHm3100GY104agg0e3FuV00mCc100IhdglXVeKS30000j4i1rs7200VmUtQ9iSL0 +012aL_6bbdW42000000PjOGBp60W0G0004GTF6W0000W000eI6W6dnOiPFsSca4gDeYwD000 +2qHW1hhNHbq60000UN6C0010aK0FVsPGa2XK0l10e00YCF10002TcR001008000004000etC +dkM000W100G0200080010002G0A0V5cGFz6Kwc1@ORmOzC000H0000W002W@kD08j0GItC41 +633VB100GXLuC0000S_qFSjT20W00G0G04nc10W0GAUt041020Y00G000W048000I8002Wst +C0I0140G1800C100G0002018W02H000W0200048002002G0isl1E10C0060itl1004W02000 +00W0KW00W000000YLapGnv64@j10900QFtWtpJ0800GT@6800200000800G020025W0Ykp00 +I0WW0mW1080W00G0GW008001000400860800G28048000W0003010ndd00W00W40CFeR00W2 +U0G20820008000W000W0288000002mW000100020X0uCS6cJt000800000H200S9e1J@b0G0 +GWY0buT030K00Kck10010c@B1100000G00100SxW10000004G0W8000G0100CYgsP0Gw1m@@ +gS5e1BhRmIxXyuk7P5Q008000010pKam5zL000WNS00m@@Q900W0000HAcLKed10G0080W10 +000WG4CuVyC4LF308Sk@1Jh@@DW801IuzOq6P2000W24S3Gl109rV5283008800040IrqW4u +g0W040002080I0x@d0W00Wi@b8jth000W82000X402882eLMCul@700GW00010056000i0Gm +00YIG24100EWl18000QUb100BwN@V504Ga7FC00H0K2SI0000G40424Y8000Ge20040HW00e +800010GTZI00000uv1mU@Q10GW2K0eqzjC0G0000200000G00W0010I1000G00G40H00140G +0a000G000G40Slg1W400gdC1U300Xgrb880W4BJ8fM3gJp000Y808WG000W0W200O002G800 +45400100060000G00004o@@9000aDyDm0014y@l48810800W0100ygJ3Qhr000e60040RvK2 +WSE0@@V58G100W200000081IaGH2Ptc00Y00040W00G00I0000K0080000G100Y012W00080 +W00000A00Gpe9aAK2FhH5000M00000D40_@d110G20040m0H90001W30410040W0G48A0002 +2EWeG08503gGb100S4V3Mq@@I0Wg0000e20Y10Ae0W3f_000eAL5vVgAw@0GqPAZg_30FyWC +ggAH@m3YEpg4zV00wCpGL00W7U0W@@J0yG2m@@N1m00001W1000guNVm00000a1K002e20q1 +48e3G7G7WEWE0T0DGw0QW05K00o000a1a10001G10W@@D0000gu10W@@rIV0000_820_70@@ +B104K000WWWGeW0IG1HmW21X2c0814a@@h00WBSaZTDUZ1000WggsWrmD010W0000000a09B +RG5p9G020e103Iyc14000WnI0E0DXd2dffQ6wrtW408ePV3os@X4uCuoS30011awM21hb00G +0W3060080000020I00JYR00G0000vkfHR0820WCcPu5w7kztWvwmulM3YKFXoROu@V6INFXC +8neYT6U1d1WR40didm8ULKrM2jxwncUICBw3zyPmt16002GG00GGmuFG0000008G2v602G0u +DT30002000WajG0Gdr9S0j7lRBnTUC0040Oi8300GG4yi140200W0G000020082802OG0000 +520010000G11eG000020G00G010008G0G2e04G000GX2WEpJ0100HIu6000000WNtOq60500 +1818W0204XW022A04000A00000GW2ohq6iEl1Jjb0002aVkJ0000Kd09qk030e40580G0001 +4010008Y0W40WluO0004WpmDG912e04Y05K014H8A0G14W2Y8004KZ2082G0K10008440128 +000G4000000m3M028W20021GWG0000010880G000W0a0QIB12800202000400e02uf@4w5t0 +000G08400000D8k15nbGmw6W3W0UYS384008W01040300W9G0080020O44m02084080B0002 +X8wC040000W1G18W00G000W0G001004W0GPv6000W6n0800K004822e1a0008884I0101148 +8G00000404G020105080G000W00248000100G000000WP4U300W0H200080I0OW0WBuJO9V3 +0000H02008200000000Wm006HoIz104C1000K50158Ga3q80KWWG921020GD0004GG80000G +K00204000H0000000mHZ008eCG300020100021400G8W7vDO6y4oE9XBh@1011Y088ooACep +NFoYa1WpO008ZCwyt0GW00tmo00040000YJgZ440W0000a0W0008023e40OIH3kLt0900000 +80g_F10001G4H04W02I0W0089800080HG00028001OC4gk42000MR690W0000W0W220qXy3z +sI20WtwZsIe6U60600SSkJd_RGqD9010O0000820020W0HNppma@6qW@3WQO080008n10OK_ +7G4H1aXyIG00WW00080I2520W00O09mGO0043W00Y000W0GW000A0000040vcdGpv6W00200 +0100W0G000yy3115ZCJ00C00000Owu9W00000204X4000G08xgZ404000GW441W01CW00101 +W00G00400H000810468_1004YG40048W1000W0380000q62441G0Wf0aI04yF32c8Y6SsWZ2 +Aw@@400a00004W000008002020DnP081W108W000081002K7735Td0G_00O200fRzJE@j00G +0140q4800400G60000020X001108W00021WK0I0000WC00WahIGa00ma_f10014800eWa040 +8000m1S0O0OWu060e1O00W406430K01m00AW00W02a700060Zv@J00mhV6@6awWJFNB10810 +0W40Y410802W05I01Q400018I010002a01a0f11L021gW4G38DW8e0802200000Y4WuZz40M +n0qkg17VZH19@4Ub4080Ya00W0078I2008AS0WOuI00W0178A40084nmn00u20000aA70Qg0 +mW@@P00q0Gu_E1GzL1000W0E0000G6edA0LLLHApgYMcP4jCpeA@1GLgAZ@1Gq@3Wepq3HVe +3WMLgy000uV06@F100VE60mCT0WNJWv100T7n@@6000U0WPg20lM0L100Ev40gtl804G0040 +80O080W1G001g3G34KW68e600GCWEWO0P0r0o0QMS643d1a1G083e0S7c104000uf0000300 +060C00WdAIOxU3IPoWCzN2000YWI0I15aW4C4298842GG2Asn7o_F1PU000eW7C3WRO30V10 +00ipc1GPg2WkMW7000Te300u@@h0W08Cfh40003MzdXE0C8BE600G0O000000EGCSgiZp908 +00kXxXqhDG00G0038WnzD040004000O0020W00AutWOuCG0140G00000020W040021C@l10t +I00G000002G006GA06000Geux7Q5mW4wDuVP3o4@110G0X2O0408WyCh8_O3000Wa@l1x4dG +g@CW0088UpA000GvP00u_v4E4t00G00Zz@Gat64bl1G0040002aKm3080140004_j1VwRmww +FW00400W0W00001021jWR008000G00V0QGJk90W02G0G00000020G0XdR0200000W_7zR040 +0edZyegfJwYs0G000JXRmy@6W4G1ugU32jt000143YR000020010W020010O028087U300GQ +120000002200W306010000nX0000G0210800010000020400W2084G00800402S7e10W0X02 +000K0085V30A000004W0002000qw8DO3R6G000010040100014W@TJ00008G000G004dEO02 +0040080g0W2L0WG00880W40820e00G810002G0538000005G020W0G4002000000WoS00201 +4W0G28000A000W0G01010208100G20000040040YOrWEMDObL3001GO020Oh134002qvl180 +W0g@F100C090Gmu@60W00WG000100000200500400000C044G040m2GW40m002001m006424 +4G0I0@6G000EI13G080SfW1WNJ10000Ga1400004A000000H4G01G0m410O408000G202040 +2GG0W_eF11400W1040430000000003O8008W00f1dm_a6W100002000W1Wq7J0m00oYx941P +24005800W00GG0080000G10W020004W0A8Wa1000C40408W7DC0000C200G0G200029_Wt02 +0000020w9tWboJ00204000uwYP00380022W5_JeCD6M@lYcPJuaL60808Szl19POmeaO00mM +u@V68800Svk1@@R0GG4H02a5BJYHZGUawL2000000Y0MDl1x@R08m4Wu@D0H0G08W0ei0COL +U3EFW14000ZyRW8W6e@@D004HKVx60000G008WCp4GW40W4H40040u00002GW0O_v5L1e100 +0HG008Scl10G000200Kxl1f_QmxcF000W5q00mokC01m0eBV300240240001400G8eHCCOH_ +JEK8XO7OOF_DAXd10800l_RGJy90080000SIO0FSLH2Xgc00e0WZuc1H00mVY6ywl1Y00000 +Y000G00Y200Y0004910010084Ya0008104900400180100W8000H0000Q9E3U3t00100WTBW +Mxt008Y8810H0410G004W059800W0K88800WW0C30eG510G30mQzgaJG2@zd0410000G400H +080Y00800Gm00WW0W0W820400H820WSSf102008201i5l10040kSE1000mM200_@d104C002 +4G00ICZv03004oCc1800M580Q80UqmWWhL9zx70000WC00O1S305K0000mOKd40040aTk1W2 +0G800002221H20080GAW8W0000bIDt0200042W00W000008Tf@4oYB100G2000EJXl500W80 +00ecrd10009r_Rm2u60040OZE300b0inl1JvP0020400We20A0020Y20GC28W0G0@60u_110 +0W10O20W000pOqo@@O0010200WGDcCy@l1GG0000420K000000840H00WB0l@R0003oVzD00 +800040G85X482YW0A04000907WS04G0S000maH08GAWe0K01uEH9Q0q6e0045hRGuwC0420X +00000X0WTjDu4s465rWa2I0Q4X0W48241XW82WKWW21W40I4e10000QFe0WW80a0XWA0000I +BPU3kBsWviCu683I1mWwHEH10000O0WSXP82v4I4F13W68vUdG3o682a014CGa000GGu00Pn +n07000mnFHXnnG9S6000e100mKJSCq1W1XXJ20U0Gg_2000W2oxV304aW2G015C189O2GIGW +4a4WK5198m_7W8fQLHxm3YsX7KbCpu1Feo3U8w@1Gqg20004qL5DLL1QPcHLECZ7yG1LP60F +n90_YP0KL70uEYuX3fo6R8Y7g2WRi10t1W_@LX008Y141W2qP00020004080C1G0W2W0008B +010m02GiR60W0W0W30101GcOuCm000g1a143e3ePnP0001000UGHYdW0a1qAuI8BE3W1W103 +02eCE3w8z71000030GsGoWmS8v3U601z0y@l40eA0TO60wQ1cHc7S7HQeAF0u9E1m6pu7fzG +W1000XT10Wot8vbaV8000a0013Aro_@90Qr0OEJpU6uiX7_vbQ3kKO6mHE0Tqhov2irPl1Bu +RWq0GWHyD0W000240000000400pfF100109rpm1X9q_fGt_h2007_@@b0810GMa6auJ2004G +64FXMyJ00040001WMxPuFtbUprWz@D04I2GE_F008W050000e0W55C8CV30142KZM2H1a004 +0Wd5UOHNg000WR9048xX46ydXbxJOr_7gn@XMG_Fy@44hz0Caod000uK500qhr9RlyVC_U0k +A18ETLUpv@B@t00mvt0qX00e08f0ERw9gTB31020GKjF0000rC00GdVU00G4809dM9EadGV0 +0mkGiLN5V@IWr00ogVZZzD0a00GGAp71k156lnKxC008vfxuD00m4q1R2dYz@l@U0000L@00 +GF6A6wV500yQAc0fqsr2yr2mv@5bHBCvZqY_00WstaRk@M00SFFzVBXbHri@X0ke1Oc0BZkc +1H200vHzPs3bMIv@ZS_V8f@@nw@Tq_@6l@dHy@NC@V5r@NUTT@c@W008W1@z0GW2m8wL0W00 +01000020W80COzS30004ihsFj4pG7r6000GegOF000WmP008ruAIEuaIq730mvoPUXalj1f7 +SIZxK1_s08@KpEe@@2_9CxX@lF@@wt@V__@Z@@@My@@@@@py@@mk@@hy@RwkYvnO8qxGAYt0 +000Oi400248aEvDOSx4ctsWK0UuHiJArsWOjh8qR92us000cL12GI7u6qm631UdGlz@76U26 +500ELNe47yu@@V004D4ZOHP7MnS@81Co08FlSMhNY7xlY200m2W8T1u@Ng@Vby@Ff@@@@@@@ +@@@@@@@@@@@@@FF5F@@l1000qScEvxwbwS6600oGbZ@01G0Wsmi101000G0Wykf2G70GvuFS +Mz6vZxHU06arD92G00_@N5000qS000A9ZajjfgmxJ00CajfXe0mO0IU3cGusebzVQFi200Wl +W700IU3cGuseW@M2x@4003fjk@@gz@dw@@Ft@Vp@@@@@@@@@@@@@@@@@@@@@@@@@@Vu00WSG +00u04E1000W3E0000a31u00GmPE4a205H18n@@L0084u@@4W300aA0CX4a00u0W@@@@V_@xt +@@mH3iz@700m_@vUNhnpJY@90qT0OrJ3Aylbm@P8@VFERr02400Vlc3000C500000000018K +uVBJgoG4b@ayV808MxlxNKmLF0XuAt@@j000ssx00m@@U0400u@V@004iy@l70000Ndmi@@@ +@@@@@@tLF000bHJoQ6x10mKfrQR_@dDWL20zt0p@@@@@@@FK@V3t@lG_@9i@@1zp3000SjF6 +w0mXJ_b30GWm@@I000241WG4W000002HT0O0000e@@X40vu4000ioIJe306_@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@FN@@@@@@@@@Vow@Zy_@7p@lnz@Ni@@4@x5000cE10W@@3 +fVK@00ST@@F9W000_@@@It@Vq_@3z@@@@@@@@@@@@@@@@@@@@@@@@rv@FT@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@7R1000@@@00W2W01uv@@e0008y@l4W2O0IAen@ +@l50WDn@@g0G00u@@@pUu@@@@@@@@pk@@h@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@iu@@A@@Fk@@Y@@Vz_@J@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Z2002m@@B1000o000m@@p3 +000v@Vd0rG0y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vy_@5t@@m@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@K101000000002W6 +0Ce303_@V66000@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lJ0008Q0mW@0on20 +0m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@jx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VS@@5@@@@@@@@@@@@@@@@@@@@@@@@ +@@@R3yU1u@VX0010aKGNw000_@N80200@@@V85bQA00u@Va0200y@@30800_@N800Cx@@V20 +0WSX74f0A8AKaMe80l4Y841638m34GwqoY000464w8QA0G0002C004SE0@@p000GW@@52ma1 +m@@d0y200G580Wy7001Vb0200G000W000010042008000Gy@0W8204Ky13G4100000GYG0u@ +@V000WwL00u@VLQH0330000000000HfHJ0u@@@@@@@@@X400a320070000000Sw0m0S008u4 +00_@d7Gm40@@@@@@@@@VZ000OD6004NpI@@FFPGz1000000I0_@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FZ_@lu@@@@@@fz@Rg@@b_@ +@@@@xh@@z_R7mF0m@@6000Gu@V6w0mX@@BAO03W0008000eNWM0VO0y@V2001228Wd@@ze7V +300020002eA@M000mmD00eO@48G004klDzy@@l_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@1 +l@@lz@tx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@F400W@@D0ei0Gr0cz@VE000eH200y@VN4000g3Gd@@D0S80m@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@m3001u@@D000Wm000u@@@ +@@d4000G@@x1OC4W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@55uy0GXsO4fj7JWB110GW +wmf20003_10WgpP000200W0W_kyekSRg3F700jn1a@0G000G0000100sAlbwopv@@@NXpinu +C000YpuSyyEd40410MXhznuC00008y10WnvuvTk700G2as@@@@@@@@@@@@@@@@@@@@@@FmWy +10Ep2ffjov@@@@@@@RaVm100GkQjyEXM0002_@l200If@@h8020W@@h0iY3m@@g4ld19mzVd +2L00upjQQ90080Ki737CWnJ0CKRe1nyvHBuIK5k1W0006SZ100805Y@0Gh1Wbn3v0k4Epm00 +080HAQGd0C4Jk1G0W0AE4cAkPeUmA000Wu800eix7000014008nRF_MGYKEC0840GBujK5k1 +bXp0000f2nV00mjy@@X0W00gUS3kgq0200GdLQG6v6qC030G00oDWa@@@Vw_@Z_@@V_@@@@@ +@@@@@@@@@@@@@@@@@Z5200mpNOy@l1W0000H00a1G2HFlHG0CG000eBW7o3WXMmR100GGI1U +y@l1c2002CVfr7Z200200WGoJi39wP3gOdX85seRTLW000SGl19lRG4zR0qH1enRCE0OWZu9 +f9Y7W000yDe474oGrVI0000wxQ34400z@l100WW04010000GG000000Ci200@@RWW00WSiD0 +G044W000W0000208cosW21D00G04W0200042G000U5m0GX0GH1O002A00110Rwln1XCKce4b +8O000WmuyJ004280800000500000042s2G2h@R0004G0G840028osdXA6D0002101000I01V +fR00W0G4080W0080800GG10ix@AgrV31000PFS2eR4Wrst0m00G@uRKnF3lyJI4@@Ff_@Ho@ +@Z_@@@@@@@@@@@@@@@@@@@@@@v0qU0OACF_@@40W80P6pGbQaaoD9VlPWn10WIl5gsO9IA09 +000000gBlJ6Zh@R100GSerRy@FF02000UY0y@VKldppOtF02000000EN10WPm3fskDQ6qZjt +bu@T3UWd11040000W000W08000G01mUv9SOk1008000q_jsD3028004G010000401mN064OO +2020001400000000300W280W02000ew0mWs3JG000GFwCicl4Fwdmd_900088rS30040200W +04G0mov6STk1002008000QM1uIn4000G0G004W02000040204PfRG0x60G00iv0300000K00 +0K02024000030Tgp0G0GWUrDuuUd000mZ70082HU00A0Sk@@1f@@Fy@tZ@@@@@@@@@@@@@@@ +@@@@@dYLBz9JoIr60G00y2W40F00KLTKJQnmBs9ysS502W0cpk2000H00000024000000W6S +nSyKyb1d3@0000G0040rPdmWu9auj400001G000010w@V900o00000W0C000000GnD0dKlH3 +UXauj1PmvKKs6SjS2000uqE00y@FFVjlH4Va4zC33Gp0000K0040jUR0007E00000501_@d1 +00A0PYR0100WhtD0800mT4604100020A001Wb0I8O06w_sWc9COHFO040000G08Cy42hc10K +50DM@GWS6800020000012WdxD000W0000201GGxZRGv4600e00G0000208000G0049YndXm8 +mu3xA00GG4@k10608ApF1030GzeR0000MU100xmp00002G00C000200W000001W000000800 +200W0G008020Q0000A00004010W00000A002000W0G000040mW20VSV50WQN00000601_@N2 +000mPFaGvyCq8k1PYdm3Zdi9kt00WOPF00Cc_@XnN4Gx7WHJ@vjUm000mgF008eHdksFA00Q +N9_BAGx4gIRcv@@@@@N200148W00_@@@85A2tG20001a@@@@@VX011000W9eniY_@Fvkg39Z +O6Ehs000_8Rz2JrSCKDk404200000jUC3G000w3t040G000000021yYS200020G0W8042Ori +AkRs00000WSM4cKs04000000448000220eOC300012W0OeOP94001SNU2NabmXv6SJc100G0 +IxEXqhD00800000XepDW080Ght90040G0040120Wslh000GOeP6uI00000GG4u6001210001 +400W4iD00X004K0W@@V008WKgwCiik1hynJRRRqJk100isoesWScX9KU3YXJ2m020biln8r6 +0400uHR3QXBXG0C8UD300G01WW08GT300GWY0000yJ101004W2004000010W0K005400A080 +0W200000W02eicgj1008A00040006GK040000020A0408001020002Y080010000408niR0G +30WGwneFSCW00004009_U3080110G0z@V3400800001000iJ10WJyPG0008G08000G0G0004 +G01y1l12000000101080006W02080G01680800G0I02WG0e0W08G04000G000G010i0G1@@@ +0G0WW_mVefk425F1030mz6Q0G0GG01Ce0100000100040GmG8000Cg300NDm01W1602W0400 +Y1000G0H00C200100W85C012W004WO2m0W0011m3101880M000420602002h@RW020W44DO2 +II_@F10041Pz@mJ_6KYU2008F1W0008W1012000W0WD@D0m00mPw600Y0Gm00mVw6aek1G11 +0M_tWZwDm0000648018000006UvpWEyf2Wv1GvzUa@V2W024000G800804H41H00G1000042 +0400GyDl10001088WTwrLs300_@t340GGW4000040G80G28000G0104H480G08ZQt0004W00 +4080G000GG8Kdh00ObdTA94H400000040C080Cmez6010CZPG0e1X800408I0G00G4H80060 +0H4X802WjuZgqH3EnF40800200100008408O_@4aG0008010110W4e004H400440G4H4yPFL +q100MxV3W000400H00H490GaGC05W11Q28o1WG4H21000W8Y6nG1000X03IfWI8040002130 +O82H3GGw_H10uBF@@D0W800000W004yWi6O0W00W0G0W0G4YW20A2v84140I8a30G2008084 +0mCp44WX5G4H0280u@@e0090y@V8vqQ0040000084100_@@D000aw300Im5cCeKv@@Y00Oa_ +@VB7doG7l9yUA34W00_@FAW@C0@@J20H0WwaD0W000000G8200B9dGA06Koi1000CgpzdYTb +00009J00W@@31D00G306W90085W4000Cb20590IbH00W@@n000iZ8000Pyb20yXP0eg50mTY +0WPgYNt92pyG6sD20Dp30wmJ0KZD0eCLv4lKmCf0OW70Kr@@Z20G4GKqU00020400mMs60m0 +00W1W103030406000C0000c205PAIr@@d0W20KZD0e3puLTZm3gwWx82WFahW1Y0GLTmhDgX +7cn1lM00VO60c90@@dJ4gO0sh1u@VFw37Zo4eQgj7WW00a7i1g400_kxavit8mxJ_@t6001W +@@x10O0W@@JB0z42Jt008G0@@R0u44W2uv9Al4w6NeqxDOpU3wQF10200000ubB00KN@6@td +Gv2602000W021040002X000W01100Y080OKV6grrcoybOlQ600evEvz6@xNHM_6G000eBT3_ +ft0Y000LSFpfyCSuU2ltRGdX6aWl10tN0QUFXkqbOcU60100K1l1m0000001Sxe10G0CEm91 +G00055RJP_U0000bF00mLzgW0000W020080002X00004010GaO@C3Z@Vmw@@B@@zw@@g_@7_ +uL4600UIxdW_130W9mdEdq7@@xvFC00Wd5N9v@@@7_F4000iQ700oszgYvEvyzG00afSNdDL +MjqplX04208G0U_@@@q@J00Gim@@gW080e4VC_2hBGo90LkFpp_60082u2T3stdXGSZ2000e +h00WxvF1020Olx9iPH2O00002004Ul10200AiRcns_Fik@@wx@k2@Vhn@pgy@hE@lgq@dQz@ +eQ@VeSI0G008000Ogy4EBtW2w8PtS3A481Gv10hd@mHuRq7E3Tt52020080G0jepG70EKfU8 +00040G0001000G13mJTsKotO9xdWF00WFuL96yJ8200000008W0m@@p4kj400oSguk2000Gf +bRGTv6qOE3ZHRyCRCyvkDXF_WF10Wg@h8I1U2ytWt5G20WOsZ3I@N_@zj@@Uz@dt@@@@@@@@ +@@@@@@@@@@@_5FjA7WV20WBndmnxOSL0eE0aFGNTUpJbu6000WaU00Gf3Wr2nF006z2DxgFr +VO9E3040WKjU2000W6ytWtft8cQ30NT0K_sLhuR0008mq0n8DVCwq@1000WA700w27cQmh04 +G0GkvF4Jl10G8000000008Pgx700044xj1@TBHrzC0800000EIqSgijN2jXRGzUC00002G00 +mPu6iAk1fw@mMV6aAk1jwdGsXd0kv0eH@@@@l804hz7kav_@90QI0OS_@lUf800CPnz@VPE5 +100W6T00mpdWz@@F00Og_@@A000W@@Z4WH0W@@lw_tDIp06e200hMHLFn60008wm9X00GN@@ +F@0P00oHwX@@p1500m@@@@s43r9d3GF6WmgauoA3YQee@iR9JyA000Ge000eRQIY_EadnnuW +4L2F7300M4p_XK3J2z@F60UI0QsM201000400gtEdf2P0408mhq6iNu64000E0O02000nn@0 +00G0000G0008C300y1l40012YY@XKtFv_T9whtWswPeNY44G00avU8080000GKzU@3hlx400 +a020000002IWCXa2I8NX42YCXvyhW8000F2700G00FIomst6S_j1L8QpI@LCLf7VFOG0@FCF +k1000u17004LF9fwV20G0W1wJusS3MitW4aI02000000G2000n8zmRzFal9300C@sltcA_V8 +cV3wvlYy8Q9Fd7G00001000iT2mPeI010WOT9Ic6jYhA5g7H98600Kc863GXqbga4cw600gF +xM0ZgyDG0000000emLtO1rAcHbXCCIO72CYh810W00D0Om4ZF0M70ORr7G0008000POp76dO +ZfMVu@@4kbqWz_D85W70Y000000G800GHjUaHW10008eF00CM930040wnq000G0A000g8XXy +1O8816ABXXRPDeKq7_8rWK0e8AWGYKm0P100ldgIpR2z@@C00056T3ZNtGw@@@@@Vfqiye7v +G00K5y0LQ@@d30q7W4Am0006m@@4200Ws_00mnLIiBb1@@V800EV00000WGa0000008Ye103 +G8II844H8506_@@@@@h3W10Gb59aQX10C00J1WYe0E10006j1CW@@P0W10Hg060103eDQ3Y6 +061I0882a0100WW8H80004824X0W4OWf0GHK0d000WqF1I0G8200000D0OGg021IY0GGYa00 +00019800008HaW0Ga4WC50AY2u4000O83IY0GGWWJ00001n10000GIadf2OWy49100002oJ0 +Y60cKg@@ax@B9@@Hs@Fa_@3zHzMPPebTI00CU6O@@J_M4000Ol000PF8N1@j00uZRc2@A_d4 +Wm00JN@@Uoja8D600UERpGKGV20xmR6088W4GbOgxJOF00qFoXXXZ100NuGxJhbSI6@l2mF0 +03s@VW@@Nuw@3s_VWl@@Ny@fa2JqkFa205ZGxd090441401Yc0200001GWf08HRrx100oXJ0 +00mu4WE0O0dvuKA0IShz@rY@@iw@7B@@lw@@@@@tk_@hp@Vw_@@@@@Tm@@6_@@@@@@@@@@@@ +@@@@@@@@tz@tz@@xoxzued0421OPVy000G4yF9000OGC00y@@@Zf@VOy@@b@@@@@@@@@@@@@ +@@@@@@@Fo_@Xq@@7@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +3J000u@VR000GpC00u@@w000Wy@@9006cw2@J00000XP0oUu@@@@@@@@xo@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@J@@_u@VF@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@R1W0Wy +@F90060_@tF20007542n00W@@t3004m@@X00G4@@@@@@d7000G@@R0000KC200@@@Va2@@@@ +@@@@@@@@@@@@@@F3080We0G10000Y01W@@D0qn1m@@f1000CA0K_6Y100Sy@@@@@@w20G0u@ +V3000G45G8004000yV_@VK8FyWGAG1XYYq2A1u5X4X8WP01yW0IDTM400Wm0X7HZ20200GW1 +0WWpZ2u4d300W800_@t900U100e280G_30WWNb010080W30600400W80001000o@30a80W00 +00100UK0daK0LDbj1060W@@DGKDQo80C4506I006P20000e_x@@@@@@@@@@@@@@@@@@@@D60 +0vMYbjC3rF@@BD00G6e_0000000900y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@N +y@tb@@@@@Vo@@Vp@@@@@@@@@@@@@@@@Vfz@Fw@@nd@@xx@tU@@h@@@@@@@@@@@@@C000X@@n +0000YG00W@@@@@VLopV3m460xm@VE_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Nv@@@@@Fy@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@U0004_@7300082100_@FG000W@@l1 +009X@@940W00100W@@n00e0m@@@NcG5P0mm@@a00m3uO2m0G00y@@FWGU0_@7C4000v90JI1 +FuT00u@Vg0000T4m8PFS2E00W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@7_qmC +eEi4AOR600Swj32M2XUy@F9WC10E84CW000VmDJa2Cm800u4EswsR600fP@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@F0000G000D0O00000G004@@R30C0W@@@FIXO1400ylcDWWI0_@ +t90400020WsBFX4pD00010W004W000xNdGord00000000bu10W@@TY00080W0WlCCeDZ4080 +020WX8JV62qG5008EV@Vur@R0wE0OP@@3J@@lu@lB@@@@@@gccy@@P0Sm0yCpd000W3gTc@@ +@@@V@gyr00W00@@F3U00W@@@@@VFoQ_4WGE0@@@@@@U0020u@VI00Ca_un@dSN4000Y4NYwj +S30400i3d1hcdm5Sjy@F300uUUcWA00040020pKqWYpD0002mkvv0Wo085EEpXt0P000@@@@ +Ow@76@@Vv@@@@@@@@@@@@@@@@@@@@@@@@lQmy@V500OR@@@@@@J8HHR2QE1s0005XhLRuLy@ +FC00W2sBdgc4DO7y423t0G000XXdmHSj0YN0eSRg8000000Wemy400400G001000G306q5UB +0008e200qi@@Ra@V6x@VH@@Ly@@@@@@@@@@@@@@@@F3s@VXvn2x9ink700G4I2xgDhCumSI0 +0104aS2Z@N1mW4W@@Z2042GFua46U22W00wvoWN3a00000000Sn300@@Jr7OIS1@9@@B10W8 +bGue24000100acugOnkP0ut0y@lJ0W020010Y0000041008G040000201G01008040G20mQX +p0000o000m@@E1X00GG0Z00000120000100000s0W10058c0q600LR@@V5100800G0JxP002 +8WLsPOc2O0Oy0C6_@Xf@@Ny@tb@@@@@@@@@@@@@@@@@@@@@@VTpXr2eF7W@@Dx3P3g08XE0I +8wQIq700y@@@zwLX000004W0@@h20q4WV70BuF36_3ZSu9nS00m66NDLO22000000WSy76@@ +7200ogEzlg6n4004200000002nIujy@F30g00kyOi8@v1000Dm00W@@@Vrz@Jj@@J@@@@@@@ +@lH2010jrQ3O10WNhIxL8R000m@@@@@@7RKq6SMzC000U_@@@@@vCWvM_@F400qevm@@@@51 +00Wo800m@@N142084EU_@F100jut44500GWdtnu6ER0f80a2VK00100040qde19n@moUp000 +W4Y00GXzNb1l17_B40W6nmm@@Bz@xY@@jy@@@@@@@@@@@@@@@@@@@@Qu_lnyS_@@10Q40LhF +PqrF8T00e6kF10Kly@lSfSxnRyF04000uZ0mNRsSA@@Nuz00WLeazbRCEFQ6N2G560FpjK_u +@Vl_@rp@@y_@@@@@@@@@@@@@@@@@@@@5_@@@@@3n3yOt9yDj7000eL600y@@RZ5230WU_@@l +wED9EuVZhpz0CI2mo_uLA_9000GG800K6_@Zf@VOy@@b@@@@@@@@@@@@@@@@@@@@@@@X00G0 +0800y@l4000Gb200qIjJfN3JEqX00ufx@Vm6vQZ@@F1qg1mn31UqT2BTN1000It100L78KSy +sK3U25Yx10Wso@@55iZ1GT4BD6NBLLS200mTQ000LzBKXuT10CVz5U2X000W800exVC0J60a +N@@P7M400Lld245eY1m@@420Wm88I9otq0000ei500Y0bJ040000mj_@dGW0004080_@73WK +A0vOSOggO000W0n00m@@@@@@pJRX10006z000@@780WCWdVt00mcMeG_bGS240000aY0y@V5 +0DC0AkYj@@b008Y0000808H8W822XC00akM5SJYGW820y@@@H9hobqO00_1u@@z0O00y@V2W +000tb_1060000m0000WeR0081dz480000041100000G440IWBTd0Y00000GW8G42I18X@@n3 +0Ya00000u88000WSGWd0Ga40u@V30001100000GI411G49000WLYSG00u04E1000W3E0000a +31u00GmPE4a205H1G2Gu4W@@@@@@@@@@@Is@Va_@3v@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@lS_@Ah@lE@G0100agF6Pg@Gfw98000eUV3crt00004s500INFXnNtu3s4MXrWEGt0W00000 +0201800000W00800018xV30800CMW1W00Go@tWPKD00000000ga0I0020n@@603000012200 +0000aG600000W00G80000000W40008001W44G00iXW1j7a0020010G0@@dW000m@@J0WG0G1 +164IG2008W1100000G00e00010000100000FWt0002G0020@oaXThh0800Kj0600000mO0Gi +c6S8l10600080GCZS2rCKH7@6aK030200A8mWk0g8gtG00100600044000W0000G001002Zt +WCkD0000ZjO0WRkJ8f@4m012atj40G01G0004vl1zPlnpt6Szj1BRp0100Wl5IuU136Ys000 +0m04GW2Pm0000004O0086000e00000ug5600eBht238W4000000W4A04W00800000G0W0000 +100ubX40040Krk100100GW20000iBq40400a_x6000GA1GYu@P080Gm8t60W00082010482H +40C000GWm0I06G2X8000uq10W0W00140000W041400W020014W00A08aG428m0WG000g40W0 +14W008004G400X00G00810200G4W00008400003CIS2W000014W4Ki49VOGYw60200040008 +04YpFI040018m02W0000020910G00OW102051W160011006G1X4G0000tnG010G0G0204002 +0XG04228004G010W0G48150060G1002300440210W0GG0X00G20010H008G00O018000I000 +0W11o9d1W0e0PFWH19OyWB300W0000806600000000e21000080000KO@@l14G0000000208 +0202W000040042008W0000G08Okz4Qvt000010021000082008x16ETGYHu39Rz400100010 +iL53ALn020809Ha0WY100002LhO0040I1000G0G1Ao_1W0W0@@p0000O0G000010J1uX4BC8 +jUO0004000010W0mR59yCS2rgO0008KB0005jpW000WnxJ08200000aqBC000Gm103Kpl1GW +0m0G044503FPFJe060000W1a10WG4WghD040Wm8BCaYG28W00o2m0a1010008C300LOp3PUR +Gg0C00000H00GK0LSwDC20000021Spi102000W0Wyqi40m902kFX4dJ00G4mfp9ypV5000Wo +VnWe0Iu@@PG005000G00CGC2a094WAG0G6010m0W1W1100800001020101W000800000n@10 +00O050000OWO243n20OWHW4300W2G0W0000G00odmW@@D000mGg06G010c0WI84a0000S0H1 +a0e0W0G0G0@@h20K00000G4G0000208490W00WmEy906000000I8H900uvc020004G030002 +0014003W10G10001020YiNCG00000W2080000G10_@m00400GM10C02005000004GK09arY1 +1qin@@FW400004300A1000000e4I8X6Q2Gb0000W010004100@@p0OVb420006600oZt0bH2 +1qIf0f40WTUl4810000m0aAG20GJ50eI00i0Gek29_@dadeDG000mYJ60mW00W0402000A80 +2@@@0000OL640000G000Y_@l142400H840004fC_7I5G200P880000Y00y@VEhvdmsK6SeF6 +00u57sdXBxt0000qJ@6C9@I0G0003000008WG00G@0R0ux0usg4sPt000m0@@N1001400000 +G20Q0mWDFivpVC0006000OqBWQ000WXLjO00G000008x300DRnGM090A60eZhDY2Wa@@z00r +QDj1mQPhLdoMhMrgLjgrg100q100u200qgro6ChbDNTARkQLhQrgMh600088P1SYghrwK1Ef +GV9scAXUpO0000neJIqSb1HGbmUP60ok0u@@GW0014lG29US2010omraeIV3UPo0G0200001 +kPB1G100W080kGpWHdU00005s10W@@P04W010X0aToI0100o6P600G08jV364BXCrC0WG000 +042020W00G8W1WG0G00y5k4cGgY9mC0G00400000W01@nP0000O0008Rob0200W@uO00WiJo +DF00W04G00mpBC080000020040WfnCO0630W006Xc1010001G0200104W02801YjwI0m00G9 +NLqob100082wwXdxCe093gxA10W0100000aQ0C2X4hubmi66W0040000nXCXCVd4RcymmDC4 +3d4DsPG429qed10040000WRj00081040080840000W800081a000104C00200X00JKOW0001 +002100G000GW00010Gn0GWVC08G00000100801242FfPmmQ9a9t3bRn00500090G0G000080 +W100W800OsU600W100W040W0000880WGG00W000my600G408W0424808020004080800G1e0 +00Wh1D0W4200800G020bFO020W00080000W100204400048000404H8W000G18W0_Iu30100 +_Zx1m00036QGQG900010W0G0000G002G00G000800A0004m000200W010G2GG02000iO000O +10WG0f50D00001WGA0G021000004G800002I00W0000020C4000000810400800800600404 +800040200802M00100X180140F7cGJSIaWu300040001Kba10010UbxXCvO0000EY2000402 +00W0WG040102420W0000420GKbqb00G001022fVO0000800100A402MaXbEC0004W8020m01 +0RXr20400000142Y0800000W0e7I3YhY1010000u6X0G0y@l1000K0H000040Y000HeaC80W +0ubH3000Wz@l100I2gQq0m000jkb0080YmVy8qiD400008001000q5VC46N22oM0AV910004 +0840F8CXqkU8qi440040000020GHQ59a4sCXcnmg9900008qi72io0oI00DGQ03C3001005B +Q000m0W1109fX100110G18nRQ0303Wbyau@@JgrZ1WX002000000204G08fi700mm4SY4010 +W_FC140409Y_m0e6080082q4I6rWyRgulkG000CWO0422000A2G1Y0040G0GEnn010204000 +400a000000wW0G0WWypC012080040183000G080800080000W000G002G0004000G0W001G0 +0m0c1O0S00I8401100G08109WC3W0C0W0018OFL0003W00G0W2C000802WXm4OK000C000G0 +2202mKL60G00uF13000mwV180080O_P60rX4mG01W0220W022044002100000DLI30003W00 +20G2800000203G4GG04GG000G00202qYc9CLOBvZz020G1A5eI000Go7J200@b8110YAZ14e +WY8K900G80qxv30W4C0002dac1000YG20Qn90LQG33_@tcGpO000A6G8425G00BaOm@@F0cX +2A@i7094XW00W00X0GIQRKcc10W00018Xy@VErscGAb9aZM500088300000001W0KDGRqmh1 +JYQ000820100G001_@N804003jQ01000000m00O8_@l200WuFcQW0040000000X0g38XYia8 +0O30880G000ODp4_@FamFse8O6O0204Qc1u000_1c10000WH20o2uXypg89jJ2DJt@@@@@@@ +@@@@@@@@@@@@@@@CO_@2d@h0w@9a_F2g@Vmw@6m_V1j@@MddF_L1e30GY0@iTz6FOln8s9iL +j1dLRGU0L000Wp500GW0yaKmC1KRmr@6000120008000ea2s00GJThuIyOl100082IrWcKV8 +3rPU1y@n@vv1U32y_XhIj10WsKe@EjHy33rpG67v0S50OHIdosEXt3MoH00Grb@Fzv@Hd_@p +h@tSx@B@_Von@Vyy@@@p0404Wom91400u7v9CBE600QHcZAAG0200200008000014402HoPI +iMl1002G00G00G001002GJ06a4l1f1OGoP64Uc10XJ0gIh2000WW0082HBX61C85062JpWl2 +29KU3cQwXPzD0800GAx60G0Weof400002880AvC32Fp000084400gSl2008GLcPGeP60GW08 +5W72JpW@@b080180W00402WvmLHMSC0G0W0G00ok@600W000G0KO@L00ev9ATd0003000100 +840W011m480024000200G080000W001A0Y10000ecyF1001GnTP00020024200G00Y00SzF3 +l_R0O42W6kS20W00802G001G00G001X0080000a01G09002880Y0800WGW2000W028011K02 +0W000G02e00G000810W0W8000H0bMOmX6C000WbiG0GvyBH004010000120W0CG2180W4Gm0 +000060081108048mG6W0100X0O001G1128W14002W000e0300jZd10Y000094000008W0000 +1WRqC080000WozEuS200410W100WG000000OC0qsW1W100G00010000C00KqPC0000gkF300 +G402YGuE36wWmWm_D0mU1oS@B10408pH30W000010020G000002200Rnb00G0000088000UC +m0000200044000cmW1Vjn001WWwxC0000ZS10W@@T20820201W@@D0000sr99C1f4080G_@t +00402060000000O10ehW4UmB104yo@@x400WWw@C006G6001H0O00pCQGyC90002OhH3Y281 +a1W40006080G020000606900WM7JOrH30yJ04YZM00000W40000000090G040G800RHkngaC +y@930000z700y@lJGG00G0G0000O0n4C0i800G0WK000W1W0WCZY120G0G0W2z@l10W0W0G0 +0W1Y1WCG10G0C02120040102000K00uyG600in_@lJ000104010016000W00WH00ei028000 +00021019aJ30200080200000580010W040004W01O01K4004100042W0W2100G00080O0W0G +Gmcg60Cg1u@Vd00920004600K2000000G9810De0WA1002fGc4YSr000090000GC0045G2Sm +90Z2uX@@D0000f400W@@TIG000020W5ND0006400YG9G0000016F9Xe0gGG0080IWWe0a000 +0000tajCkgbI3kfSZEOF10000u61W@@T2000YW00WQUD000WW080WaImu@@J000Wbsh4@@x4 +3000C_2eC000m000y@@60m04Y20300JF@@x400eMMhD0iERjqPsQfrMjIhjMD00WE000N00W +MPTsWnwivIdPpbMRLBjsQrH1SYg1000600@@@@@@@@@@@@@@@@@@@@@@vh@@zy@Nl@@nh@@x +y@tk@@@@@@@@@@@@U1MR00G4W03bOBH9_@t300KB@@75001WehDu@V6G20000200900mI1p0 +OB0uv1x10404Oj10G40_@t0048000W08GW00000W010m@@j008tza2m08000G01udOOU_c10 +uB0@@36100028W0@mR010G0000008016Zt00200LCp000GWAcb0000fG10W4URPKwM000000 +820004m6p64uS20800000WqJA60004gQd100BBj6to@@s0G01Ol16_YEX9IbG002m@@I0cP1 +O@Oj804002200400ml4CaMk1jzt2C10WYclgIR3001GKyl1000Y0000W0A800000200XkvR9 +wwe01044Tj155O000O00G100WW0004000600G00GQ4m0gf1OCye0G00yHk1lad004004040R +hdmcxmmJ00erSdW0G0W100000m0c5G0810o021HG001382O2100800W1A80200PGWW02SxlA +00wSYkEA00000GW10000004aW02G00Y8008W0G0G09WA400000E280100WYRR14p2GqrH100 +0Eww4G21Qo10529Y1GA0CG000edPL0000ZH008wwk0100v06224A4IA0CarHB00QBZ5UU000 +8VA75S10WDXqAww4O00G5Tj1XUeI_@9000gAwQm000820049wQ9ozN5G640ZXJ5800mTrJO0 +f4I1GY0bEPVXP2D3cUpC85096xPlGpG5G002010WezD00G0KA0KaxlAW800Y8fhs@P0080Ij +NaSEa4c400IAeB08W0WG80YDp0080200100W00000avYB30W00EUL800_V2ilB0100FsP080 +0000020I0067pWY0D00800001a8521m02GfYT100G8Qj4kMpWnrC0Y80m_Q9qyl7000OmE00 +iS5L9jP000G0n00IVjPGlY68000O1GO00GbjPcM00020004W0000041oCS60W0801000W0WW +avQnW40mrQH1020C6k4G0000400010W02X008000800W000402048KHL000Gf8008fmkAzp0 +0908@@dJcYHDkc184000014101G2012W04G20WWe0W0010o0006AW400048A02G00@@h2er1 +WV7Z208001K008481GGG28Yg08A00WK0C0S0H02G0000WGCW0I1002OALL000GA4008qCj0G +9agCaO0e2H20I0043009dPWI89WGpQ10GFVePT1m00G11L062220aG29dn0021W@@L10d0m4 +kKjZR5@@R3000I5000FFILvc5bKmL12d000GB0000Xcb00GWX@@p1WL0GePs@@@@@@@@@@@@ +@@@@@@@@@@l9p@Pwy@bG@Nfq@JIzVaM@@8s@Dgz@YS@det@72_VXY@F8v@1Q_@Ve@tdw@xn_ +VUk@V7y@r9@@Sq@7dz@lX@VRw@l6@@fv@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@l40020I1WY21Q1L004100W@@V010 +0m@@F0G00u@VUE6m00100N2a0810WN1C000G00502GG00B3W100Uh@@13G000000X@@t0080 +00W0WY0s0K@3Gr0gy@@FRCO00020004000O0gL8100K0HDO0G00W@@b0000EQ00W@@ZY0080 +12000000200080W0000000W80001q@@D00W000004W000210W00011400000eoe2643X100a +0IO81844000WJZOm000G0RCin@@g000G8sX700080XG2100WAG200G00020080G0GiUX1200 +a102880080Y00W00000W00JOO000WWp5I00000Oa2WfCOuB396qGYRDs00C0004GW02CuX23 +00102018WWK001a2G2300VSOmI76014408a0WI4W0040HjQO010010000800GWG00b2Y1080 +K000WH100u@V3WG00yfG53Binz2Ie000en33o2vX5ACOS2304G00800gb2301000400000Kq +b3LC1Y100e@MUOZc22v@VC00302060ei43W0W0kFI2pOaGc96004Oesa40220AW000G400GG +0WLLCuM5380000Yx1u@@k0002040400010Ge0WhHI0900m06900308AW46Vn08000bja0000 +100GaA300_@tfCKI0G000020WzNC0040W02001G00C0C000000600eC5CQ3mWe0COZ43008e +y@FO3kmGU89CMn30010_6nWYRC0010000088000zsO0u34WUKY200202000A00000e100840 +8GG0000082100C000S0O0010G2O00850GK06iHX16000G0G00000K100Y20e000n0W1e200W +W000A0000df10W@@Z20040W00WZVC001014800We004e0G0W20000096d4000280G0m00000 +00008I0W0X200G00G000G0CGJGC0089v@Vj000Y00000G0080a20mX5WLtmGyDF04008A030 +0SGLYp301000QH0y@VN0022G4000484W088mNJCKXa1N3Pmm86W0e000W011I0Xe0U0000pw +I@y@@@tRb60W1000M1eF6000O0ior3TomGK0FKGr32004gEwd@@VmgrMBjgjMwiPkqPprOmc +hfM0086W4eMjrIhDpbMRk60sQDjkQjH1GYrQ5000O0@@Zt@OCSh46@@R08g5WSKY2090I7L6 +0W00G040GMO60100e103k2J200G29Bb00I0WzqC8qA3000G000Wn_0W00000G002fZX14000 +80002000a001iyM5TbPG7G6Sss300W0_591000080022PhYqMI0010m509y@V200_dkWBXVu +aO3A60003Srr6pnPmmS680020404GkNCy9b47fv1W00WOmI0W000mn502000tq5oiR6SO76N +tnGyTI0008W000m8U68000010000GW000010X02koB14000vnPG8V6add10G120104000WAp +93Y4BXflCeWhA2exXFwI8ck400100008ehk7sUm000W00I04I4n000022W00CWG00010GKGG +002000018000W0GG11082080K0A0G0100008000400001000W9E0880000180004004Svc14 +802G000W02481138000W0100040020W04001jIO0040WRoI0100028W000008W000002KJe1 +j7m024000404OW0100300010004C040G13021600H0I00G00808I00008048000H080u0e0W +14OI03G040W1000eG0044000mG0bdP014G000m080140008yTX128W0oUp0140208W00G008 +0020000W020Wj3C0014GJ060006G000Gh5L4Ne4r7Qm@XC0G00yTn7EHo0000ai000Ihhe@@ +P002Y000WXGpOWW10041001800xAc010G000W0bXO000a00020FHOGMO9aRe1400000ustvu +3TUPGs86y@l1000A00005lMBn6o0101Wk_seiI60420y2f1G00GgHC1200026900000002Wu +aH3G000000WeYl4s1qW95X9VI32DZ1001002W0000400303080W001Wd6bO6G3sDqWGpI004 +00000U710Wf2amqbF0W048@i4W800y@VErHbGPV90000Qhp4k9C1010008040800SOP23GQ0 +00emGFPuvJ3IDq0010Gt2b3I20W@@DW000qAA68000000m020S0m0010G0GEJn00IG00106o +@q00G0GG0000G002000G1C0e1W1e85C020000n0001000G1G0G10qRW1G0GGe00201012208 +040S0m0W00GBG00H04aPB8040e0W000W2000m0W1f000A10aa202084Qc120200K00ake130 +Q040081018O001H000e0G08s63000G0W040000DB1000088FeQG0V60400000G000G00X019 +fP00W00OK00@@hoIdC00014W085WWBgGpaOpp700W0GAWWBqi70880008bFL09000IbV6300 +080001c3Q5NpI2280000244044_YbXaAa044I80004G8G8lB_01000mp50@@@028000020P1 +yGy5Iy@FOjMc0000u472PdWt_wKYa22PDpP_@dXGpg8Ru4YFx1mL0zXcL1m86WK1g00G0sc0 +L4QMT0QrQpFxa@@@@@@@@@@@@@@@@@@@@@@3M@lWs@7uz@0Y@@Vv@xd_@zj@FVy@NjsC0010 +7XpmJ@XqVT2W080_@t30082R2IoVoXyKl1000G0080Ki@3fIBHMqF4Yf1200GIU6Zo_Deyv4 +61S30040rGlHLwj01008Hy400mT6jk1F8GI7@y0400um0308002000gs@DYWN2mn20Dqx100 +HmcqVedU66JF10008ZJmGqyI80048pR64000yMU2F0SW000000G400000208OW00WG00GZq6 +00W@c01400000Y000ZVd0002WDlJerR6ckt012G0Jbd00G1WZtJe5zD00000140e5@4000C4 +bk120X0WG00i1W18800sUs0000020H04X20K@i120000ti00m020000W000114000804kYsW +V0CG00G0220WpzDG014W00001002bIRG6x6C0k1vQw148000001K0010W20i3T2GG000W0AW +018W00eW00G0000248008000GH1000W80MOW95G000e0100020000II44W14000GW00G0180 +10W0240108410G0200040020028i0k10001GW24000400C0066000G010002000eG0008zP3 +EDmWn_N2G00GEx600uBVOO3cQ@XIRJemT90800i4F3djNHqy6Szl100W0C00008800300mky +F0041OsU30800aBl1040800002820uqT60Em0000000C02000YCKI010000W00418I00GWUp +dX8wP0W0002C000200GW00W00WKI@34000O080KNV2000200GWilV2NqpmR_98181QZV3080 +0qDG20000100H2600u@V600090I00000O0020WH@J0200Gnz60040Qt_AcwE40G000040504 +0o6m087Q30200cND3bxR000m0000800180e9W55G200YmMBnWowJOqQ3008004G0G0G0GM0C +iLh40814M7nWe0I02W00000avxJeXz40008KvF3H1OGJx9qrU200G00X00qdy303T0EqlYn@ +DOwz70200000I8fS32Nm00W0W00400Y00adD30021o_m000q000010I040000641m8GaG8WC +3CHWRW0W00W00049000G000000H10040000C008W20G418238G61C00002040W04000GDA00 +0000H100W000000a00W400001000W00240W6C80CACP1am8GCG000220YW00W833e0G409C4 +GZ060000022040Y_@D080GG7z68W0W001000O000140GA2000000AW00W000W00000804080 +80W0000000Gm0W12000O10C0Y0G0L008000G140W00004000GNgkt0W001ZTO00O0O0G000O +801aK008909L03o2mWqkDW200000A000W001010G000008288110W0000CGH1aGq_CWG0D00 +I5WiY20aGA0000000f00W10000Gon_F002X0808b4qIE0I2fH1y0uY3WvvV00G080aA0G15W +8140I5uXZ@P0000900GA18NMf2m00008a000xyp00W00G00001I0W002UWl12000G8000011 +8AW700G000G050000150We0O0000SU_F00082G000G0G4X0WWA200I5uXTyP0H0W0001O000 +2v38Hn7UKJj7fLpmgp600WdgDaGkXQZ2zDucV3ca@arzDOZ866y@1400I000000C81000uGU +30SB0CdU5zDbGm56y@F6020aE2oW4gg000000WHXehP84xJw_tWfEC00008R10Wi029wQ9Is +_XNjP8wQ9e300m5008wwGY2e2hrA000Y0I58g@ja0000s2N6y3l1RrKHY@9m000e30700ee7 +3m3TVXn3w6iQ53TyRm@@900C00400mNw90100000W0408W5rD0010GA46C6c1lXzGZOC0040 +G0040000X60C000000z1010G0G004Y1mWJsP89T3000G0040ea53g4nWw0COdC3400141W1v +1ym@y6ybV2nDPpEL600006s04mLy9aLF900W02mt0400000W00G04a2G2FoB1080W5iCOqV3 +K0000004O_D96MZXq0CG00001G0W@@D00m@Vw_6GW00uOb4sex102W000W0G80000000W00u +@@O00H00800m399qoX10002000400100200008WW6qI01400040040004W0200300208u9@7 +0010W080040900000W170000408W02000000AW0400G080e000000008G0O5V30002qob1B5 +a080008000naO0200nkoC000WuCU9apb10GW2001002028eD3wxo00400800G0m03W020G05 +0004W2080040W04G4080020114W2Ae05KG0406GQTB1a4G889W04G018W00I004006000400 +0800K00184G2K010mqI68400G02K000CWI_Cu6D3WW03i5W1BrzGrP6800102500500448W0 +08000G02G04280230040OW16022GW24G03WK120m08W04W4080040028W040W02WW10W4020 +01100000WDJ0814AGG1dNm0080020G08W00G00400Y8205Y0420440008W022014m0300040 +00800xBP00400H8004H00MfB1G000n7PmZCRKUd14G0022i20W000014e0220000_sG3W000 +0wc5uPB3800202050228GgO60040ebH9000W0G00CNH3Uwo0800000W0JLqWQ5J8Pm4sEn00 +0420WW00G0800W2ORh4G8000G00WW80GdJ6Sje1000980420000m000K_Y600G00000bq1GW +C@I000G000600801000W0400iYd1xxPmEPCSka10006800W08000G0WGR69Cve10W000406a +w83000G0000X0G0u7IC00C000900008mSaCS5Y108iy_@tWPBC8Po44W10CzN2@@pGXE6C@O +200X0keKYg8JuUo4ghq0a000400Ro7Z10100nEcmVQ600W0e103O2O002008qC30db0i5f40 +008000402020G0OJM09aLv3TQQ008000020W080Y2GY@@PuM43Iza4G0WG0202cgw1U100hM +omwd90000wOp4kuaXzBDOXk400001100m000mnc900108U63Qvq00G0G0000C0m0Q0800013 +WPW1000W2vGQ000WWovC000G002M0Wm000G2W08HG002020Z230W00A020412000u110000W +400G0O002000e00GW0WW0000103X1000X040c160010W0600vNK3c3r000010001022100G5 +20210000ee0O0G0016804080W@WQ0404G30006000K483Z0W08gC300A010W00WWG0G0WXyn +C00101W6000n802e4GWLX010000G01GeP602P1W000G5Z60000200Wi0W00300KK000K382Q +GW000004W40WEID0W2C000W102000A00mK0GG820G0O080q28QD8GH1a0W00I000etQo08CW +GI50MW29I2Dp0W000XcbmLgCW08C40I58fW2GW020vZn0000Gm0009io008000O80aAGj85W +W4Lc4040YAKD1G0W90Gg045S86Qc4@@d0Y000004W000G0U0A4QM2@dQGePC00Y000m040H0 +0WG22411ZIAB102000GG000Ct@@@300IYW00WWG0G0269GM0FaF030040H42004G1H088OcQ +945m3lYLHJjIy@@3080800000G8000000800mmUCulsDMzBXUMnOrK3gkr000G0@oi100IYr +BhODN9gIq010000006104I000Wtt00mWZO80000GW40009W7tm00G0GfE6C@h1ZrMHeP6870 +00O00GS994Bi4ndb00W3WCUJO_E3008A300004G0mBn906500S5GVIQ6Kmx3H1OGcQ945G5X +cTx@@@@@@@@@@@@@@@@@@@@@@5C@NXp@J0zV4I@@0r@DOz@2O@dWs@7mT5010m7eDOrV300q +GaDdGJ_R0010WeedfNLC6ad1mhE03KVIhw9SSE3rVlHqU81000p200mN2jSyNN3lR0G00400 +408000sFt000KCxEapQy9yMl10100cpFXmzhu_V9M@FX5mb0080GQ@90UK3uNT94000TjU20 +20000201800OyS30001005000102000210200G10APt00W00020067dX5pbOqpA0G08imU28 +000_wtWY@D0040000W0000KS2004W00a_U20W00_Nt00G020006W000qyj10800001401200 +01400A008W000010W008Czj108W0WG08a8V2PSdmLeFa2050G010010CRl1G000K000CHl1z +2O00001000eM5108G004AV2G010000C00040088040G12000201040000010G08WW1G000CW +06W10m0100022W00806GG010000W01C014igU2lKw400ef4FyeSV304G0Smi4040WY3PZYCE +PUV6ERs0021Wfldm_x60oc0u1U3IrtWV_JG2000A0000024vG@0G0WWc@D0W101I0000700G +W000010y6j10002lql54W00nJp000084000G000d_tWifD0004Ht@6G0W08fw40WG2000010 +4G006GWi0OOo@7G0G0G000O1O3MNFX4PtupMCk1t000W10304Ifs000050m4m2nE1004E000 +00402KpV2P1OGpx60080W9W1Gbz6q@T24000_tF10002000K0002aAW1W040IAebKvV01000 +000G2000G0006_tWGyD0yJ3mV9L00010000oE@F4fk1TR@010001004pyOpZ764ml16062e0 +00G803G40f0X0O6m000000O0G80000WMX0000008C04020G0o2m0m0Y000WX080800C0ABW4 +004000004050400020008800W00001000In040510083oeW1000W0WU2E9r5p00010508000 +4400C000G0000X100X800C6XOR000000050004SZQtWNyD0G100W000140000G08WmWWe901 +0000W12YCrPG10000080000306X200400G1028QH0000We0CG801m8kv0a4aI0H1509XqehJ +ehT30Rq0Ssl4IGg0aLM0a5m3r_@000Y802WK20gi049acdj100W9Y2GYfrzuV_4000W0AeG0 +0W09421WGiJ0042000GG0004A700__d1002H821002W00044CBW7_Z_1G000824I5208aiT2 +0WO0YkUZmXXP8_400iiUAl7008G00000002iIWD_YFXQaAATV300W0UyV20vD0gu630W40h_ +dGDrICzF3hXOGdKy000W1000MUr9C_@3ty@GM0R450ABIVIqrg0GGMDFxecaYXxlJ000Wu9O +Cy2l1hqd0800WvqJ0W00mMz6K7l10000r900KPU2VoRGZxF0080040Wmoz6C4G2L2amzz90H +0GehhGAXF1800280W00G00W000OGA30G00aaL277m0020000K61s@02GW0000020W06ntWtV +CeOh40100iOM59SnmWzOW800ejU30002002O0010W000fIvDW000W000e_kg000008e6Wv_J +0140000012W0G95m0440WIfC88h4QjFXMsP8Ai4QOpcExP0G04msy6G00000040000Ig100X +m5Ir_60800OpV30800KCV2znd0a0GWor31028m8N9G004ucV32wt0080000G4g4m02000ZLP +GCQ600m1100G01W00000W400G80000010W020064G00Y0040349010002K000A040001G00m +G1110000284W000004CG008H022000000058020004KG008004100ADZXmqU0200m4VC0002 +0410W0000W400VfPGcH6Cbd1211040000cV000200180WwrU08a000e00040080400001000 +200140Y980G0G861124100e4G040H4000G014J109YW0W0W80020004AA00ZRqOOBE6k4pW6 +4Ce9k40W00H00800001100230000W004a0GW0W0T1G3C0020000tY00W140nivC0G20Gy@6W +00a0600WG482I0G000000010I010W02eg0gO00In400H2800Q0204W4401H2YGIC044GG0mG +42001000IDGgLU4SN29ibGs39KW86006ARMCXw2D8x13K0020m0000040140208m0hsP0400 +000Y0000200C0G000080YK0Y600002030000001CW0r52p_X64oN2001W0m0WSnN2x8Q0Gk5 +WDyO8ll4W008G000e_BC0020Khe106206N4Z@@99iF3kdmW@@D000W100042010dxb00086R +10033Qmea60W010060mqa6ykb17Fc080044G00pJcmAN6800PG00001W0mb2c9i83YeC1000 +300120W0W0W00AfC600C4tLf1REoG_P60WG018010J030G0000300_xfYaqC081WKIQ602O0 +8TjM0004ykO20818BqXXCED8bJ30V90qOe1001GE0b1W00IJKM1000XXZC0090vTc2He20gc +G300040105010G0WXW9Q0q0K2eH0300000010W210008Z0003WPm8f600A4080K0004O04a4 +0IGYYApWNFJW0300020WdGD0202W000G210I24e2040ZaAW10040dBT6004300O10281000K +00004006G008000OW01W0W0W0000SD00000I20010600000e200I10160000e0W8090W2Y0a +Vc1W00W0500108000010204000G10041023400Wm042G40WQG018000OW0140KIQBhzn0014 +0G0WK20Aio7B100m0000WG2000_L1OzK600042G0D10I1WeW2eGpaO2G600100K0aI0m15m8 +XqupseWLL01H800GWM820GePF000WLc00GKPF024008q2Y40GmhNDG0000010WGpC89D604H +000GeK090GyPUKPcDNcQGWj600uyBxGFo2WXHHhujm4800Wy@VH0G2G00000G06u3L302000 +io1u@VC81000800y@V34008y@l400W400010006e2F30I40qkh1J0_mYXLS5y3XcLHim6000 +W7K00m@@C00OK8qip@@@@@@@@@@@@@@@@@@@@@@xVv@zR_F@d@lFw@wd_V_g@Z@w@tp_ldUR +_EdXvpX1000X600WkvChf@42xtWC_L10GtO8U@VtF3fbdG506CiO5HAW1W10WQ1ZA_xAUj4Z +O_310GAT_XH9W028204oMt004G0@KMnUtXy@l100Kc2QCA28G1xgRGFu600100140G8z6SsE +3nAe2Gy0W59j700mmdy600400W8080000004G0W00100WS7@C002qouKhJ_DW0W000004600 +2jBpmbBj8KK1Os3p000e00G48bQ300800GG0u8PL8F00iX2Lv_d0G0000W00BtRmn_6aEDC0 +0gVFUWA4G000G08000WW0002202W000481O0K482440Z260000003001YWsL1KT2mEiK90G0 +G0000000100X00102004I000020nG1G000005G7U3ZY10W2R13W0850q2A0HYfPJR30WmXqC +w200022X20002b0840wbsWKgPuZ@J0vy0C1SQbvRGdFOip@6i400wAUiwYCWG00GUr6aSVB0 +02a3Lkhif5200008W0WrdTAmQLoPI5X0007uGLnKX8000usiJ004XqLrCD5CJqy60008fDgJ +gwd109203VpM1_6SKl1nhT20008m200VtxHi@p0400yFCC0108KB0C00WPYIFAA0W2G00000 +0200L4eti400m800G0ONl7UktWSyhe_V60Bw0ivUK22004G000482etC3I0WWnrC08W0mszp +0080FS_e0W40801800G04202WGcCW04000a100024LdyGWTd0s31O5Vd00030W10uzD3CW00 +SMN2rrXH496afe7U200gxt90W800G002Uq00800XRP0W0080048zNPm@@m00Wx@@@h0G00co +L20000W0090000W100mDbp8kv085bn0022000G00X0GeP600m08M8L000GJV00eh5jkoqWOF +D04010004WQFX100000Wsz@@ZY000802080K04VUQ020YG100X062LK8G5G8G65C00GHbCy@ +F9WWC0Y6DgjHC8q5300e80G08000K0000400JW000000K010808WKL000GQ500u@@e00e0y@ +F3C50f0WMI14G8DqCR004YEFAOWY80008K3040WH20GePs0OE0u@Vp80000020O1mP000GJL +00eafn400100000W0100000WaG0@@t200Mu8kw20003500iGp_1GJ2GePs@@@@@@@@@@@@@@ +@@@@@@@@lK@@9z@@bG@Nfq@JIzVaM@@8s@Dgz@YS@det@72_VXY@F8v@1Q_@Ve@tdw@xn_VU +k@V7y@r9@@Sq@7dz@lX@VRw@l6@@fv@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -3436,11 +3156,9 @@ z@eV@@vu@RU_@bh@Fvx@FE@@Yt@Vu_@3_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fn0000m3KqV_p0020G -0000WY00000Ca1W10c000004a001H0WG80G4408120K0106WGZnCaGI3C43W10W0010WGH06 -0G0020000q47Cm00200000C0G1H0O0000GoNLdWd1040W0000WDtXV0m@@3 +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FRO0000OwxOhpO0010800 +00GH000006o0m00J000002I0WW80G8408220a010AW003GenO6I8f16Y1m00G0W00Ge80308 +0010000QY36O0010000060eW80C00008vhgJmp0020G0000mcxmF0u@@10 ; ' // Loading device with a `jstart` instruction. IRSCAN 10, $03cc @@ -3513,4 +3231,4 @@ IF D > 2500 THEN CALL ADJUST_BIG_DELAY; IF D <= 2500 THEN CALL ADJUST_SMALL_DELAY; ENDPROC; -CRC CB18; +CRC A7B0; diff --git a/trb_v2b_fpga.ucf b/trb_v2b_fpga.ucf index ba4b037..b42c7b1 100644 --- a/trb_v2b_fpga.ucf +++ b/trb_v2b_fpga.ucf @@ -3,58 +3,58 @@ # NET +<3> LOC ="AJ18| IOSTANDARD = "LVTTL"; # NET ADDON_TO_TRB_CLKINN LOC ="G16| IOSTANDARD = "LVTTL"; # NET ADDON_TO_TRB_CLKINP LOC ="G17| IOSTANDARD = "LVTTL"; -# NET ADO_LV<0> LOC ="AC9"; -# NET ADO_LV<1> LOC ="AC8"; -# NET ADO_LV<2> LOC ="AG3"; -# NET ADO_LV<3> LOC ="AF3"; -# NET ADO_LV<4> LOC ="AF6"; -# NET ADO_LV<5> LOC ="AE6"; -# NET ADO_LV<6> LOC ="AF5"; -# NET ADO_LV<7> LOC ="AF4"; -# NET ADO_LV<8> LOC ="AL1"; -# NET ADO_LV<9> LOC ="AK1"; -# NET ADO_LV<10> LOC ="AJ2"; -# NET ADO_LV<11> LOC ="AJ1"; -# NET ADO_LV<12> LOC ="AB6"; -# NET ADO_LV<13> LOC ="AB5"; -# NET ADO_LV<14> LOC ="AC3"; -# NET ADO_LV<15> LOC ="AC2"; -# NET ADO_LV<16> LOC ="Y11"; -# NET ADO_LV<17> LOC ="AA11"; -# NET ADO_LV<18> LOC ="AD2"; -# NET ADO_LV<19> LOC ="AD1"; -# NET ADO_LV<20> LOC ="Y14"; -# NET ADO_LV<21> LOC ="AA13"; -# NET ADO_LV<22> LOC ="AC5"; -# NET ADO_LV<23> LOC ="AC4"; -# NET ADO_LV<24> LOC ="AF1"; -# NET ADO_LV<25> LOC ="AE1"; -# NET ADO_LV<26> LOC ="AE3"; -# NET ADO_LV<27> LOC ="AE2"; -# NET ADO_LV<28> LOC ="AD6"; -# NET ADO_LV<29> LOC ="AD5"; -# NET ADO_LV<30> LOC ="AC7"; -# NET ADO_LV<31> LOC ="AB8"; -# NET ADO_LV<32> LOC ="Y16"; -# NET ADO_LV<33> LOC ="AA15"; -# NET ADO_LV<34> LOC ="AE4"; -# NET ADO_LV<35> LOC ="AD4"; -# NET ADO_LV<36> LOC ="AH3"; -# NET ADO_LV<37> LOC ="AH2"; -# NET ADO_LV<38> LOC ="AG2"; -# NET ADO_LV<39> LOC ="AG1"; -# NET ADO_LV<40> LOC ="AK3"; -# NET ADO_LV<41> LOC ="AK2"; -# NET ADO_LV<42> LOC ="AF8"; -# NET ADO_LV<43> LOC ="AE8"; -# NET ADO_LV<44> LOC ="AH5"; -# NET ADO_LV<45> LOC ="AH4"; -# NET ADO_LV<46> LOC ="AB13"; -# NET ADO_LV<47> LOC ="AB12"; -# NET ADO_LV<48> LOC ="AM2"; -# NET ADO_LV<49> LOC ="AM1"; -# NET ADO_LV<50> LOC ="AG8"; -# NET ADO_LV<51> LOC ="AG7"; + NET ADO_LV<0> LOC ="AC9"; + NET ADO_LV<1> LOC ="AC8"; + NET ADO_LV<2> LOC ="AG3"; + NET ADO_LV<3> LOC ="AF3"; + NET ADO_LV<4> LOC ="AF6"; + NET ADO_LV<5> LOC ="AE6"; + NET ADO_LV<6> LOC ="AF5"; + NET ADO_LV<7> LOC ="AF4"; + NET ADO_LV<8> LOC ="AL1"; + NET ADO_LV<9> LOC ="AK1"; + NET ADO_LV<10> LOC ="AJ2"; + NET ADO_LV<11> LOC ="AJ1"; + NET ADO_LV<12> LOC ="AB6"; + NET ADO_LV<13> LOC ="AB5"; + NET ADO_LV<14> LOC ="AC3"; + NET ADO_LV<15> LOC ="AC2"; + NET ADO_LV<16> LOC ="Y11"; + NET ADO_LV<17> LOC ="AA11"; + NET ADO_LV<18> LOC ="AD2"; + NET ADO_LV<19> LOC ="AD1"; + NET ADO_LV<20> LOC ="Y14"; + NET ADO_LV<21> LOC ="AA13"; + NET ADO_LV<22> LOC ="AC5"; + NET ADO_LV<23> LOC ="AC4"; + NET ADO_LV<24> LOC ="AF1"; + NET ADO_LV<25> LOC ="AE1"; + NET ADO_LV<26> LOC ="AE3"; + NET ADO_LV<27> LOC ="AE2"; + NET ADO_LV<28> LOC ="AD6"; + NET ADO_LV<29> LOC ="AD5"; + NET ADO_LV<30> LOC ="AC7"; + NET ADO_LV<31> LOC ="AB8"; + NET ADO_LV<32> LOC ="Y16"; + NET ADO_LV<33> LOC ="AA15"; + NET ADO_LV<34> LOC ="AE4"; + NET ADO_LV<35> LOC ="AD4"; + NET ADO_LV<36> LOC ="AH3"; + NET ADO_LV<37> LOC ="AH2"; + NET ADO_LV<38> LOC ="AG2"; + NET ADO_LV<39> LOC ="AG1"; + NET ADO_LV<40> LOC ="AK3"; + NET ADO_LV<41> LOC ="AK2"; + NET ADO_LV<42> LOC ="AF8"; + NET ADO_LV<43> LOC ="AE8"; + NET ADO_LV<44> LOC ="AH5"; + NET ADO_LV<45> LOC ="AH4"; + NET ADO_LV<46> LOC ="AB13"; + NET ADO_LV<47> LOC ="AB12"; + NET ADO_LV<48> LOC ="AM2"; + NET ADO_LV<49> LOC ="AM1"; + NET ADO_LV<50> LOC ="AG8"; + NET ADO_LV<51> LOC ="AG7"; ## NET ADO_LV<52> LOC ="AM3"; ## NET ADO_LV<53> LOC ="AL3"; ## NET ADO_LV<54> LOC ="AK22"; @@ -512,48 +512,52 @@ NET TDC_OUT<30> LOC ="B3"| IOSTANDARD = "LVTTL"| SLEW = FAST; NET TDC_OUT<31> LOC ="B2"| IOSTANDARD = "LVTTL"| SLEW = FAST; NET TDC_RESET LOC ="C5"| IOSTANDARD = "LVTTL"; -# NET TLK_CLK LOC ="AG16"| IOSTANDARD = "LVTTL"; -# NET TLK_ENABLE LOC ="R24"| IOSTANDARD = "LVTTL"; -# NET TLK_LCKREFN LOC ="L28"| IOSTANDARD = "LVTTL"; -# NET TLK_LOOPEN LOC ="R19"| IOSTANDARD = "LVTTL"; -# NET TLK_PRBSEN LOC ="H32"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<0> LOC ="G30"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<1> LOC ="G31"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<2> LOC ="J29"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<3> LOC ="J30"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<4> LOC ="E32"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<5> LOC ="E33"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<6> LOC ="N25"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<7> LOC ="P26"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<8> LOC ="P22"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<9> LOC ="R21"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<10> LOC ="F33"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<11> LOC ="F34"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<12> LOC ="K28"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<13> LOC ="K29"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<14> LOC ="G32"| IOSTANDARD = "LVTTL"; -# NET TLK_RXD<15> LOC ="G33"| IOSTANDARD = "LVTTL"; -# NET TLK_RX_CLK LOC ="AF18"| IOSTANDARD = "LVTTL"; -# NET TLK_RX_DV LOC ="M30"| IOSTANDARD = "LVTTL"; -# NET TLK_RX_ER LOC ="P20"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<0> LOC ="H27"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<1> LOC ="H28"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<2> LOC ="C32"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<3> LOC ="D32"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<4> LOC ="J27"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<5> LOC ="K27"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<6> LOC ="M25"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<7> LOC ="M26"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<8> LOC ="N22"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<9> LOC ="N23"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<10> LOC ="H29"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<11> LOC ="H30"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<12> LOC ="C33"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<13> LOC ="C34"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<14> LOC ="D34"| IOSTANDARD = "LVTTL"; -# NET TLK_TXD<15> LOC ="E34"| IOSTANDARD = "LVTTL"; -# NET TLK_TX_EN LOC ="L29"| IOSTANDARD = "LVTTL"; -# NET TLK_TX_ER LOC ="P24"| IOSTANDARD = "LVTTL"; + NET TLK_CLK LOC ="AG16"| IOSTANDARD = "LVTTL"; + NET "TLK_CLK" TNM_NET =TLK_CLK; + TIMESPEC TS_TLK_CLK =PERIOD "TLK_CLK" 9 ns; + NET TLK_ENABLE LOC ="R24"| IOSTANDARD = "LVTTL"; + NET TLK_LCKREFN LOC ="L28"| IOSTANDARD = "LVTTL"; + NET TLK_LOOPEN LOC ="R19"| IOSTANDARD = "LVTTL"; + NET TLK_PRBSEN LOC ="H32"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<0> LOC ="G30"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<1> LOC ="G31"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<2> LOC ="J29"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<3> LOC ="J30"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<4> LOC ="E32"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<5> LOC ="E33"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<6> LOC ="N25"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<7> LOC ="P26"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<8> LOC ="P22"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<9> LOC ="R21"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<10> LOC ="F33"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<11> LOC ="F34"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<12> LOC ="K28"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<13> LOC ="K29"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<14> LOC ="G32"| IOSTANDARD = "LVTTL"; + NET TLK_RXD<15> LOC ="G33"| IOSTANDARD = "LVTTL"; + NET TLK_RX_CLK LOC ="AF18"| IOSTANDARD = "LVTTL"; + NET "TLK_RX_CLK" TNM_NET =TLK_RX_CLK; + TIMESPEC TS_TLK_RX_CLK =PERIOD "TLK_RX_CLK" 9 ns; + NET TLK_RX_DV LOC ="M30"| IOSTANDARD = "LVTTL"; + NET TLK_RX_ER LOC ="P20"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<0> LOC ="H27"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<1> LOC ="H28"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<2> LOC ="C32"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<3> LOC ="D32"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<4> LOC ="J27"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<5> LOC ="K27"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<6> LOC ="M25"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<7> LOC ="M26"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<8> LOC ="N22"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<9> LOC ="N23"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<10> LOC ="H29"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<11> LOC ="H30"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<12> LOC ="C33"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<13> LOC ="C34"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<14> LOC ="D34"| IOSTANDARD = "LVTTL"; + NET TLK_TXD<15> LOC ="E34"| IOSTANDARD = "LVTTL"; + NET TLK_TX_EN LOC ="L29"| IOSTANDARD = "LVTTL"; + NET TLK_TX_ER LOC ="P24"| IOSTANDARD = "LVTTL"; NET TOKEN_IN LOC ="E6"| IOSTANDARD = "LVTTL"; NET TOKEN_OUT LOC ="C13"| IOSTANDARD = "LVTTL"; NET VIRT_CLK LOC ="H19"; @@ -632,7 +636,7 @@ NET "VIRT_CLK" TNM_NET =VIRT_CLK; TIMESPEC TS_VIRT_CLK =PERIOD "VIRT_CLK" 9 ns; NET "REF_TDC_CLK" TNM_NET = REF_TDC_CLK; - TIMESPEC TS_REF_TDC_CLK = PERIOD "REF_TDC_CLK" 23 ns; +# TIMESPEC TS_REF_TDC_CLK = PERIOD "REF_TDC_CLK" 23 ns; NET "A_DATA_READY" TNM = TDC_NET_GROUP ; NET "B_DATA_READY" TNM = TDC_NET_GROUP ; @@ -675,10 +679,10 @@ # NET "B_DATA_READY" TNM = TDC_NET_GROUP_FF ; # NET "C_DATA_READY" TNM = TDC_NET_GROUP_FF ; # NET "D_DATA_READY" TNM = TDC_NET_GROUP_FF ; - TIMESPEC "TS_TDC_NET_FFS" = FROM TDC_NET_GROUP TO FFS 5 ; #TO PADS .... - TIMESPEC "TS_TDC_NET_RAM" = FROM TDC_NET_GROUP TO RAMS 5 ; +# TIMESPEC "TS_TDC_NET_FFS" = FROM TDC_NET_GROUP TO FFS 5 ; #TO PADS .... +# TIMESPEC "TS_TDC_NET_RAM" = FROM TDC_NET_GROUP TO RAMS 5 ; - NET "TOKEN_OUT" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; +# NET "TOKEN_OUT" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; NET "A_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; NET "A_TDC_EV_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; NET "B_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; diff --git a/trb_v2b_fpga.vhd b/trb_v2b_fpga.vhd index a6f3272..1483d15 100644 --- a/trb_v2b_fpga.vhd +++ b/trb_v2b_fpga.vhd @@ -22,6 +22,9 @@ use work.all; -- library UNISIM; -- use UNISIM.VComponents.all; +use work.version.all; +use work.trb_net_std.all; + entity trb_v2b_fpga is port ( ------------------------------------------------------------------------- @@ -42,8 +45,8 @@ entity trb_v2b_fpga is C_TEMP : in std_logic; D_RESERVED : in std_logic; D_TEMP : in std_logic; - VIR_TRIG : in std_logic; --fast trigger - VIR_TRIGB : in std_logic; +-- VIR_TRIG : in std_logic; --fast trigger +-- VIR_TRIGB : in std_logic; ------------------------------------------------------------------------- -- TDC connections ------------------------------------------------------------------------- @@ -99,7 +102,7 @@ entity trb_v2b_fpga is ------------------------------------------------------------------------- -- ETRAX connections ------------------------------------------------------------------------- - FS_PB : out std_logic_vector (17 downto 0); + FS_PB : inout std_logic_vector (17 downto 0); FS_PC : inout std_logic_vector (17 downto 0); ETRAX_IRQ : out std_logic; ------------------------------------------------------------------------- @@ -162,16 +165,16 @@ entity trb_v2b_fpga is ------------------------------------------------------------------------- -- SHARC ------------------------------------------------------------------------- - DSPADDR : out std_logic_vector (31 downto 0); - DSPDAT : inout std_logic_vector (31 downto 0); - DSP_ACK : in std_logic; - DSP_BM : inout std_logic; - DSP_BMS : out std_logic; --LOC = AF15; - DSP_BOFF : out std_logic; -- LOC = AK14; - DSP_BRST : inout std_logic; - DSP_HBG : in std_logic; - DSP_HBR : out std_logic; - DSP_IRQ : out std_logic_vector (3 downto 0); +-- DSPADDR : out std_logic_vector (31 downto 0); +-- DSPDAT : inout std_logic_vector (31 downto 0); +-- DSP_ACK : in std_logic; +-- DSP_BM : inout std_logic; +-- DSP_BMS : out std_logic; --LOC = AF15; +-- DSP_BOFF : out std_logic; -- LOC = AK14; +-- DSP_BRST : inout std_logic; +-- DSP_HBG : in std_logic; +-- DSP_HBR : out std_logic; +-- DSP_IRQ : out std_logic_vector (3 downto 0); @@ -209,11 +212,11 @@ entity trb_v2b_fpga is -- DSP_TMROE : LOC = AP20; --link data widt now is 4 bit --pullup - DSP_RD : out std_logic; - DSP_RESET : out std_logic; - DSP_RESET_OUT : in std_logic; - DSP_WRH : out std_logic; - DSP_WRL : out std_logic; +-- DSP_RD : out std_logic; +-- DSP_RESET : out std_logic; +-- DSP_RESET_OUT : in std_logic; +-- DSP_WRH : out std_logic; +-- DSP_WRL : out std_logic; -- DSP_MSH LOC = AL14; -- DSP_IOEN LOC = AL18; -- DSP_IORD LOC = AB16; @@ -225,32 +228,32 @@ entity trb_v2b_fpga is ------------------------------------------------------------------------- -- SDRAM ------------------------------------------------------------------------- - VSD_A : out std_logic_vector (12 downto 0); - VSD_BA : out std_logic_vector (1 downto 0); - VSD_CAS : out std_logic; - VSD_CKE : out std_logic; - VSD_CLOCK : out std_logic; - VSD_CSEH : out std_logic; - VSD_CSEL : out std_logic; - VSD_D : inout std_logic_vector (31 downto 0); - VSD_DQML : out std_logic_vector (3 downto 0); - VSD_RAS : out std_logic; - VSD_WE : out std_logic; +-- VSD_A : out std_logic_vector (12 downto 0); +-- VSD_BA : out std_logic_vector (1 downto 0); +-- VSD_CAS : out std_logic; +-- VSD_CKE : out std_logic; +-- VSD_CLOCK : out std_logic; +-- VSD_CSEH : out std_logic; +-- VSD_CSEL : out std_logic; +-- VSD_D : inout std_logic_vector (31 downto 0); +-- VSD_DQML : out std_logic_vector (3 downto 0); +-- VSD_RAS : out std_logic; +-- VSD_WE : out std_logic; ------------------------------------------------------------------------- -- TLK ------------------------------------------------------------------------- - TLK_CLK : in std_logic; - TLK_ENABLE : out std_logic; - TLK_LCKREFN : out std_logic; - TLK_LOOPEN : out std_logic; - TLK_PRBSEN : out std_logic; - TLK_RXD : in std_logic_vector (15 downto 0); - TLK_RX_CLK : in std_logic; - TLK_RX_DV : in std_logic; - TLK_RX_ER : in std_logic; - TLK_TXD : out std_logic_vector (15 downto 0); - TLK_TX_EN : out std_logic; - TLK_TX_ER : out std_logic; + TLK_CLK : in std_logic; + TLK_ENABLE : out std_logic; + TLK_LCKREFN : out std_logic; + TLK_LOOPEN : out std_logic; + TLK_PRBSEN : out std_logic; + TLK_RXD : in std_logic_vector (15 downto 0); + TLK_RX_CLK : in std_logic; + TLK_RX_DV : in std_logic; + TLK_RX_ER : in std_logic; + TLK_TXD : out std_logic_vector (15 downto 0); + TLK_TX_EN : out std_logic; + TLK_TX_ER : out std_logic; ------------------------------------------------------------------------- -- SFP ------------------------------------------------------------------------- @@ -266,8 +269,10 @@ entity trb_v2b_fpga is ------------------------------------------------------------------------- -- ADDON_TO_TRB_CLKINN : std_logic; -- ADDON_TO_TRB_CLKINP : std_logic; - ADO_LV : in std_logic_vector(51 downto 0); --lvds signal - ADO_TTL : inout std_logic_vector(46 downto 0); + ADO_LV : in std_logic_vector(51 downto 0); --lvds signal + -- ADO_TTL : inout std_logic_vector(46 downto 0); + ADO_TTL : inout std_logic_vector(15 downto 0); +-- ADO_TTL : in std_logic; ------------------------------------------------------------------------------- --JTAG ------------------------------------------------------------------------------- @@ -279,63 +284,69 @@ entity trb_v2b_fpga is ); end trb_v2b_fpga; architecture trb_v2b_fpga of trb_v2b_fpga is - component trb_net16_endpoint_0_trg_1_api - generic ( - API_TYPE : integer range 0 to 1; - INIT_DEPTH : integer range 0 to 6; - REPLY_DEPTH : integer range 0 to 6; - FIFO_TO_INT_DEPTH : integer range 0 to 6; - FIFO_TO_APL_DEPTH : integer range 0 to 6; - SBUF_VERSION : integer range 0 to 1; - MUX_WIDTH : integer range 1 to 5; - MUX_SECURE_MODE : integer range 0 to 1; - DAT_CHANNEL : integer range 0 to 3;--**(MUX_WIDTH-1); - DATA_WIDTH : integer; - NUM_WIDTH : integer); - port ( - CLK : in std_logic; - RESET : in std_logic; - CLK_EN : in std_logic; - MED_DATAREADY_OUT : out std_logic; - MED_DATA_OUT : out std_logic_vector (15 downto 0); - MED_PACKET_NUM_OUT : out std_logic_vector (1 downto 0); - MED_READ_IN : in std_logic; - MED_DATAREADY_IN : in std_logic; - MED_DATA_IN : in std_logic_vector (15 downto 0); - MED_PACKET_NUM_IN : in std_logic_vector (1 downto 0); - MED_READ_OUT : out std_logic; - MED_ERROR_IN : in std_logic_vector (2 downto 0); - APL_DATA_IN : in std_logic_vector (15 downto 0); - APL_PACKET_NUM_IN : in std_logic_vector (1 downto 0); - APL_WRITE_IN : in std_logic; - APL_FIFO_FULL_OUT : out std_logic; - APL_SHORT_TRANSFER_IN : in std_logic; - APL_DTYPE_IN : in std_logic_vector (3 downto 0); - APL_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0); - APL_SEND_IN : in std_logic; - APL_TARGET_ADDRESS_IN : in std_logic_vector (15 downto 0); - APL_DATA_OUT : out std_logic_vector (15 downto 0); - APL_PACKET_NUM_OUT : out std_logic_vector (1 downto 0); - APL_TYP_OUT : out std_logic_vector (2 downto 0); - APL_DATAREADY_OUT : out std_logic; - APL_READ_IN : in std_logic; - APL_RUN_OUT : out std_logic; - APL_MY_ADDRESS_IN : in std_logic_vector (15 downto 0); - APL_SEQNR_OUT : out std_logic_vector (7 downto 0); - STAT_GEN : out std_logic_vector (31 downto 0); - STAT_LOCKED : out std_logic_vector (31 downto 0); - STAT_INIT_BUFFER : out std_logic_vector (31 downto 0); - STAT_REPLY_BUFFER : out std_logic_vector (31 downto 0); - STAT_api_control_signals : out std_logic_vector(31 downto 0); - STAT_MPLEX : out std_logic_vector(31 downto 0); - CTRL_GEN : in std_logic_vector (31 downto 0); - CTRL_LOCKED : in std_logic_vector (31 downto 0); - STAT_CTRL_INIT_BUFFER : in std_logic_vector (31 downto 0); - STAT_CTRL_REPLY_BUFFER : in std_logic_vector (31 downto 0); - MPLEX_CTRL : in std_logic_vector (31 downto 0); - API_STAT_FIFO_TO_INT : out std_logic_vector(31 downto 0); - API_STAT_FIFO_TO_APL : out std_logic_vector(31 downto 0)); - end component; + component trb_net16_endpoint_0_trg_1_api + generic ( + API_TYPE : integer range 0 to 1 := c_API_PASSIVE; + IBUF_DEPTH : integer range 0 to 6 := 6;--c_FIFO_BRAM; + FIFO_TO_INT_DEPTH : integer range 0 to 6 := 6;--c_FIFO_SMALL; + FIFO_TO_APL_DEPTH : integer range 0 to 6 := 0;--c_FIFO_SMALL; + SBUF_VERSION : integer range 0 to 1 := c_SBUF_FULL; + IBUF_SECURE_MODE : integer range 0 to 1 := c_SECURE_MODE; + API_SECURE_MODE_TO_APL : integer range 0 to 1 := c_NON_SECURE_MODE; + API_SECURE_MODE_TO_INT : integer range 0 to 1 := c_SECURE_MODE; + OBUF_DATA_COUNT_WIDTH : integer range 0 to 7 := std_DATA_COUNT_WIDTH; + INIT_CAN_SEND_DATA : integer range 0 to 1 := c_NO; + REPLY_CAN_SEND_DATA : integer range 0 to 1 := c_YES; + USE_CHECKSUM : integer range 0 to 1 := c_YES; + DAT_CHANNEL : integer range 0 to 3 := c_SLOW_CTRL_CHANNEL + ); + port ( + CLK : in std_logic; + RESET : in std_logic; + CLK_EN : in std_logic; + MED_DATAREADY_OUT : out std_logic; + MED_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0); + MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0); + MED_READ_IN : in std_logic; + MED_DATAREADY_IN : in std_logic; + MED_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0); + MED_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0); + MED_READ_OUT : out std_logic; + MED_ERROR_IN : in std_logic_vector (2 downto 0); + MED_STAT_OP : in std_logic_vector (15 downto 0); + MED_CTRL_OP : out std_logic_vector (15 downto 0); + APL_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0); + APL_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0); + APL_DATAREADY_IN : in std_logic; + APL_READ_OUT : out std_logic; + APL_SHORT_TRANSFER_IN : in std_logic; + APL_DTYPE_IN : in std_logic_vector (3 downto 0); + APL_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0); + APL_SEND_IN : in std_logic; + APL_TARGET_ADDRESS_IN : in std_logic_vector (15 downto 0); + APL_DATA_OUT : out std_logic_vector (c_DATA_WIDTH-1 downto 0); + APL_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0); + APL_TYP_OUT : out std_logic_vector (2 downto 0); + APL_DATAREADY_OUT : out std_logic; + APL_READ_IN : in std_logic; + APL_RUN_OUT : out std_logic; + APL_MY_ADDRESS_IN : in std_logic_vector (15 downto 0); + APL_SEQNR_OUT : out std_logic_vector (7 downto 0); + STAT_GEN : out std_logic_vector (31 downto 0); + STAT_LOCKED : out std_logic_vector (31 downto 0); + STAT_INIT_BUFFER : out std_logic_vector (31 downto 0); + STAT_REPLY_BUFFER : out std_logic_vector (31 downto 0); + STAT_api_control_signals : out std_logic_vector(31 downto 0); + STAT_MPLEX : out std_logic_vector(31 downto 0); + CTRL_GEN : in std_logic_vector (31 downto 0); + CTRL_LOCKED : in std_logic_vector (31 downto 0); + STAT_CTRL_INIT_BUFFER : in std_logic_vector (31 downto 0); + STAT_CTRL_REPLY_BUFFER : in std_logic_vector (31 downto 0); + MPLEX_CTRL : in std_logic_vector (31 downto 0); + API_STAT_FIFO_TO_INT : out std_logic_vector(31 downto 0); + API_STAT_FIFO_TO_APL : out std_logic_vector(31 downto 0)); + end component; + component tdc_interface port ( CLK : in std_logic; @@ -393,12 +404,12 @@ architecture trb_v2b_fpga of trb_v2b_fpga is TRIGGER_WITH_GEN : in std_logic ); end component; - component etrax_interface + component etrax_interfacev2 port ( CLK : in std_logic; RESET : in std_logic; DATA_BUS : in std_logic_vector(31 downto 0); - ETRAX_DATA_BUS_B : out std_logic_vector(17 downto 0); + ETRAX_DATA_BUS_B : inout std_logic_vector(17 downto 0); ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0); DATA_VALID : in std_logic; ETRAX_BUS_BUSY : out std_logic; @@ -434,27 +445,38 @@ architecture trb_v2b_fpga of trb_v2b_fpga is LVL2_VALID : in std_logic ); end component; - component tlk_interface - port ( - VIRT_CLK : in std_logic; - ENABLE : out std_logic; - LCKREFN : out std_logic; - LOOPEN : out std_logic; - PRBSEN : out std_logic; - RX_CLK : in std_logic; - RX_DV : in std_logic; - RX_ER : in std_logic; - TLK_CLK : in std_logic; - TLK_RXD : in std_logic_vector (15 downto 0); - TLK_TXD : out std_logic_vector (15 downto 0); - TX_EN : out std_logic; - TX_ER : out std_logic; - RESET_VIRT : in std_logic; - TLK_REGISTER_00 : out std_logic_vector(31 downto 0); - TLK_REGISTER_01 : out std_logic_vector(31 downto 0); - SFP_LOS : in std_logic; - TX_DIS : out std_logic); - end component; + component trb_net16_med_tlk + port ( + RESET : in std_logic; + CLK : in std_logic; + TLK_CLK : in std_logic; + TLK_ENABLE : out std_logic; + TLK_LCKREFN : out std_logic; + TLK_LOOPEN : out std_logic; + TLK_PRBSEN : out std_logic; + TLK_RXD : in std_logic_vector(15 downto 0); + TLK_RX_CLK : in std_logic; + TLK_RX_DV : in std_logic; + TLK_RX_ER : in std_logic; + TLK_TXD : out std_logic_vector(15 downto 0); + TLK_TX_EN : out std_logic; + TLK_TX_ER : out std_logic; + SFP_LOS : in std_logic; + SFP_TX_DIS : out std_logic; + MED_DATAREADY_IN : in std_logic; + MED_READ_IN : in std_logic; + MED_DATA_IN : in std_logic_vector (15 downto 0); + MED_PACKET_NUM_IN : in std_logic_vector (1 downto 0); + MED_DATAREADY_OUT : out std_logic; + MED_READ_OUT : out std_logic; + MED_DATA_OUT : out std_logic_vector (15 downto 0); + MED_PACKET_NUM_OUT : out std_logic_vector (1 downto 0); + MED_ERROR_OUT : out std_logic_vector (2 downto 0); + STAT : out std_logic_vector (63 downto 0); + STAT_OP : out std_logic_vector (15 downto 0); + CTRL_OP : in std_logic_vector (15 downto 0)); + end component; + component dsp_interface port ( -- signal to/from dsp @@ -578,6 +600,8 @@ architecture trb_v2b_fpga of trb_v2b_fpga is ------------------------------------------------------------------------------- -- SIGNALS ------------------------------------------------------------------------------- + +-- constant VERSION_NUMBER_TIME : integer := 1210005214; signal CLK : std_logic; signal a_trigg : std_logic; signal b_trigg : std_logic; @@ -713,7 +737,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal sdram_address_i : std_logic_vector(31 downto 0); signal etrax_register_00_i : std_logic_vector(31 downto 0); signal lvl1_triggers : std_logic_vector(7 downto 0); - type scaler_counter_arr is array(0 to 7) of std_logic_vector(31 downto 0); + type scaler_counter_arr is array(0 to 7) of std_logic_vector(63 downto 0); signal scaler_counter : scaler_counter_arr; signal scaler_pulse : std_logic_vector(7 downto 0); signal lvl1_ctu_status_i : std_logic_vector(31 downto 0); @@ -730,42 +754,45 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal check_pulse : std_logic; signal check_counter : std_logic_vector(16 downto 0); --api - signal med_dataready_out_i : STD_LOGIC; - signal med_data_out_i : STD_LOGIC_VECTOR (63 downto 0); - signal med_dataready_in_i : STD_LOGIC; - signal med_dataready_in_synch : STD_LOGIC; - signal med_data_in_i : STD_LOGIC_VECTOR (63 downto 0); - signal med_read_out_i : STD_LOGIC; - signal med_read_in_i : STD_LOGIC; - signal med_error_in_i : STD_LOGIC_VECTOR (2 downto 0); - signal apl_data_in_i : STD_LOGIC_VECTOR (47 downto 0); - signal apl_write_in_i : STD_LOGIC; - signal apl_fifo_full_out_i : STD_LOGIC; - signal apl_short_transfer_in_i : STD_LOGIC; - signal apl_dtype_in_i : STD_LOGIC_VECTOR (3 downto 0); - signal apl_error_pattern_in_i : STD_LOGIC_VECTOR (31 downto 0); - signal apl_send_in_i : STD_LOGIC; - signal apl_target_address_in_i : STD_LOGIC_VECTOR (15 downto 0); - signal apl_data_out_i : STD_LOGIC_VECTOR (47 downto 0); - signal apl_typ_out_i : STD_LOGIC_VECTOR (2 downto 0); - signal apl_dataready_out_i : STD_LOGIC; - signal apl_read_in_i : STD_LOGIC; - signal apl_run_out_i : STD_LOGIC; - signal apl_my_address_in_i : STD_LOGIC_VECTOR (15 downto 0); - signal apl_seqnr_out_i : STD_LOGIC_VECTOR (7 downto 0); - signal stat_gen_i : STD_LOGIC_VECTOR (31 downto 0); - signal stat_locked_i : STD_LOGIC_VECTOR (31 downto 0); - signal stat_init_buffer_i : STD_LOGIC_VECTOR (31 downto 0); - signal stat_reply_buffer_i : STD_LOGIC_VECTOR (31 downto 0); - signal stat_api_control_signals_i : std_logic_vector (31 downto 0); - signal ctrl_gen_i : STD_LOGIC_VECTOR (31 downto 0); - signal ctrl_locked_i : STD_LOGIC_VECTOR (31 downto 0); - signal stat_ctrl_init_buffer_i : STD_LOGIC_VECTOR (31 downto 0); - signal stat_ctrl_reply_buffer_i : STD_LOGIC_VECTOR (31 downto 0); - signal mplex_ctrl_i : STD_LOGIC_VECTOR (31 downto 0); - signal api_stat_fifo_to_int_i : std_logic_vector (31 downto 0); - signal api_stat_fifo_to_apl_i : std_logic_vector (31 downto 0); - signal not_link_status_i : std_logic; + signal med_dataready_out_i : std_logic; + signal med_data_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0); + signal MED_PACKET_NUM_OUT_i : std_logic_vector (c_NUM_WIDTH-1 downto 0); + signal MED_READ_IN_i : std_logic; + signal MED_DATAREADY_IN_i : std_logic; + signal MED_DATA_IN_i : std_logic_vector (c_DATA_WIDTH-1 downto 0); + signal med_error_out_i : std_logic_vector(2 downto 0); + signal MED_READ_OUT_i : std_logic; + signal MED_ERROR_IN_i : std_logic_vector (2 downto 0); + signal MED_STAT_OP_i : std_logic_vector (15 downto 0); + signal MED_CTRL_OP_i : std_logic_vector (15 downto 0); + signal APL_DATA_IN_i : std_logic_vector (c_DATA_WIDTH-1 downto 0); + signal APL_PACKET_NUM_IN_i : std_logic_vector (c_NUM_WIDTH-1 downto 0); + signal APL_DATAREADY_IN_i : std_logic; + signal APL_READ_OUT_i : std_logic; + signal APL_SHORT_TRANSFER_IN_i : std_logic; + signal APL_DTYPE_IN_i : std_logic_vector (3 downto 0); + signal APL_ERROR_PATTERN_IN_i : std_logic_vector (31 downto 0); + signal APL_SEND_IN_i : std_logic; + signal APL_TARGET_ADDRESS_IN_i : std_logic_vector (15 downto 0); + signal APL_DATA_OUT_i : std_logic_vector (c_DATA_WIDTH-1 downto 0); + signal APL_PACKET_NUM_OUT_i : std_logic_vector (c_NUM_WIDTH-1 downto 0); + signal APL_TYP_OUT_i : std_logic_vector (2 downto 0); + signal APL_DATAREADY_OUT_i : std_logic; + signal APL_READ_IN_i : std_logic; + + signal STAT_GEN_i : std_logic_vector (31 downto 0); + signal STAT_LOCKED_i : std_logic_vector (31 downto 0); + signal STAT_INIT_BUFFER_i : std_logic_vector (31 downto 0); + signal STAT_REPLY_BUFFER_i : std_logic_vector (31 downto 0); + signal STAT_api_control_signals_i : std_logic_vector(31 downto 0); + signal STAT_MPLEX_i : std_logic_vector(31 downto 0); + signal CTRL_GEN_i : std_logic_vector (31 downto 0); + signal CTRL_LOCKED_i : std_logic_vector (31 downto 0); + signal STAT_CTRL_INIT_BUFFER_i : std_logic_vector (31 downto 0); + signal STAT_CTRL_REPLY_BUFFER_i : std_logic_vector (31 downto 0); + signal MPLEX_CTRL_i : std_logic_vector (31 downto 0); + signal API_STAT_FIFO_TO_INT_i : std_logic_vector(31 downto 0); + signal API_STAT_FIFO_TO_APL_i : std_logic_vector(31 downto 0); --spi --a signal spi_sck_a : std_logic; @@ -807,7 +834,112 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal saved_txd : std_logic_vector(15 downto 0); signal fs_pc17 : std_logic; signal med_packet_num_in_i : std_logic_vector(1 downto 0); + signal rx_dv_pulse : std_logic; + signal opt_busy : std_logic; + signal not_lvl1_busy_i : std_logic; + signal not_lvl1_busy_pulse : std_logic; + signal check_first_trigg_synch : std_logic; + signal check_first_trigg : std_logic_vector(1 downto 0); + signal not_TLK_RX_DV : std_logic; + signal TLK_RXD_i : std_logic_vector(15 downto 0); + signal fast_trigg_pulse : std_logic; + signal hub_test : std_logic_vector(7 downto 0); + signal tlk_tx_en_i : std_logic; +--extension data + signal timing_counter : std_logic_vector(63 downto 0); + signal timing_counter_reg : std_logic_vector(63 downto 0); + signal opt_busy_pulse : std_logic; +--mdc trigger + signal ext_trigger_norm : std_logic; + signal ext_trigger_cal : std_logic; + signal ext_trigger_code : std_logic; + signal ado_ttl0_i : std_logic; + signal ado_ttl0_more : std_logic; + begin +------------------------------------------------------------------------------- +-- test +------------------------------------------------------------------------------- +-- ADO_TTL(1) <= TLK_RX_ER; +-- ADO_TTL(2) <= TLK_RX_DV; +-- ADO_TTL(6 downto 3) <= TLK_RXD(15 downto 12); +-- TLK_TXD <= x"abcd"; +-- TLK_TX_ER <= '0'; +-- TLK_TX_EN <= fpga_register_0e_i(15); +-- SFP_TX_DIS <= fpga_register_06_i(15); +-- TLK_LOOPEN <= '0'; +-- TLK_LCKREFN <= '1'; +-- TLK_ENABLE <= '1'; +-- TLK_PRBSEN <= '0'; + +-- TLK_RX_CLK_BUFR: BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_RX_CLK, +-- O => tlk_rx_clk_r +-- ); +-- TLK_CLK_BUFR: BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_CLK, +-- O => tlk_clk_r +-- ); + +-- TLK_TX_ER <= '0'; +-- SFP_TX_DIS <= fpga_register_06_i(15); +-- TLK_LOOPEN <= '0'; +-- TLK_LCKREFN <= '1'; +-- TLK_ENABLE <= '1'; +-- TLK_PRBSEN <= '0'; +-- HUB_TESTER: process (tlk_clk_r, external_reset_i) +-- begin -- process HUB_TEST +-- if falling_edge(tlk_clk_r) then +-- if external_reset_i = '1' then -- asynchronous reset (active low) +-- hub_test <= (others => '0'); +-- TLK_TX_EN <= '0'; +-- else +-- hub_test <= hub_test + 1; +-- TLK_TX_EN <= tlk_tx_en_i; +-- end if; +-- end if; +-- end process HUB_TESTER; +-- tlk_tx_en_i <= hub_test(0) when fpga_register_07_i(3 downto 0)= x"1" and hub_test(1) = '0' else +-- hub_test(1) when fpga_register_07_i(3 downto 0)= x"2" and hub_test(2) = '0' else +-- hub_test(2) when fpga_register_07_i(3 downto 0)= x"3" and hub_test(3) = '0' else +-- hub_test(3) when fpga_register_07_i(3 downto 0)= x"4" and hub_test(4) = '0' else +-- hub_test(4) when fpga_register_07_i(3 downto 0)= x"5" else +-- hub_test(5) when fpga_register_07_i(3 downto 0)= x"6" else +-- hub_test(6) when fpga_register_07_i(3 downto 0)= x"7" else +-- hub_test(7) when fpga_register_07_i(3 downto 0)= x"8" +-- else '0'; +-- TLK_TXD <= x"00" & hub_test (7 downto 0); + +-- DGOOD <= tlk_tx_en_i; +------------------------------------------------------------------------------- +-- 64 bit counter +------------------------------------------------------------------------------- + COUNTER_TIMING: process (CLK, external_reset_i) + begin -- process COUNTER_TIMING + if rising_edge(CLK) then -- rising clock edge + if external_reset_i = '1' then -- asynchronous reset (active low) + timing_counter <= (others => '0'); + else + timing_counter <= timing_counter +1; + end if; + end if; + end process COUNTER_TIMING; + SAVE_TIME: process (CLK, external_reset_i) + begin -- process SAVE_TIME + if rising_edge(CLK) then -- rising clock edge + if external_reset_i = '1' and (not_hades_trigger = '1' or lvl1_trigger_i = '1') then -- asynchronous reset (active low) + timing_counter_reg <= timing_counter; + else + timing_counter_reg <= timing_counter_reg; + end if; + end if; + end process SAVE_TIME; ------------------------------------------------------------------------------ -- LVDS signals ------------------------------------------------------------------------------ @@ -833,8 +965,8 @@ begin IBUFDS_TRIGG_B : OBUFDS port map (O => B_TRIGGER, OB => B_TRIGGERB, I => b_trigg); IBUFDS_TRIGG_C : OBUFDS port map (O => C_TRIGGER, OB => C_TRIGGERB, I => c_trigg); IBUFDS_TRIGG_D : OBUFDS port map (O => D_TRIGGER, OB => D_TRIGGERB, I => d_trigg); - IBUFDS_REFERENCE : IBUFGDS generic map (IOSTANDARD => "LVDS_25_DCI") - port map ( O => reference_signal, I => VIR_TRIG, IB => VIR_TRIGB); +-- IBUFDS_REFERENCE : IBUFGDS generic map (IOSTANDARD => "LVDS_25_DCI") +-- port map ( O => reference_signal, I => VIR_TRIG, IB => VIR_TRIGB); OBUFDS_BUNCH_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map ( O => A_TDC_BU_RESET, OB =>A_TDC_BU_RESETB , I => bunch_reset_i); OBUFDS_EVENT_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") @@ -925,7 +1057,7 @@ begin COUNTER_FOR_TEST_1: process (CLK, external_reset_i,test_counter_1) begin if rising_edge(CLK) then - if external_reset_i = '1' or test_counter_1 > x"186A0"then --1kHz + if external_reset_i = '1' or test_counter_1 > x"F4240"then --0.1kHz test_counter_1 <= (others => '0'); else test_counter_1 <= test_counter_1 + 1; @@ -935,7 +1067,7 @@ begin COUNTER_FOR_TEST_2: process (CLK, external_reset_i,test_counter_2) begin if rising_edge(CLK) then - if external_reset_i = '1' or test_counter_2 > x"186A0" then --1kHz + if external_reset_i = '1' or test_counter_2 > x"F4240" then --0.1kHz test_counter_2 <= (others => '0'); else test_counter_2 <= test_counter_2 + 1; @@ -944,27 +1076,27 @@ begin end process COUNTER_FOR_TEST_2; --lenght of signal depend on this condition: test_counter_1 < x". ." --frequency in process:. . or test_counter_x > x". ." - test_a1 <= '1' when (test_counter_1 < x"64" and fpga_register_06_i(1)='1') else '0'; - test_b1 <= '1' when (test_counter_1 < x"64" and fpga_register_06_i(1)='1') else '0'; - test_c1 <= '1' when (test_counter_1 < x"64" and fpga_register_06_i(1)='1') else '0'; - test_d1 <= '1' when (test_counter_1 < x"64" and fpga_register_06_i(1)='1') else '0'; - test_a2 <= '1' when (test_counter_2 < x"64" and fpga_register_06_i(2)='1') else '0'; - test_b2 <= '1' when (test_counter_2 < x"64" and fpga_register_06_i(2)='1') else '0'; - test_c2 <= '1' when (test_counter_2 < x"64" and fpga_register_06_i(2)='1') else '0'; - test_d2 <= '1' when (test_counter_2 < x"64" and fpga_register_06_i(2)='1') else '0'; + test_a1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(0)='1') else '1'; + test_b1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(2)='1') else '1'; + test_c1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(4)='1') else '1'; + test_d1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(6)='1') else '1'; + test_a2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(1)='1') else '1'; + test_b2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(3)='1') else '1'; + test_c2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(5)='1') else '1'; + test_d2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(7)='1') else '1'; trigger_for_test_signal <= '1' when ((test_counter_1 > x"C8" and test_counter_1 < x"CC") and (fpga_register_06_i(1)='1' or fpga_register_06_i(2) ='1' )) else '0'; - -- ADD_LVDS ------------------------------------------------------------------ - ADO_LVDS: for line in 0 to 24 generate --62 lines in total - IBUFDS_LVDS : IBUFDS - generic map ( - IOSTANDARD => "LVDS_25_DCI" - ) - port map ( - I => ADO_LV(line*2), - IB => ADO_LV(line*2+1), - O => lvds_add_on_data(line) - ); - end generate ADO_LVDS; +-- ADD_LVDS ------------------------------------------------------------------ +-- ADO_LVDS: for line in 0 to 25 generate --62 lines in total +-- IBUFDS_LVDS : IBUFDS +-- generic map ( +-- IOSTANDARD => "LVDS_25_DCI" +-- ) +-- port map ( +-- I => ADO_LV(line*2), +-- IB => ADO_LV(line*2+1), +-- O => lvds_add_on_data(line) +-- ); +-- end generate ADO_LVDS; ------------------------------------------------------------------------------- -- reset at startup ------------------------------------------------------------------------------- @@ -983,196 +1115,385 @@ begin ------------------------------------------------------------------------------- -- tiger sharc dma ------------------------------------------------------------------------------- - ------------------------------------------------------------------------------- -- api ------------------------------------------------------------------------------- --- API_INST: trb_net16_endpoint_0_trg_1_api --- generic map ( --- API_TYPE => 0, --- INIT_DEPTH => 1, --- REPLY_DEPTH => 1, --- FIFO_TO_INT_DEPTH => 1, --- FIFO_TO_APL_DEPTH => 1, --- SBUF_VERSION => 0, --- MUX_WIDTH => 1, --- MUX_SECURE_MODE => 0, --- DAT_CHANNEL => 0, --- DATA_WIDTH => 16, --- NUM_WIDTH => 2) +-- trb_net_16_int: trb_net16_endpoint_0_trg_1_api +-- generic map ( +-- API_TYPE => c_API_ACTIVE, +-- IBUF_DEPTH => 6, +-- FIFO_TO_INT_DEPTH => 6, +-- FIFO_TO_APL_DEPTH => 6, +-- SBUF_VERSION => c_SBUF_FULL, +-- IBUF_SECURE_MODE => c_SECURE_MODE, +-- API_SECURE_MODE_TO_APL => c_SECURE_MODE, +-- API_SECURE_MODE_TO_INT => c_SECURE_MODE, +-- OBUF_DATA_COUNT_WIDTH => std_DATA_COUNT_WIDTH, +-- INIT_CAN_SEND_DATA => c_YES, +-- REPLY_CAN_SEND_DATA => c_YES, +-- USE_CHECKSUM => c_YES, +-- DAT_CHANNEL => c_TRG_LVL1_CHANNEL) -- port map ( -- CLK => CLK, --- RESET => external_reset_i, +-- RESET => external_reset, -- CLK_EN => '1', --- MED_DATAREADY_OUT => TLK_TX_EN,--media_data_valid_in_i, --- MED_DATA_OUT => TLK_TXD,--media_data_in_i, --- MED_PACKET_NUM_OUT => open,--MED_PACKET_NUM_OUT_i, --- MED_READ_IN => '1', --- MED_DATAREADY_IN => TLK_RX_DV,--media_data_valid_out_i, --- MED_DATA_IN => TLK_RXD,--media_data_out_i, --- MED_PACKET_NUM_IN => med_packet_num_in_i, --- MED_READ_OUT => open, --MED_READ_OUT_i, enable reading --- --data from trbv2_tlk_api_fifo --- MED_ERROR_IN => "000",--MED_ERROR_IN_i, - if there is --- --link lok --- APL_DATA_IN => x"0000", --- APL_PACKET_NUM_IN => "00", --- APL_WRITE_IN => '0', --- APL_FIFO_FULL_OUT => open, +-- MED_DATAREADY_OUT => med_dataready_in_i, +-- MED_DATA_OUT => med_data_in_i, +-- MED_PACKET_NUM_OUT => med_packet_num_in_i, +-- MED_READ_IN => med_read_out_i, +-- MED_DATAREADY_IN => med_dataready_out_i, +-- MED_DATA_IN => med_data_out_i, +-- MED_PACKET_NUM_IN => med_packet_num_out_i, +-- MED_READ_OUT => med_read_in_i, +-- MED_ERROR_IN => med_error_out_i, +-- MED_STAT_OP => x"0000",--med_stat_op_i, +-- MED_CTRL_OP => med_ctrl_op_i,--x"0000", +-- APL_DATA_IN => apl_data_in_i, +-- APL_PACKET_NUM_IN => apl_packet_num_in_i, +-- APL_DATAREADY_IN => apl_dataready_in_i, +-- APL_READ_OUT => apl_read_out_i, -- APL_SHORT_TRANSFER_IN => '1', --- APL_DTYPE_IN => (others => '0'), --- APL_ERROR_PATTERN_IN => x"12345678", --- APL_SEND_IN => apl_send_in_i, +-- APL_DTYPE_IN => x"0", +-- APL_ERROR_PATTERN_IN => x"01234567", +-- APL_SEND_IN => '0', -- APL_TARGET_ADDRESS_IN => x"FFFF", --- APL_DATA_OUT => open, --- APL_PACKET_NUM_OUT => open, --- APL_TYP_OUT => open,--lvl1_trigger_code_i, --- APL_DATAREADY_OUT => lvl1_trigger_i, --- APL_READ_IN => '1', --- APL_RUN_OUT => open, --- APL_MY_ADDRESS_IN => x"0001", +-- APL_DATA_OUT => apl_data_out_i, +-- APL_PACKET_NUM_OUT => apl_packet_num_out_i, +-- APL_TYP_OUT => apl_typ_out_i, +-- APL_DATAREADY_OUT => apl_dataready_out_i, +-- APL_READ_IN => , +-- APL_RUN_OUT => apl_run_out_i, +-- APL_MY_ADDRESS_IN => x"F001", -- APL_SEQNR_OUT => apl_seqnr_out_i, --- STAT_GEN => open,--STAT_GEN_i, --- STAT_LOCKED => open,--STAT_LOCKED_i, --- STAT_INIT_BUFFER => open,--STAT_INIT_BUFFER_i, --- STAT_REPLY_BUFFER => open,--STAT_REPLY_BUFFER_i, --- STAT_api_control_signals => open,--STAT_api_control_signals_i, --- STAT_MPLEX => open,--STAT_MPLEX_i, --- CTRL_GEN => x"00000000",--CTRL_GEN_i, --- CTRL_LOCKED => x"00000000", --- STAT_CTRL_INIT_BUFFER => x"00000000",--STAT_CTRL_INIT_BUFFER_i, --- STAT_CTRL_REPLY_BUFFER => x"00000000",--STAT_CTRL_REPLY_BUFFER_i, --- MPLEX_CTRL => x"00000000",--MPLEX_CTRL_i, --- API_STAT_FIFO_TO_INT => open,--API_STAT_FIFO_TO_INT_i, --- API_STAT_FIFO_TO_APL => open--API_STAT_FIFO_TO_APL_i +-- STAT_GEN => stat_gen_i, +-- STAT_LOCKED => stat_locked_i, +-- STAT_INIT_BUFFER => stat_init_buffer_i, +-- STAT_REPLY_BUFFER => stat_reply_buffer_i, +-- STAT_api_control_signals => stat_api_control_signals_i, +-- STAT_MPLEX => stat_mplex_i, +-- CTRL_GEN => x"00000000",--ctrl_gen_i, +-- CTRL_LOCKED => x"00000000",--ctrl_locked_i, +-- STAT_CTRL_INIT_BUFFER => stat_ctrl_init_buffer_i, +-- STAT_CTRL_REPLY_BUFFER => stat_ctrl_reply_buffer_i, +-- MPLEX_CTRL => x"00000000", +-- API_STAT_FIFO_TO_INT => api_stat_fifo_to_int_i, +-- API_STAT_FIFO_TO_APL => api_stat_fifo_to_apl_i); + + + +-- ----------------------------------------------------------------------------- +-- -- media to api, api to media +-- ----------------------------------------------------------------------------- +-- TLK_RX_CLK_BUFR: BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_RX_CLK, +-- O => tlk_rx_clk_r +-- ); +-- TLK_CLK_BUFR: BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_CLK, +-- O => tlk_clk_r -- ); --- COUNTER_FOR_API: process (CLK, external_reset_i) --- begin --- if rising_edge(CLK) then --- if external_reset_i = '1' then --- med_packet_num_in_i <= (others => '0'); --- elsif TLK_RX_DV = '1' then --- med_packet_num_in_i <= med_packet_num_in_i + 1; --- end if; --- end if; --- end process COUNTER_FOR_API; - +-- TLK_API_INT: trb_net16_med_tlk +-- port map ( +-- RESET => external_reset_i, +-- CLK => CLK, +-- TLK_CLK => tlk_clk_r, +-- TLK_ENABLE => TLK_ENABLE, +-- TLK_LCKREFN => TLK_LCKREFN, +-- TLK_LOOPEN => TLK_LOOPEN, +-- TLK_PRBSEN => TLK_PRBSEN, +-- TLK_RXD => TLK_RXD, +-- TLK_RX_CLK => tlk_rx_clk_r, +-- TLK_RX_DV => TLK_RX_DV, +-- TLK_RX_ER => TLK_RX_ER, +-- TLK_TXD => TLK_TXD, +-- TLK_TX_EN => TLK_TX_EN, +-- TLK_TX_ER => TLK_TX_ER, +-- SFP_LOS => SFP_LOS, +-- SFP_TX_DIS => SFP_TX_DIS, +-- MED_DATAREADY_IN => med_dataready_in_i, +-- MED_READ_IN => med_read_in_i, +-- MED_DATA_IN => med_data_in_i, +-- MED_PACKET_NUM_IN => med_packet_num_in_i, +-- MED_DATAREADY_OUT => med_dataready_out_i, +-- MED_READ_OUT => med_read_out_i, +-- MED_DATA_OUT => med_data_out_i, +-- MED_PACKET_NUM_OUT => med_packet_num_out_i, +-- MED_ERROR_OUT => med_error_out_i, +-- STAT => stat_i, +-- STAT_OP => stat_op_i, +-- CTRL_OP => ctrl_op_i); +------------------------------------------------------------------------------- +-- tdc interface with trb net +------------------------------------------------------------------------------- + +-- TDC_RESET <= '0';--fpga_register_06_i(5);--'0'; +-- reset_i <= not RESET_VIRT; +-- TOKEN_OUT <= token_out_i; +-- VIRT_TRST <= not fpga_register_06_i(5);--'1'; +-- end_of_transfer <= not tdc_data_valid_i; + +-- LVL2_BUSY_END_PULSER : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => end_of_transfer, +-- pulse => apl_send_in_i); +-- not_lvl1_busy <= not lvl1_busy_i; +-- LVL1_BUSY_PULSER : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => lvl1_busy_i, +-- pulse => apl_read_in_i); +-- TDC_INT : tdc_interface +-- port map ( +-- CLK => CLK, +-- TDC_CLK => tdc_clk, --CLK,--tdc_clk, --mdc addon or CLK +-- RESET => external_reset_i, --not RESET_VIRT, +-- -- TDC_RESET => TDC_RESET, +-- TDC_DATA_IN => TDC_OUT, --x"0" & "00" & lvds_add_on_data(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT, --mdc addon or +-- START_TDC_READOUT => '0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i, --lvl1_tdc_trigg_i, +-- A_TDC_ERROR => A_TDC_ERROR, +-- B_TDC_ERROR => B_TDC_ERROR, +-- C_TDC_ERROR => C_TDC_ERROR, +-- D_TDC_ERROR => D_TDC_ERROR, +-- A_TDC_POWERUP => open, --A_TDC_POWERUP, +-- B_TDC_POWERUP => open, --B_TDC_POWERUP, +-- C_TDC_POWERUP => open, --C_TDC_POWERUP, +-- D_TDC_POWERUP => open, --D_TDC_POWERUP, +-- A_TDC_READY => A_DATA_READY, --ADO_TTL(3), --A_DATA_READY,mdc addon or +-- B_TDC_READY => B_DATA_READY, --ADO_TTL(3), --B_DATA_READY,mdc addon or +-- C_TDC_READY => C_DATA_READY, --ADO_TTL(3), --C_DATA_READY,mdc addon or +-- D_TDC_READY => D_DATA_READY, --ADO_TTL(3), --D_DATA_READY,mdc addon or +-- SEND_TDC_TOKEN => token_out_i, --ADO_TTL(1),-- mdc or addon +-- RECEIVED_TDC_TOKEN => TOKEN_IN, --ADO_TTL(2),--TOKEN_IN, --mdc addon or +-- --normal +-- GET_TDC_DATA => GET_DATA, +-- TO_MANY_TDC_DATA => to_many_tdc_data_i, +-- TDC_READOUT_COMPLETED => tdc_readout_completed_i, +-- LVL1_TAG => TLK_RXD_i(7 downto 0),--lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i, +-- LVL1_CODE => TLK_RXD_i(11 downto 8),--lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i, +-- HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16), --how_many_add_data_i, +-- COUNTER_a => timing_counter(31 downto 0),--test_counter_1, --scaler_counter_0, --x"12311231", +-- COUNTER_b => timing_counter(63 downto 32),--scaler_counter(0), --x"12321232", +-- COUNTER_c => scaler_counter(1), --x"12331233", +-- COUNTER_d => scaler_counter(2), --x"12341234", +-- COUNTER_e => scaler_counter(3), --x"12351235", +-- COUNTER_f => scaler_counter(4), --x"12361236", +-- COUNTER_g => scaler_counter(5), --x"12371237", +-- COUNTER_h => scaler_counter(6), --x"12381238", +-- LVL2_TRIGGER => lvl2_trigger_i, --lvl2_tdc_trigg_i, here +-- TDC_DATA_OUT => tdc_data_out_i, +-- TDC_DATA_VALID => tdc_data_valid_i, +-- ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i, +-- LVL1_BUSY => lvl1_busy_i, +-- LVL2_BUSY => lvl2_busy_i, +-- TDC_REGISTER_00 => tdc_register_00_i, +-- TDC_REGISTER_01 => tdc_register_01_i, +-- TDC_REGISTER_02 => tdc_register_02_i, +-- TDC_REGISTER_03 => tdc_register_03_i, +-- TDC_REGISTER_04 => tdc_register_04_i, +-- TDC_REGISTER_05 => fpga_register_0e_i, +-- BUNCH_RESET => bunch_reset_i, +-- EVENT_RESET => event_reset_i, +-- READ_ADRESS_END_UP => trb_ack_lvl2_i, +-- DELAY_TRIGGER => x"00", --fpga_register_06_i(31 downto 24), +-- TDC_START => trigger_to_tdc_i, +-- TRIGGER_WITHOUT_HADES => fpga_register_06_i(7), +-- TRIGGER_WITH_GEN_EN => fpga_register_06_i(8), +-- TRIGGER_WITH_GEN => not_hades_trigger --trigger_for_test_signal or generator_trigger +-- ); +-- --ADO_TTL(42 downto 35) <= tdc_register_01_i(26 downto 19); +-- -- not_hades_trigger <= rx_dv_pulse;--trigger_for_test_signal or generator_trigger_1 or generator_trigger_2; +-- -- not_hades_trigger <= '0';--generator_trigger_1 or trigger_for_test_signal; +-- not_hades_trigger <= generator_trigger_1 or trigger_for_test_signal; +-- -- not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal; +-- -- not_hades_trigger <= generator_trigger_1; +-- a_trigg <= trigger_to_tdc_i; +-- b_trigg <= trigger_to_tdc_i; +-- c_trigg <= trigger_to_tdc_i; +-- d_trigg <= trigger_to_tdc_i; +-- A_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- B_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- C_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- D_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- DBAD <= lvl1_busy_i; +-- DINT <= etrax_bus_busy_i; +-- DWAIT <= fpga_register_06_i(6); +----------------------------------------------------------------------- + ----------------------------------------------------------------------------- --- tdc interface +-- tdc interface without trbnet ----------------------------------------------------------------------------- - reset_i <= not RESET_VIRT; - TOKEN_OUT <= token_out_i; - TDC_RESET <= '0';--fpga_register_06_i(5);--'0'; - VIRT_TRST <= not fpga_register_06_i(5);--'1'; - TDC_INT : tdc_interface - port map ( - CLK => CLK, - TDC_CLK => tdc_clk, --CLK,--tdc_clk, --mdc addon or CLK - RESET => external_reset_i, --not RESET_VIRT, - -- TDC_RESET => TDC_RESET, - TDC_DATA_IN => TDC_OUT, --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT, --mdc addon or - START_TDC_READOUT => lvl1_trigger_i, --lvl1_tdc_trigg_i, - A_TDC_ERROR => A_TDC_ERROR, - B_TDC_ERROR => B_TDC_ERROR, - C_TDC_ERROR => C_TDC_ERROR, - D_TDC_ERROR => D_TDC_ERROR, - A_TDC_POWERUP => open, --A_TDC_POWERUP, - B_TDC_POWERUP => open, --B_TDC_POWERUP, - C_TDC_POWERUP => open, --C_TDC_POWERUP, - D_TDC_POWERUP => open, --D_TDC_POWERUP, - A_TDC_READY => A_DATA_READY, --ADO_TTL(3), --A_DATA_READY,mdc addon or - B_TDC_READY => B_DATA_READY, --ADO_TTL(3), --B_DATA_READY,mdc addon or - C_TDC_READY => C_DATA_READY, --ADO_TTL(3), --C_DATA_READY,mdc addon or - D_TDC_READY => D_DATA_READY, --ADO_TTL(3), --D_DATA_READY,mdc addon or - SEND_TDC_TOKEN => token_out_i, - RECEIVED_TDC_TOKEN => TOKEN_IN, --ADO_TTL(2),--TOKEN_IN, --mdc addon or - --normal - GET_TDC_DATA => GET_DATA, - TO_MANY_TDC_DATA => to_many_tdc_data_i, - TDC_READOUT_COMPLETED => tdc_readout_completed_i, - LVL1_TAG => lvl1_trigger_tag_i, --TLK_RXD(7 downto 0),--apl_seqnr_out_i, --tdc_tag_i, - LVL1_CODE => lvl1_trigger_code_i, --TLK_RXD(11 downto 8),--apl_data_out_i(3 downto 0), --tdc_code_i, - HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16), --how_many_add_data_i, - COUNTER_a => test_counter_1, --scaler_counter_0, --x"12311231", - COUNTER_b => scaler_counter(0), --x"12321232", - COUNTER_c => scaler_counter(1), --x"12331233", - COUNTER_d => scaler_counter(2), --x"12341234", - COUNTER_e => scaler_counter(3), --x"12351235", - COUNTER_f => scaler_counter(4), --x"12361236", - COUNTER_g => scaler_counter(5), --x"12371237", - COUNTER_h => scaler_counter(6), --x"12381238", - LVL2_TRIGGER => lvl2_trigger_i, --lvl2_tdc_trigg_i, here - TDC_DATA_OUT => tdc_data_out_i, - TDC_DATA_VALID => tdc_data_valid_i, - ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i, - LVL1_BUSY => lvl1_busy_i, - LVL2_BUSY => lvl2_busy_i, - TDC_REGISTER_00 => tdc_register_00_i, - TDC_REGISTER_01 => tdc_register_01_i, - TDC_REGISTER_02 => tdc_register_02_i, - TDC_REGISTER_03 => tdc_register_03_i, - TDC_REGISTER_04 => tdc_register_04_i, - TDC_REGISTER_05 => fpga_register_0e_i, - BUNCH_RESET => bunch_reset_i, - EVENT_RESET => event_reset_i, - READ_ADRESS_END_UP => trb_ack_lvl2_i, - DELAY_TRIGGER => x"00", --fpga_register_06_i(31 downto 24), - TDC_START => trigger_to_tdc_i, - TRIGGER_WITHOUT_HADES => fpga_register_06_i(7), - TRIGGER_WITH_GEN_EN => fpga_register_06_i(8), - TRIGGER_WITH_GEN => not_hades_trigger --trigger_for_test_signal or generator_trigger - ); - --ADO_TTL(42 downto 35) <= tdc_register_01_i(26 downto 19); - not_hades_trigger <= trigger_for_test_signal or generator_trigger_1 or generator_trigger_2; - a_trigg <= trigger_to_tdc_i; - b_trigg <= trigger_to_tdc_i; - c_trigg <= trigger_to_tdc_i; - d_trigg <= trigger_to_tdc_i; - A_TDC_POWERUP <= '1'; --in trbv2c this is diod - B_TDC_POWERUP <= '1'; --in trbv2c this is diod - C_TDC_POWERUP <= '1'; --in trbv2c this is diod - D_TDC_POWERUP <= '1'; --in trbv2c this is diod - DBAD <= lvl1_busy_i; - DINT <= etrax_bus_busy_i; - DWAIT <= fpga_register_06_i(6); --- not hades trigger ---------------------------------------------------------- - EXT_TRIGGER : edge_to_pulse - port map ( - clock => CLK, - en_clk => '1', - signal_in => ADO_TTL(0), - pulse => generator_trigger_1); - generator_trigger_2 <= '0'; - ADO_TTL(0) <= 'Z'; + --opt + TLK_TXD <= x"abcd"; + TLK_TX_ER <= '0'; + SFP_TX_DIS <= fpga_register_06_i(15); + TLK_LOOPEN <= '0'; + TLK_LCKREFN <= '1'; + TLK_ENABLE <= '1'; + TLK_PRBSEN <= '0'; + SATRT_PULSE : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => TLK_RX_DV, + pulse => rx_dv_pulse); + not_lvl1_busy_i <= not lvl1_busy_i; + BUSY_PULSE : edge_to_pulse + port map ( + clock => tlk_clk, + en_clk => '1', + signal_in => not_lvl1_busy_i, + pulse => not_lvl1_busy_pulse); + TLK_TX_EN <= not_lvl1_busy_pulse; + + + SYNCH: process (CLK, external_reset_i) + begin + if rising_edge(tlk_rx_clk_r) then + if external_reset_i = '1' then -- asynchronous reset (active low) + TLK_RXD_i <= x"0000"; + elsif TLK_RX_DV = '1' then + TLK_RXD_i <= TLK_RXD; + else + TLK_RXD_i <= TLK_RXD_i; + end if; + end if; + end process SYNCH; + +-- ADO_TTL(3 downto 0) <= TLK_RXD(3 downto 0); +-- ADO_TTL(4) <= TLK_RX_DV; +-- ADO_TTL(5) <= TLK_RX_ER; +-- ADO_TTL(6) <= lvl1_busy_i; +-- ADO_TTL(7) <= not_lvl1_busy_pulse; + --end opt + + + + +-- ADO_TTL(8) <= FS_PB(17); +-- ADO_TTL(2) <= '0';--B_DATA_READY; +-- ADO_TTL(3) <= '0';--C_DATA_READY; +-- ADO_TTL(4) <= '0';--D_DATA_READY; +-- ADO_TTL(5) <= TDC_CLK; +-- ADO_TTL(6) <= token_out_i; +-- ADO_TTL(7) <= check_pulse; +-- ADO_TTL(15 downto 8) <= tdc_data_out_i(31 downto 24); --TDC_OUT(31 downto 24); + +--from here + + TDC_RESET <= '0';--fpga_register_06_i(5);--'0'; + reset_i <= not RESET_VIRT; + TOKEN_OUT <= token_out_i; + VIRT_TRST <= not fpga_register_06_i(5);--'1'; + + TDC_INT : tdc_interface + port map ( + CLK => CLK, + TDC_CLK => tdc_clk, --CLK,--tdc_clk, --mdc addon or CLK + RESET => external_reset_i, --not RESET_VIRT, + -- TDC_RESET => TDC_RESET, + TDC_DATA_IN => TDC_OUT, --x"0" & "00" & lvds_add_on_data(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT, --mdc addon or + START_TDC_READOUT => TLK_RX_DV,--'0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i, --lvl1_tdc_trigg_i, + A_TDC_ERROR => A_TDC_ERROR, + B_TDC_ERROR => B_TDC_ERROR, + C_TDC_ERROR => C_TDC_ERROR, + D_TDC_ERROR => D_TDC_ERROR, + A_TDC_POWERUP => open, --A_TDC_POWERUP, + B_TDC_POWERUP => open, --B_TDC_POWERUP, + C_TDC_POWERUP => open, --C_TDC_POWERUP, + D_TDC_POWERUP => open, --D_TDC_POWERUP, + A_TDC_READY => A_DATA_READY, --ADO_TTL(3), --A_DATA_READY,mdc addon or + B_TDC_READY => B_DATA_READY, --ADO_TTL(3), --B_DATA_READY,mdc addon or + C_TDC_READY => C_DATA_READY, --ADO_TTL(3), --C_DATA_READY,mdc addon or + D_TDC_READY => D_DATA_READY, --ADO_TTL(3), --D_DATA_READY,mdc addon or + SEND_TDC_TOKEN => token_out_i, --ADO_TTL(1),-- mdc or addon + RECEIVED_TDC_TOKEN => TOKEN_IN, --ADO_TTL(2),--TOKEN_IN, --mdc addon or + --normal + GET_TDC_DATA => GET_DATA, + TO_MANY_TDC_DATA => to_many_tdc_data_i, + TDC_READOUT_COMPLETED => tdc_readout_completed_i, + LVL1_TAG => TLK_RXD_i(7 downto 0),--lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i, + LVL1_CODE => TLK_RXD_i(11 downto 8),--lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i, + HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16), --how_many_add_data_i, + COUNTER_a => scaler_counter(0)(31 downto 0),--timing_counter(31 downto 0),--test_counter_1, --scaler_counter_0, --x"12311231", + COUNTER_b => scaler_counter(0)(63 downto 32),--timing_counter(63 downto 32),--scaler_counter(0), --x"12321232", + COUNTER_c => scaler_counter(1)(31 downto 0), --x"12331233", + COUNTER_d => scaler_counter(2)(31 downto 0), --x"12341234", + COUNTER_e => scaler_counter(3)(31 downto 0), --x"12351235", + COUNTER_f => scaler_counter(3)(31 downto 0), --x"12361236", + COUNTER_g => scaler_counter(4)(31 downto 0), --x"12371237", + COUNTER_h => scaler_counter(5)(31 downto 0), --x"12381238", + LVL2_TRIGGER => lvl2_trigger_i, --lvl2_tdc_trigg_i, here + TDC_DATA_OUT => tdc_data_out_i, + TDC_DATA_VALID => tdc_data_valid_i, + ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i, + LVL1_BUSY => lvl1_busy_i, + LVL2_BUSY => lvl2_busy_i, + TDC_REGISTER_00 => tdc_register_00_i, + TDC_REGISTER_01 => tdc_register_01_i, + TDC_REGISTER_02 => tdc_register_02_i, + TDC_REGISTER_03 => tdc_register_03_i, + TDC_REGISTER_04 => tdc_register_04_i, + TDC_REGISTER_05 => fpga_register_0e_i, + BUNCH_RESET => bunch_reset_i, + EVENT_RESET => event_reset_i, + READ_ADRESS_END_UP => trb_ack_lvl2_i, + DELAY_TRIGGER => x"00", --fpga_register_06_i(31 downto 24), + TDC_START => trigger_to_tdc_i, + TRIGGER_WITHOUT_HADES => fpga_register_06_i(7), + TRIGGER_WITH_GEN_EN => fpga_register_06_i(8), + TRIGGER_WITH_GEN => not_hades_trigger --trigger_for_test_signal or generator_trigger + ); + --ADO_TTL(42 downto 35) <= tdc_register_01_i(26 downto 19); + -- not_hades_trigger <= rx_dv_pulse;--trigger_for_test_signal or generator_trigger_1 or generator_trigger_2; + -- not_hades_trigger <= '0';--generator_trigger_1 or trigger_for_test_signal; + not_hades_trigger <= '0';--generator_trigger_1 or trigger_for_test_signal; + -- not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal; + -- not_hades_trigger <= generator_trigger_1; + a_trigg <= trigger_to_tdc_i; + b_trigg <= trigger_to_tdc_i; + c_trigg <= trigger_to_tdc_i; + d_trigg <= trigger_to_tdc_i; + A_TDC_POWERUP <= '1'; --in trbv2c this is diod + B_TDC_POWERUP <= '1'; --in trbv2c this is diod + C_TDC_POWERUP <= '1'; --in trbv2c this is diod + D_TDC_POWERUP <= '1'; --in trbv2c this is diod + DBAD <= lvl1_busy_i; + DINT <= etrax_bus_busy_i; + DWAIT <= fpga_register_06_i(6); + --- not hades trigger ---------------------------------------------------------- + EXT_TRIGGER_1 : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => ADO_TTL(0), + pulse => generator_trigger_1); + generator_trigger_2 <= '0'; + ADO_TTL(0) <= 'Z'; ------------------------------------------------------------------------------- -- tdc to api ------------------------------------------------------------------------------- - end_of_transfer <= not tdc_data_valid_i; - LVL2_BUSY_END_PULSER : edge_to_pulse - port map ( - clock => CLK, - en_clk => '1', - signal_in => end_of_transfer, - pulse => apl_send_in_i); - not_lvl1_busy <= not lvl1_busy_i; - LVL1_BUSY_PULSER : edge_to_pulse - port map ( - clock => CLK, - en_clk => '1', - signal_in => lvl1_busy_i, - pulse => apl_read_in_i); - LVL1_OPT_PULSER : edge_to_pulse - port map ( - clock => CLK, - en_clk => '1', - signal_in => TLK_RX_DV, - pulse => lvl1_tdc_trigg_i); - LVL2_OPT_END_PULSER : edge_to_pulse - port map ( - clock => tlk_rx_clk_r, - en_clk => '1', - signal_in => end_of_transfer, - pulse => TLK_TX_EN); + +-- LVL1_OPT_PULSER : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => TLK_RX_DV, +-- pulse => lvl1_tdc_trigg_i); +-- LVL2_OPT_END_PULSER : edge_to_pulse +-- port map ( +-- clock => tlk_rx_clk_r, +-- en_clk => '1', +-- signal_in => end_of_transfer, +-- pulse => TLK_TX_EN); -- ADO_TTL(0) <= TLK_RX_DV; -- ADO_TTL(1) <= lvl1_tdc_trigg_i; -- ADO_TTL(2) <= fs_pc17;--FS_PC(17);--'0'; @@ -1183,35 +1504,135 @@ begin -- ADO_TTL(7) <= TLK_RX_ER; -- lvl2_tdc_trigg_i <= '0' & apl_send_in_i; --should be real in normal operation -- 0 reset 1 token 2-5 code 6 token_back 7 data valid -------------------------------------------------------------------------- --- MDCaddon mdc addon or . . . --------------------------------------------------------------------------- --- lvds_add_on_data(31) <= '1'; --- SEND_CODE: process (CLK, external_reset_i) --- begin --- if rising_edge(CLK) then -- rising clock edge --- if external_reset_i = '1' then --- switch_for_start <= '0'; --- elsif ADO_TTL(2) ='1' then --- switch_for_start <= '1'; --- end if; --- end if; --- end process SEND_CODE; --- ADO_TTL(7 downto 4) <= x"d" when switch_for_start ='0' else x"1"; --- not_external_reset <= not external_reset_i; --- SEND_TDC_TRIGGER : edge_to_pulse --- port map ( --- clock => clk, --- en_clk => '1', --- signal_in => not_external_reset, --- pulse => not_reset_pulse); --- ADO_TTL(1) <= token_out_i or not_reset_pulse; --- ADO_TTL(2) <= 'Z'; --- ADO_TTL(3) <= 'Z'; - -- ADO_TTL(0) <= external_reset_i; -------------------------------------------------------------------------- --- self mdc +-- MDCaddon mdc addon -------------------------------------------------------------------------- +-- ADO_TTL(6) <= 'L'; +-- ADO_TTL(5) <= 'L'; +-- EXT_TRIGGER_NORM : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => ADO_TTL(6), +-- pulse => ext_trigger_norm); +-- EXT_TRIGGER_CAL : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => ADO_TTL(5), +-- pulse => ext_trigger_cal); +-- SAVE_EXT_CODE: process (CLK, external_reset_i) +-- begin +-- if rising_edge(CLK) then +-- if external_reset_i = '1' or (not_lvl1_busy_pulse = '1' and ext_trigger_code = '0') then -- asynchronous reset (active low) +-- ext_trigger_code <= '1'; +-- elsif ext_trigger_cal = '1' then +-- ext_trigger_code <= '0'; +-- end if; +-- end if; +-- end process SAVE_EXT_CODE; +-- ADO_TTL(4) <= ext_trigger_code; +-- not_lvl1_busy_i <= not lvl1_busy_i; +-- BUSY_PULSE : edge_to_pulse +-- port map ( +-- clock => tlk_clk, +-- en_clk => '1', +-- signal_in => not_lvl1_busy_i, +-- pulse => not_lvl1_busy_pulse); +-- ADO_TTL(2) <= 'Z'; +-- ADO_TTL(3) <= 'Z'; +-- ADO_TTL(15 downto 7) <= (others => 'Z'); +-- ADO_TTL(0) <= 'Z'; + +-- TDC_RESET <= '0';--fpga_register_06_i(5);--'0'; +-- reset_i <= not RESET_VIRT; +-- TOKEN_OUT <= token_out_i; +-- VIRT_TRST <= not fpga_register_06_i(5);--'1'; +-- ADO_TTL(8) <= lvl1_busy_i; +-- ADO_TTL(9) <= ADO_TTL(3); +-- ADO_TTL(10) <= tdc_register_01_i(0); +-- ADO_TTL(11) <= '1' when tdc_register_01_i(15 downto 0) > x"0030" else '0'; +-- TDC_INT : tdc_interface +-- port map ( +-- CLK => CLK, +-- TDC_CLK => CLK,--tdc_clk, --mdc addon or CLK +-- RESET => external_reset_i, --not RESET_VIRT, +-- -- TDC_RESET => TDC_RESET, +-- TDC_DATA_IN => x"0" & "00" & ADO_LV(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT, --mdc addon or +-- START_TDC_READOUT => '0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i, --lvl1_tdc_trigg_i, +-- A_TDC_ERROR => A_TDC_ERROR, +-- B_TDC_ERROR => B_TDC_ERROR, +-- C_TDC_ERROR => C_TDC_ERROR, +-- D_TDC_ERROR => D_TDC_ERROR, +-- A_TDC_POWERUP => open, --A_TDC_POWERUP, +-- B_TDC_POWERUP => open, --B_TDC_POWERUP, +-- C_TDC_POWERUP => open, --C_TDC_POWERUP, +-- D_TDC_POWERUP => open, --D_TDC_POWERUP, +-- A_TDC_READY => ADO_TTL(3), --A_DATA_READY,mdc addon or +-- B_TDC_READY => '0', --ADO_TTL(3), --B_DATA_READY,mdc addon or +-- C_TDC_READY => '0', --ADO_TTL(3), --C_DATA_READY,mdc addon or +-- D_TDC_READY => '0', --ADO_TTL(3), --D_DATA_READY,mdc addon or +-- SEND_TDC_TOKEN => ado_ttl0_i,--ADO_TTL(1),-- mdc or addon +-- RECEIVED_TDC_TOKEN => ADO_TTL(2),--TOKEN_IN, --mdc addon or +-- --normal +-- GET_TDC_DATA => GET_DATA, +-- TO_MANY_TDC_DATA => to_many_tdc_data_i, +-- TDC_READOUT_COMPLETED => tdc_readout_completed_i, +-- LVL1_TAG => TLK_RXD_i(7 downto 0),--lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i, +-- LVL1_CODE => TLK_RXD_i(11 downto 8),--lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i, +-- HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16), --how_many_add_data_i, +-- COUNTER_a => timing_counter(31 downto 0),--test_counter_1, --scaler_counter_0, --x"12311231", +-- COUNTER_b => timing_counter(63 downto 32),--scaler_counter(0), --x"12321232", +-- COUNTER_c => scaler_counter(1), --x"12331233", +-- COUNTER_d => scaler_counter(2), --x"12341234", +-- COUNTER_e => scaler_counter(3), --x"12351235", +-- COUNTER_f => scaler_counter(4), --x"12361236", +-- COUNTER_g => scaler_counter(5), --x"12371237", +-- COUNTER_h => scaler_counter(6), --x"12381238", +-- LVL2_TRIGGER => lvl2_trigger_i, --lvl2_tdc_trigg_i, here +-- TDC_DATA_OUT => tdc_data_out_i, +-- TDC_DATA_VALID => tdc_data_valid_i, +-- ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i, +-- LVL1_BUSY => lvl1_busy_i, +-- LVL2_BUSY => lvl2_busy_i, +-- TDC_REGISTER_00 => tdc_register_00_i, +-- TDC_REGISTER_01 => tdc_register_01_i, +-- TDC_REGISTER_02 => tdc_register_02_i, +-- TDC_REGISTER_03 => tdc_register_03_i, +-- TDC_REGISTER_04 => tdc_register_04_i, +-- TDC_REGISTER_05 => fpga_register_0e_i, +-- BUNCH_RESET => bunch_reset_i, +-- EVENT_RESET => event_reset_i, +-- READ_ADRESS_END_UP => trb_ack_lvl2_i, +-- DELAY_TRIGGER => x"00", --fpga_register_06_i(31 downto 24), +-- TDC_START => trigger_to_tdc_i, +-- TRIGGER_WITHOUT_HADES => fpga_register_06_i(7), +-- TRIGGER_WITH_GEN_EN => fpga_register_06_i(8), +-- TRIGGER_WITH_GEN => not_hades_trigger --trigger_for_test_signal or generator_trigger +-- ); +-- not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal; +-- a_trigg <= trigger_to_tdc_i; +-- b_trigg <= trigger_to_tdc_i; +-- c_trigg <= trigger_to_tdc_i; +-- d_trigg <= trigger_to_tdc_i; +-- A_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- B_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- C_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- D_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- DBAD <= lvl1_busy_i; +-- DINT <= etrax_bus_busy_i; +-- DWAIT <= fpga_register_06_i(6); +-- MAKE_LONGER: process (CLK, external_reset_i) +-- begin -- process MAKE_LONGER +-- if rising_edge(CLK) then +-- ado_ttl0_more <= ado_ttl0_i; +-- end if; +-- end process MAKE_LONGER; +-- ADO_TTL(1) <= ado_ttl0_more or ado_ttl0_i; +-- normal mdc + +---- self mdc +-- -- COUNTER_FOR_SELF_TOKEN_BACK: process (CLK,token_out_i) -- begin -- process COUNTER_FOR_SELF_TOKEN_BACK -- if rising_edge(CLK) then @@ -1271,7 +1692,7 @@ begin ------------------------------------------------------------------------------- -- etrax interface ------------------------------------------------------------------------------- - ETRAX_INTERFACE_LOGIC : etrax_interface + ETRAX_INTERFACE_LOGIC : etrax_interfacev2 port map ( CLK => CLK, RESET => reset_i, @@ -1311,50 +1732,51 @@ begin EXTERNAL_RESET => external_reset, LVL2_VALID => '0' --lvl2_trigger_code_i(3) ); - fpga_register_01_i <= tdc_register_00_i; + fpga_register_01_i <= x"0" & "00" & lvds_add_on_data(25 downto 0);--tdc_register_00_i; fpga_register_02_i <= tdc_register_01_i;--tdc_data_valid_i & write_lvl1_busy_i & lvl2_busy_fast & lvl2_busy_i & tdc_lvl2_busy_i & tdc_lvl1_busy_i & lvl1_busy_i & trigger_register_00_i(11 downto 0) & sdram_register_00_i(5 downto 0) & dsp_register_00_i(2 downto 0); fpga_register_03_i <= tdc_register_02_i;--busy_register_01_i; fpga_register_04_i <= tdc_register_03_i; fpga_register_05_i <= tdc_register_04_i; - fpga_register_09_i <= x"000" & "00" & TLK_RX_ER & TLK_RX_DV & TLK_RXD;--tlk_register_00_i; +-- fpga_register_09_i <= x"000" & "00" & TLK_RX_ER & TLK_RX_DV & TLK_RXD;--tlk_register_00_i; fpga_register_0a_i <= tlk_register_01_i; - fpga_register_0b_i <= saved_txd & x"00" & apl_seqnr_out_i; - fpga_register_0c_i <= med_data_in_i_saved(63 downto 32);--stat_reply_buffer_i; - fpga_register_0d_i <= med_data_in_i_saved(31 downto 0);--stat_init_buffer_i; + fpga_register_0b_i <= "00" & rx_dv_pulse & opt_busy & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i; +-- fpga_register_0b_i <= saved_txd & x"00" & apl_seqnr_out_i; + fpga_register_0c_i <= "00"& TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD; +-- fpga_register_0c_i <= med_data_in_i_saved(63 downto 32);--stat_reply_buffer_i; +-- fpga_register_0d_i <= med_data_in_i_saved(31 downto 0);--stat_init_buffer_i; SYNCH_RESET: process (CLK) begin -- process SYNCH_RESET if rising_edge(CLK) then -- rising clock edge external_reset_i <= external_reset; - else - external_reset_i <= external_reset_i; end if; end process SYNCH_RESET; fpga_register_10_i <= x"0000"& external_mode_i; + ------------------------------------------------------------------------------- -- tlk ------------------------------------------------------------------------------- - DGOOD <= lvl2_busy_i; - TLK_TXD <= x"abcd"; - TLK_TX_ER <= '0'; - SFP_TX_DIS <= fpga_register_06_i(15); - TLK_LOOPEN <= '0'; - TLK_LCKREFN <= '1'; - TLK_ENABLE <= '1'; - TLK_PRBSEN <= '0'; - TLK_RX_CLK_BUFR: BUFR - port map( - CE => '1', - CLR => '0', - I => TLK_RX_CLK, - O => tlk_rx_clk_r - ); - TLK_CLK_BUFR: BUFR - port map( - CE => '1', - CLR => '0', - I => TLK_CLK, - O => tlk_clk_r - ); +-- DGOOD <= lvl2_busy_i; +-- TLK_TXD <= x"abcd"; +-- TLK_TX_ER <= '0'; +-- SFP_TX_DIS <= fpga_register_06_i(15); +-- TLK_LOOPEN <= '0'; +-- TLK_LCKREFN <= '1'; +-- TLK_ENABLE <= '1'; +-- TLK_PRBSEN <= '0'; +-- TLK_RX_CLK_BUFR: BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_RX_CLK, +-- O => tlk_rx_clk_r +-- ); +-- TLK_CLK_BUFR: BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_CLK, +-- O => tlk_clk_r +-- ); -- tlk_interface_logic: tlk_interface -- port map ( -- VIRT_CLK => CLK, @@ -1384,22 +1806,22 @@ begin ------------------------------------------------------------------------------- -- dsp ------------------------------------------------------------------------------- - DSP_DATA_REGISTER: process (CLK, external_reset_i) - begin -- process DSP_DATA_REGISTER - if CLK'event and CLK = '1' then - if external_reset_i = '1' then - dsp_data_reg_in_i <= x"00000000"; - dsp_data_reg_out_i <= x"00000000"; - dsp_bm_reg <= '0'; - sdram_data_i <= x"00000000"; - else - dsp_bm_reg <= DSP_BM; - dsp_data_reg_in_i <= DSPDAT; - dsp_data_reg_out_i <= dspdat_out_i; - sdram_data_i <= VSD_D ; - end if; - end if; - end process DSP_DATA_REGISTER; +-- DSP_DATA_REGISTER: process (CLK, external_reset_i) +-- begin -- process DSP_DATA_REGISTER +-- if CLK'event and CLK = '1' then +-- if external_reset_i = '1' then +-- dsp_data_reg_in_i <= x"00000000"; +-- dsp_data_reg_out_i <= x"00000000"; +-- dsp_bm_reg <= '0'; +-- sdram_data_i <= x"00000000"; +-- else +-- dsp_bm_reg <= DSP_BM; +-- dsp_data_reg_in_i <= DSPDAT; +-- dsp_data_reg_out_i <= dspdat_out_i; +-- sdram_data_i <= VSD_D ; +-- end if; +-- end if; +-- end process DSP_DATA_REGISTER; -- DSP_HBR <= '1'; -- DSP_RESET <= fpga_register_06_i(4); -- DSP_HBR <= dsp_hbr_i; @@ -1460,40 +1882,89 @@ begin -- INTERNAL_MODE => external_mode_i, -- VALID_DATA_SENT => sdram_external_valid_i, -- DEBUGSTATE_MACHINE => sdram_register_00_i); - VSD_CSEH <= vsd_cs_i; - VSD_CSEL <= vsd_cs_i; +-- VSD_CSEH <= vsd_cs_i; +-- VSD_CSEL <= vsd_cs_i; -- ADO_TTL(18) <= '1'; -- ADO_TTL(15 downto 0) <= (others => 'Z'); ------------------------------------------------------------------------------- -- dtu ------------------------------------------------------------------------------- --- DTU_INT: dtu_interface --- port map ( --- CLK => CLK, --- RESET => external_reset_i, --- LVL1_TRIGGER_BUS => ADO_TTL(4), --- LVL1_DATA_TRIGGER_BUS => ADO_TTL(9), --- LVL1_DATA_BUS => ADO_TTL(8 downto 5), --- LVL1_ERROR_BUS => ADO_TTL(1), --- LVL1_BUSY_BUS => ADO_TTL(0), --- LVL1_TRIGGER => lvl1_trigger_i, --- LVL1_CODE => lvl1_trigger_code_i, --- LVL1_TAG => lvl1_trigger_tag_i, --- LVL1_BUSY => lvl1_busy_i, --- LVL2_TRIGGER_BUS => ADO_TTL(10), --- LVL2_DATA_TRIGGER_BUS => ADO_TTL(15), --- LVL2_DATA_BUS => ADO_TTL(14 downto 11), --- LVL2_ERROR_BUS => open,--ADO_TTL(3), --- LVL2_BUSY_BUS => open,--ADO_TTL(2), --here --- LVL2_TRIGGER => lvl2_trigger_i(0), --- LVL2_CODE => lvl2_trigger_code_i, --- LVL2_TAG => open, --- LVL2_BUSY => '0',--lvl2_busy_i, --1:1 downscaling --- LVL2_TRB_ACK => trb_ack_lvl2_i, --- DTU_DEBUG_00 => open); --- ADO_TTL(15 downto 4) <= (others => 'Z'); --- ADO_TTL(2) <= '0'; --- ADO_TTL(3) <= '0'; +-- TLK_TXD <= x"0" & lvl1_trigger_code_i & lvl1_trigger_tag_i; +-- TLK_TX_ER <= '0'; +-- SFP_TX_DIS <= '0';--fpga_register_06_i(15); +-- TLK_LOOPEN <= '0'; +-- TLK_LCKREFN <= '1'; +-- TLK_ENABLE <= '1'; +-- TLK_PRBSEN <= '0'; +-- RX_PULSE : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => TLK_RX_DV, +-- pulse => rx_dv_pulse); +-- SEND_OPT_BUSY: process (CLK, external_reset_i) +-- begin +-- if rising_edge(CLK) then +-- if external_reset_i = '1' or RX_DV_pulse = '1' then +-- opt_busy <= '0'; +-- elsif lvl1_trigger_i = '1' and lvl1_trigger_code_i /= x"d" then +-- opt_busy <= '1'; +-- end if; +-- end if; +-- end process SEND_OPT_BUSY; +-- TRIGG_PULSE : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => lvl1_trigger_i, +-- pulse => fast_trigg_pulse); + +-- -- COUNT_TRIGGERS: process (CLK, external_reset_i) +-- -- begin -- process COUNT_TRIGGERS +-- -- if rising_edge(CLK) then +-- -- if external_reset_i = '1' then +-- -- check_first_trigg <= "00"; +-- -- elsif fast_trigg_pulse = '1' and check_first_trigg < 2 then +-- -- check_first_trigg <= check_first_trigg + 1; +-- -- else +-- -- check_first_trigg <= check_first_trigg ; +-- -- end if; +-- -- end if; +-- -- end process COUNT_TRIGGERS; +-- SEND_PULSE : edge_to_pulse +-- port map ( +-- clock => tlk_clk_r, +-- en_clk => '1', +-- signal_in => opt_busy, +-- pulse => opt_busy_pulse); +-- TLK_TX_EN <= opt_busy_pulse; +-- DTU_INT: dtu_interface +-- port map ( +-- CLK => CLK, +-- RESET => external_reset_i, +-- LVL1_TRIGGER_BUS => ADO_TTL(4), +-- LVL1_DATA_TRIGGER_BUS => ADO_TTL(9), +-- LVL1_DATA_BUS => ADO_TTL(8 downto 5), +-- LVL1_ERROR_BUS => ADO_TTL(1), +-- LVL1_BUSY_BUS => ADO_TTL(0), +-- LVL1_TRIGGER => lvl1_trigger_i, +-- LVL1_CODE => lvl1_trigger_code_i, +-- LVL1_TAG => lvl1_trigger_tag_i, +-- LVL1_BUSY => opt_busy,--'0',--lvl1_busy_i, +-- LVL2_TRIGGER_BUS => ADO_TTL(10), +-- LVL2_DATA_TRIGGER_BUS => ADO_TTL(15), +-- LVL2_DATA_BUS => ADO_TTL(14 downto 11), +-- LVL2_ERROR_BUS => open,--ADO_TTL(3), +-- LVL2_BUSY_BUS => open,--ADO_TTL(2), --here +-- LVL2_TRIGGER => lvl2_trigger_i(0), +-- LVL2_CODE => lvl2_trigger_code_i, +-- LVL2_TAG => open, +-- LVL2_BUSY => '0',--lvl2_busy_i, --1:1 downscaling +-- LVL2_TRB_ACK => trb_ack_lvl2_i, +-- DTU_DEBUG_00 => open); +-- ADO_TTL(15 downto 4) <= (others => 'Z'); +-- ADO_TTL(2) <= '0'; +-- ADO_TTL(3) <= '0'; ------------------------------------------------------------------------------- -- ctu ------------------------------------------------------------------------------- @@ -1519,21 +1990,36 @@ begin -------------------------------------------------------------------------- -- scalers -------------------------------------------------------------------------- - SCALER: for ttl_line in 16 to 23 generate - PULSE_TO_EDGE : edge_to_pulse - port map ( - clock => CLK, - en_clk => '1', - signal_in => ADO_TTL(ttl_line), - pulse => scaler_pulse(ttl_line - 16)); - SCALER : simpleupcounter_32bit - port map ( - QOUT => scaler_counter(ttl_line - 16), - UP => scaler_pulse(ttl_line - 16), - CLK => CLK, - CLR => external_reset_i); - end generate SCALER; - ADO_TTL(23 downto 16) <= (others => 'Z'); + SCALER: for ttl_line in 0 to 7 generate + PULSE_TO_EDGE : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => ADO_TTL(ttl_line), + pulse => scaler_pulse(ttl_line)); + end generate SCALER; +-- SCALER : simpleupcounter_32bit +-- port map ( +-- QOUT => scaler_counter(ttl_line), +-- UP => scaler_pulse(ttl_line), +-- CLK => CLK, +-- CLR => external_reset_i); +-- end generate SCALER; +-- ADO_TTL(7 downto 0) <= (others => 'Z'); + SCALERS_64bit: process (CLK, external_reset_i) + begin -- process SCALERS_64bit + if rising_edge(CLK) then + if external_reset_i = '1' then -- asynchronous reset (active low) + scaler_counter(0) <= (others => '0'); + scaler_counter(1) <= (others => '0'); + scaler_counter(2) <= (others => '0'); + elsif scaler_pulse(0) = '1' then + scaler_counter(0) <= scaler_counter(0) +1; + scaler_counter(1) <= scaler_counter(1) +1; + scaler_counter(2) <= scaler_counter(2) +1; + end if; + end if; + end process SCALERS_64bit; -------------------------------------------------------------------------- -- -------------------------------------------------------------------------- diff --git a/trb_v2b_fpga_syn.prj b/trb_v2b_fpga_syn.prj index 2bda5c9..1710f93 100644 --- a/trb_v2b_fpga_syn.prj +++ b/trb_v2b_fpga_syn.prj @@ -3,10 +3,12 @@ #add_file options +add_file -vhdl -lib work "version.vhd" add_file -vhdl -lib work "~/trbnet/optical_link/simpleupcounter_8bit.vhd" add_file -vhdl -lib work "~/trbnet/optical_link/simpleupcounter_16bit.vhd" add_file -vhdl -lib work "~/trbnet/optical_link/simpleupcounter_32bit.vhd" add_file -vhdl -lib work "etrax_interface.vhd" +add_file -vhdl -lib work "etrax_interfacev2.vhd" add_file -vhdl -lib work "f_divider.vhd" add_file -vhdl -lib work "tdc_interface.vhd" add_file -vhdl -lib work "trb_v2b_fpga.vhd" @@ -15,28 +17,46 @@ add_file -vhdl -lib work "dsp_interface.vhd" add_file -vhdl -lib work "sdram_interface.vhd" add_file -vhdl -lib work "ctu.vhd" add_file -vhdl -lib work "bit_fifo.vhd" -#add_file -vhdl -lib work "api/trbnet/xilinx/trb_net_fifo_arch.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_base_api.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_std.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_fifo.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_iobuf.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_active_api.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_passive_api.vhd" -#add_file -vhdl -lib work "api/trbnet/xilinx/shift_lut_x16.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_dummy_fifo.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_ibuf.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_io_multiplexer.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_obuf.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_pattern_gen.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_priority_arbiter.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_priority_encoder.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_sbuf.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_term_ibuf.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_term.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_active_apimbuf.vhd" -#add_file -vhdl -lib work "api/trbnet/trb_net_passive_apimbuf.vhd" -#add_file -vhdl -lib work "trbnet/tlk_interface.vhd" -#add_file -vhdl -lib work "trbnet/optical_link_test.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_med_tlk" +add_file -vhdl -lib work "~/trbnet/trb_net_rom_16x8.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_onewire.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_ram_dp.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_ram.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_ram_16x8_dp.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_addresses.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_hub_func.vhd" +#add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/lattice_scm_fifo_18x1k.vhd" +#add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/lattice_scm_fifo_18x16.vhd" +#add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/lattice_scm_fifo_18x32.vhd" +#add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/lattice_scm_fifo_18x64.vhd" +add_file -vhdl -lib work "~/trbnet/xilinx/virtex4/trb_net16_fifo_arch.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_io_multiplexer.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_regIO.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_api_base.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_obuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_ibuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_std.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_fifo.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_iobuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_CRC.vhd" +add_file -vhdl -lib work "~/trbnet/xilinx/shift_lut_x16.vhd" +add_file -vhdl -lib work "~/trbnet/xilinx/trb_net_fifo_16bit_bram_dualport_arch.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_dummy_fifo.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_pattern_gen.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_priority_arbiter.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_priority_encoder.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net_sbuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_sbuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_hub_base.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_hub_logic.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_hub_func.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_endpoint_0_trg_1_api.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_med_tlk.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_term.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_term_ibuf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_term_buf.vhd" +add_file -vhdl -lib work "~/trbnet/trb_net16_endpoint_1_trg_0_api.vhd" + #add_file -constraint "trb_v2a_fpga_syn.sdc" diff --git a/trb_v2b_fpga_tb.vhd b/trb_v2b_fpga_tb.vhd index 687865f..48fb145 100644 --- a/trb_v2b_fpga_tb.vhd +++ b/trb_v2b_fpga_tb.vhd @@ -1,8 +1,10 @@ - -LIBRARY ieee; -USE ieee.std_logic_1164.ALL; -USE ieee.std_logic_unsigned.all; -USE ieee.numeric_std.ALL; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.NUMERIC_STD.all; +library UNISIM; +use UNISIM.VComponents.all; ENTITY trb_v2b_fpga_tb IS END trb_v2b_fpga_tb; @@ -25,8 +27,8 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS C_TEMP : in std_logic; D_RESERVED : in std_logic; D_TEMP : in std_logic; - VIR_TRIG : in std_logic; - VIR_TRIGB : in std_logic; +-- VIR_TRIG : in std_logic; +-- VIR_TRIGB : in std_logic; A_TDC_ERROR : in std_logic; B_TDC_ERROR : in std_logic; C_TDC_ERROR : in std_logic; @@ -71,7 +73,7 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS C_TRIGGERB : out std_logic; D_TRIGGER : out std_logic; D_TRIGGERB : out std_logic; - FS_PB : out std_logic_vector (17 downto 0); + FS_PB : inout std_logic_vector (17 downto 0); FS_PC : inout std_logic_vector (17 downto 0); ETRAX_IRQ : out std_logic; A_SCK : out std_logic; @@ -122,49 +124,50 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS D_TEST1B : out std_logic; D_TEST2 : out std_logic; D_TEST2B : out std_logic; - DSPADDR : out std_logic_vector (31 downto 0); - DSPDAT : inout std_logic_vector (31 downto 0); - DSP_ACK : in std_logic; - DSP_BM : inout std_logic; - DSP_BMS : out std_logic; - DSP_BOFF : out std_logic; - DSP_BRST : inout std_logic; - DSP_HBG : in std_logic; - DSP_HBR : out std_logic; - DSP_IRQ : out std_logic_vector (3 downto 0); - DSP_RD : out std_logic; - DSP_RESET : out std_logic; - DSP_RESET_OUT : in std_logic; - DSP_WRH : out std_logic; - DSP_WRL : out std_logic; - VSD_A : out std_logic_vector (12 downto 0); - VSD_BA : out std_logic_vector (1 downto 0); - VSD_CAS : out std_logic; - VSD_CKE : out std_logic; - VSD_CLOCK : out std_logic; - VSD_CSEH : out std_logic; - VSD_CSEL : out std_logic; - VSD_D : inout std_logic_vector (31 downto 0); - VSD_DQML : out std_logic_vector (3 downto 0); - VSD_RAS : out std_logic; - VSD_WE : out std_logic; - TLK_CLK : in std_logic; - TLK_ENABLE : out std_logic; - TLK_LCKREFN : out std_logic; - TLK_LOOPEN : out std_logic; - TLK_PRBSEN : out std_logic; - TLK_RXD : in std_logic_vector (15 downto 0); - TLK_RX_CLK : in std_logic; - TLK_RX_DV : in std_logic; - TLK_RX_ER : in std_logic; - TLK_TXD : out std_logic_vector (15 downto 0); - TLK_TX_EN : out std_logic; - TLK_TX_ER : out std_logic; +-- DSPADDR : out std_logic_vector (31 downto 0); +-- DSPDAT : inout std_logic_vector (31 downto 0); +-- DSP_ACK : in std_logic; +-- DSP_BM : inout std_logic; +-- DSP_BMS : out std_logic; +-- DSP_BOFF : out std_logic; +-- DSP_BRST : inout std_logic; +-- DSP_HBG : in std_logic; +-- DSP_HBR : out std_logic; +-- DSP_IRQ : out std_logic_vector (3 downto 0); +-- DSP_RD : out std_logic; +-- DSP_RESET : out std_logic; +-- DSP_RESET_OUT : in std_logic; +-- DSP_WRH : out std_logic; +-- DSP_WRL : out std_logic; +-- VSD_A : out std_logic_vector (12 downto 0); +-- VSD_BA : out std_logic_vector (1 downto 0); +-- VSD_CAS : out std_logic; +-- VSD_CKE : out std_logic; +-- VSD_CLOCK : out std_logic; +-- VSD_CSEH : out std_logic; +-- VSD_CSEL : out std_logic; +-- VSD_D : inout std_logic_vector (31 downto 0); +-- VSD_DQML : out std_logic_vector (3 downto 0); +-- VSD_RAS : out std_logic; +-- VSD_WE : out std_logic; + TLK_CLK : in std_logic; + TLK_ENABLE : out std_logic; + TLK_LCKREFN : out std_logic; + TLK_LOOPEN : out std_logic; + TLK_PRBSEN : out std_logic; + TLK_RXD : in std_logic_vector (15 downto 0); + TLK_RX_CLK : in std_logic; + TLK_RX_DV : in std_logic; + TLK_RX_ER : in std_logic; + TLK_TXD : out std_logic_vector (15 downto 0); + TLK_TX_EN : out std_logic; + TLK_TX_ER : out std_logic; SFP_LOS : in std_logic; SFP_TX_DIS : out std_logic; SFP_TX_FAULT : in std_logic; ADO_LV : in std_logic_vector(51 downto 0); - ADO_TTL : inout std_logic_vector(46 downto 0); +-- ADO_TTL : inout std_logic_vector(46 downto 0); + ADO_TTL : inout std_logic_vector(15 downto 0); VIRT_TCK : out std_logic; VIRT_TDI : out std_logic; VIRT_TDO : in std_logic; @@ -332,7 +335,8 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS signal SFP_TX_DIS : std_logic; signal SFP_TX_FAULT : std_logic; signal ADO_LV : std_logic_vector(51 downto 0); - signal ADO_TTL : std_logic_vector(46 downto 0); +-- signal ADO_TTL : std_logic_vector(46 downto 0); + signal ADO_TTL : std_logic_vector(15 downto 0); signal VIRT_TCK : std_logic; signal VIRT_TDI : std_logic; signal VIRT_TDO : std_logic; @@ -355,6 +359,10 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS signal save_lenght : std_logic_vector(15 downto 0); signal compare_counter : std_logic_vector(15 downto 0); signal wrong_lenght_of_event : std_logic; + signal tdc_data_i : std_logic_vector(31 downto 0):=x"00000000"; + signal rw_mode : std_logic_vector(15 downto 0); + signal address : std_logic_vector(31 downto 0); + signal data : std_logic_vector(31 downto 0); BEGIN trb: trb_v2b_fpga port map ( @@ -373,8 +381,8 @@ BEGIN C_TEMP => C_TEMP, D_RESERVED => D_RESERVED, D_TEMP => D_TEMP, - VIR_TRIG => VIR_TRIG, - VIR_TRIGB => VIR_TRIGB, +-- VIR_TRIG => VIR_TRIG, +-- VIR_TRIGB => VIR_TRIGB, A_TDC_ERROR => A_TDC_ERROR, B_TDC_ERROR => B_TDC_ERROR, C_TDC_ERROR => C_TDC_ERROR, @@ -470,44 +478,44 @@ BEGIN D_TEST1B => D_TEST1B, D_TEST2 => D_TEST2, D_TEST2B => D_TEST2B, - DSPADDR => DSPADDR, - DSPDAT => DSPDAT, - DSP_ACK => DSP_ACK, - DSP_BM => DSP_BM, - DSP_BMS => DSP_BMS, - DSP_BOFF => DSP_BOFF, - DSP_BRST => DSP_BRST, - DSP_HBG => DSP_HBG, - DSP_HBR => DSP_HBR, - DSP_IRQ => DSP_IRQ, - DSP_RD => DSP_RD, - DSP_RESET => DSP_RESET, - DSP_RESET_OUT => DSP_RESET_OUT, - DSP_WRH => DSP_WRH, - DSP_WRL => DSP_WRL, - VSD_A => VSD_A, - VSD_BA => VSD_BA, - VSD_CAS => VSD_CAS, - VSD_CKE => VSD_CKE, - VSD_CLOCK => VSD_CLOCK, - VSD_CSEH => VSD_CSEH, - VSD_CSEL => VSD_CSEL, - VSD_D => VSD_D, - VSD_DQML => VSD_DQML, - VSD_RAS => VSD_RAS, - VSD_WE => VSD_WE, - TLK_CLK => TLK_CLK, - TLK_ENABLE => TLK_ENABLE, - TLK_LCKREFN => TLK_LCKREFN, - TLK_LOOPEN => TLK_LOOPEN, - TLK_PRBSEN => TLK_PRBSEN, - TLK_RXD => TLK_RXD, - TLK_RX_CLK => TLK_RX_CLK, - TLK_RX_DV => TLK_RX_DV, - TLK_RX_ER => TLK_RX_ER, - TLK_TXD => TLK_TXD, - TLK_TX_EN => TLK_TX_EN, - TLK_TX_ER => TLK_TX_ER, +-- DSPADDR => DSPADDR, +-- DSPDAT => DSPDAT, +-- DSP_ACK => DSP_ACK, +-- DSP_BM => DSP_BM, +-- DSP_BMS => DSP_BMS, +-- DSP_BOFF => DSP_BOFF, +-- DSP_BRST => DSP_BRST, +-- DSP_HBG => DSP_HBG, +-- DSP_HBR => DSP_HBR, +-- DSP_IRQ => DSP_IRQ, +-- DSP_RD => DSP_RD, +-- DSP_RESET => DSP_RESET, +-- DSP_RESET_OUT => DSP_RESET_OUT, +-- DSP_WRH => DSP_WRH, +-- DSP_WRL => DSP_WRL, +-- VSD_A => VSD_A, +-- VSD_BA => VSD_BA, +-- VSD_CAS => VSD_CAS, +-- VSD_CKE => VSD_CKE, +-- VSD_CLOCK => VSD_CLOCK, +-- VSD_CSEH => VSD_CSEH, +-- VSD_CSEL => VSD_CSEL, +-- VSD_D => VSD_D, +-- VSD_DQML => VSD_DQML, +-- VSD_RAS => VSD_RAS, +-- VSD_WE => VSD_WE, + TLK_CLK => TLK_CLK, + TLK_ENABLE => TLK_ENABLE, + TLK_LCKREFN => TLK_LCKREFN, + TLK_LOOPEN => TLK_LOOPEN, + TLK_PRBSEN => TLK_PRBSEN, + TLK_RXD => TLK_RXD, + TLK_RX_CLK => TLK_RX_CLK, + TLK_RX_DV => TLK_RX_DV, + TLK_RX_ER => TLK_RX_ER, + TLK_TXD => TLK_TXD, + TLK_TX_EN => TLK_TX_EN, + TLK_TX_ER => TLK_TX_ER, SFP_LOS => SFP_LOS, SFP_TX_DIS => SFP_TX_DIS, SFP_TX_FAULT => SFP_TX_FAULT, @@ -522,118 +530,109 @@ BEGIN ------------------------------------------------------------------------------- -- etraxnt_test ------------------------------------------------------------------------------- - - etrax_intf : PROCESS - BEGIN + ------------------------------------------------------------------------------- +-- write read from etrax +------------------------------------------------------------------------------- + etrax_int_test: process + begin + --------------------------------------------------------------------------- + -- writing register + --------------------------------------------------------------------------- + rw_mode <= x"0000"; + address <= x"00000006"; + data <= x"00000106"; + FS_PC(17) <= '0'; + FS_PC(16) <= '0'; + wait for 1000 ns; + for rw_mode_counter in 0 to 15 loop + wait for 100 ns; + FS_PC(17) <= '0'; + FS_PC(16) <= rw_mode(rw_mode_counter); + wait for 100 ns; + FS_PC(17) <= '1'; + FS_PC(16) <= rw_mode(rw_mode_counter); + end loop; + wait for 300 ns; + for address_counter in 0 to 31 loop + wait for 100 ns; + FS_PC(17) <= '0'; + FS_PC(16) <= address(address_counter); + wait for 100 ns; + FS_PC(17) <= '1'; + FS_PC(16) <= address(address_counter); + end loop; + wait for 300 ns; + for data_counter in 0 to 31 loop + wait for 100 ns; + FS_PC(17) <= '0'; + FS_PC(16) <= data(data_counter); + wait for 100 ns; + FS_PC(17) <= '1'; + FS_PC(16) <= data(data_counter); + end loop; + wait for 300 ns; + FS_PC(17) <= '0'; + FS_PC(16) <= '0'; + wait for 400 ns; + FS_PC(17) <= '1'; + wait for 100 ns; + FS_PC(17) <= '0'; + + --------------------------------------------------------------------------- + -- reading register + --------------------------------------------------------------------------- + rw_mode <= x"8000"; + address <= x"00000006"; + data <= x"f000f00f"; + FS_PC(17) <= '0'; + FS_PC(16) <= '0'; + wait for 1000 ns; + for rw_mode_counter in 0 to 15 loop + wait for 100 ns; + FS_PC(17) <= '0'; + FS_PC(16) <= rw_mode(rw_mode_counter); + wait for 100 ns; + FS_PC(17) <= '1'; + FS_PC(16) <= rw_mode(rw_mode_counter); + end loop; + for address_counter in 0 to 31 loop + wait for 100 ns; + FS_PC(17) <= '0'; + FS_PC(16) <= address(address_counter); + wait for 100 ns; + FS_PC(17) <= '1'; + FS_PC(16) <= address(address_counter); + end loop; + for data_counter in 0 to 31 loop + wait for 100 ns; + FS_PC(17) <= '0'; + FS_PC(16) <= data(data_counter); + wait for 100 ns; + FS_PC(17) <= '1'; + FS_PC(16) <= data(data_counter); + end loop; + wait for 100 ns; + FS_PC(17) <= '0'; + wait for 300 ns; + FS_PC(16) <= 'Z'; + wait for 300 ns; + FS_PC(17) <= '1'; --fpga should change the direction of line + wait for 100 ns; + FS_PC(17) <= '0'; - --reading DSP(dev number 1) - wait for 10 ns; - RESET_VIRT <= '0'; - etrax_ready <= '0'; - wait for 10 ns; - FS_PC(16) <= '1'; - FS_PC(17) <= '1'; - RESET_VIRT <= '1'; - wait for 10 ns; - - wait for 30 ns; - -- FS_PC(16) <= '0'; - -- FS_PC(17) <= '0'; - -- wait for 10 ns; - FS_PC(15 downto 0) <= x"0000"; - FS_PC(16) <= '0'; - FS_PC(17) <= '0'; - wait on VIRT_CLK until FS_PB(16) = '0'; - wait for 20 ns; - FS_PC(16) <= '1'; - wait for 20 ns; - FS_PC(15) <= '1'; --read mode(1) - FS_PC(14 downto 8) <= (others => '0'); - FS_PC(7 downto 0) <= x"00"; --device - FS_PC(16) <= '0'; - FS_PC(17) <= '0'; - wait for 20 ns; - FS_PC(15 downto 0) <= x"0000"; --address upper part - FS_PC(16) <= '1'; - FS_PC(17) <= '0'; - wait for 20 ns; - FS_PC(16) <= '0'; - wait for 20 ns; - FS_PC(16) <= '1'; - FS_PC(15 downto 0) <= x"0025"; --adrees lower part - FS_PC(16) <= '1'; - FS_PC(17) <= '0'; - wait for 20 ns; - FS_PC(16) <= '0'; - wait on VIRT_CLK until FS_PB(16)= '1'; - FS_PC(16) <= '1'; - wait for 20 ns; - FS_PC(16) <= '0'; - wait on VIRT_CLK until FS_PB(16) = '0'; - FS_PC(16) <= '1'; - wait for 20 ns; - FS_PC(16) <= '0'; - wait on VIRT_CLK until FS_PB(16)= '1'; - FS_PC(16) <= '1'; - wait for 20 ns; - FS_PC(16) <= '0'; - --writing DSP - wait for 20 ns; - FS_PC(16) <= '1'; - test_synch_00 <= '1'; - wait for 20 ns; - FS_PC(15) <= '0'; --write mode - FS_PC(14 downto 8) <= (others => '0'); - FS_PC(7 downto 0) <= x"00"; --device - FS_PC(16) <= '0'; - FS_PC(17) <= '0'; - wait for 20 ns; - FS_PC(15 downto 0) <= x"0000"; --address upper part - FS_PC(16) <= '1'; - FS_PC(17) <= '0'; - wait for 20 ns; - FS_PC(16) <= '0'; - wait for 20 ns; - FS_PC(16) <= '1'; - FS_PC(15 downto 0) <= x"0006"; --adrees lower part - FS_PC(16) <= '1'; - FS_PC(17) <= '0'; - wait for 20 ns; - FS_PC(16) <= '0'; - wait for 20 ns; - FS_PC(15 downto 0) <= x"0000"; --data upper part - FS_PC(16) <= '1'; - FS_PC(17) <= '0'; - wait for 20 ns; - FS_PC(16) <= '0'; - wait for 20 ns; - FS_PC(15 downto 0) <= x"0100"; --data lower part - 1 switch on - --internal generation of trigger - FS_PC(16) <= '1'; - FS_PC(17) <= '0'; - wait for 20 ns; - FS_PC(16) <= '0'; - wait for 20 ns; - test_synch_00 <= '0'; - FS_PC(16) <= '1'; - wait for 20 ns; - FS_PC(16) <= '0'; - FS_PC(15 downto 0) <= (others => 'Z'); --- wait on VIRT_CLK until FS_PB(16)= '1'; - loop - wait on VIRT_CLK until FS_PB(16) = '1'; - wait for 50 ns; - FS_PC(17) <= '1'; - etrax_ready <= '1'; - wait for 50 ns; - etrax_ready <= '0'; - FS_PC(17) <= '0'; - wait for 50 ns; - end loop; - - wait; -- will wait forever - end process; + wait for 300 ns; + for data_to_etrax_counter in 0 to 31 loop + FS_PC(16) <= 'Z'; + wait for 100 ns; + FS_PC(17) <= '0'; + wait for 100 ns; + FS_PC(17) <= '1'; + end loop; + wait; + + + end process etrax_int_test; @@ -703,7 +702,7 @@ BEGIN ----------------------------------------------------------------------- -- process check headers - number of words in event ----------------------------------------------------------------------- - fpga_data_out <= FS_PC(15 downto 0) & FS_PB(15 downto 0); + valid_pulse: edge_to_pulse port map ( clock => VIRT_CLK, @@ -741,10 +740,22 @@ BEGIN end process ckeck_header; wrong_lenght_of_event <= '1' when ((save_lenght /= compare_counter) and FS_PB(16) = '0') else '0'; ----------------------------------------------------------------------- - -- TDC + -- TDC and etrax readout ----------------------------------------------------------------------- - clock_tdcclk : process + TDC_OUT <= tdc_data_i;--x"00000000";--tdc_data_i; + TDC_DATA_CHANGE: process(REF_TDC_CLK, RESET_VIRT) + begin + if rising_edge(REF_TDC_CLK) then + if RESET_VIRT = '1' then + tdc_data_i <= x"00000000"; + else + tdc_data_i <= tdc_data_i + 1; + end if; + end if; + end process TDC_DATA_CHANGE; + + clock_tdcclk : process begin wait for 12 ns; loop @@ -765,8 +776,7 @@ BEGIN C_DATA_READY <= '0'; D_DATA_READY <= '0'; TOKEN_IN <= '0'; - TDC_OUT <= x"bedebabe"; --- A_TEMP <= '0'; + -- A_TEMP <= '0'; -- wait for 50 ns; -- A_TEMP <= '1'; -- wait for 10 ns; @@ -802,6 +812,18 @@ BEGIN -- wait on REF_TDC_CLK until DBAD = '0'; valid_time := valid_time*3/2 +1; end process; + ETRAX_BUSY: process + variable etrax_busy_time : integer :=0; + begin -- process ETRAX_BUSY + FS_PB(17) <= '0'; + wait on VIRT_CLK until FS_PB(16) ='1'; + wait for 30 ns; + FS_PB(17) <= '1'; + wait for 80 ns; + wait for ((etrax_busy_time mod 7 )*5+20)*ns; + etrax_busy_time := etrax_busy_time*3/2 +1; + end process ETRAX_BUSY; + -- trigger_lvl2 : process -- begin -- B_TEMP <= '0';