From: Tobias Weber Date: Fri, 21 Nov 2014 16:09:03 +0000 (+0100) Subject: Now Readout of first sensor works, but second does not despite readout control signal... X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=74ef859bb5c0c5e7ac7203b41b8a5717fe8bea17;p=trb3.git Now Readout of first sensor works, but second does not despite readout control signals look good --- diff --git a/base/trb3_periph_mupix.lpf b/base/trb3_periph_mupix.lpf index f5c16ef..5b651e2 100644 --- a/base/trb3_periph_mupix.lpf +++ b/base/trb3_periph_mupix.lpf @@ -176,6 +176,7 @@ IOBUF PORT "ck_c_to_mupix0" IO_TYPE=LVCMOS25 ; #MuPix Readout +#Board0 LOCATE COMP "rowaddr_from_mupix0_0" SITE "H2"; LOCATE COMP "rowaddr_from_mupix0_1" SITE "H1"; LOCATE COMP "rowaddr_from_mupix0_2" SITE "M5"; @@ -194,39 +195,40 @@ LOCATE COMP "coladdr_from_mupix0_5" SITE "L1"; DEFINE PORT GROUP "coladdr_from_mupix0_group" "coladdr_from_mupix0*"; IOBUF GROUP "coladdr_from_mupix0_group" IO_TYPE=LVCMOS25; -LOCATE COMP "timestamp_from_mupix0_0" SITE "M21"; -LOCATE COMP "timestamp_from_mupix0_1" SITE "J24"; -LOCATE COMP "timestamp_from_mupix0_2" SITE "M24"; -LOCATE COMP "timestamp_from_mupix0_3" SITE "K25"; -LOCATE COMP "timestamp_from_mupix0_4" SITE "N21"; -LOCATE COMP "timestamp_from_mupix0_5" SITE "K26"; -LOCATE COMP "timestamp_from_mupix0_6" SITE "N22"; -LOCATE COMP "timestamp_from_mupix0_7" SITE "L19"; +LOCATE COMP "timestamp_from_mupix0_0" SITE "U3"; +LOCATE COMP "timestamp_from_mupix0_1" SITE "R2"; +LOCATE COMP "timestamp_from_mupix0_2" SITE "P3"; +LOCATE COMP "timestamp_from_mupix0_3" SITE "P6"; +LOCATE COMP "timestamp_from_mupix0_4" SITE "N6"; +LOCATE COMP "timestamp_from_mupix0_5" SITE "AC3"; +LOCATE COMP "timestamp_from_mupix0_6" SITE "AC1"; +LOCATE COMP "timestamp_from_mupix0_7" SITE "AA2"; DEFINE PORT GROUP "timestamp_from_mupix0_group" "timestamp_from_mupix0*"; IOBUF GROUP "timestamp_from_mupix0_group" IO_TYPE=LVCMOS25; -LOCATE COMP "timestamp_to_mupix0_0" SITE "L24"; -LOCATE COMP "timestamp_to_mupix0_1" SITE "M22"; -LOCATE COMP "timestamp_to_mupix0_2" SITE "J26"; -LOCATE COMP "timestamp_to_mupix0_3" SITE "N23"; -LOCATE COMP "timestamp_to_mupix0_4" SITE "K19"; -LOCATE COMP "timestamp_to_mupix0_5" SITE "P23"; -LOCATE COMP "timestamp_to_mupix0_6" SITE "L25"; -LOCATE COMP "timestamp_to_mupix0_7" SITE "P21"; +LOCATE COMP "timestamp_to_mupix0_0" SITE "P5"; +LOCATE COMP "timestamp_to_mupix0_1" SITE "N5"; +LOCATE COMP "timestamp_to_mupix0_2" SITE "AC2"; +LOCATE COMP "timestamp_to_mupix0_3" SITE "AB1"; +LOCATE COMP "timestamp_to_mupix0_4" SITE "AA1"; +LOCATE COMP "timestamp_to_mupix0_5" SITE "W7"; +LOCATE COMP "timestamp_to_mupix0_6" SITE "Y5"; +LOCATE COMP "timestamp_to_mupix0_7" SITE "V6"; DEFINE PORT GROUP "timestamp_to_mupix0_group" "timestamp_to_mupix0*"; IOBUF GROUP "timestamp_to_mupix0_group" IO_TYPE=LVCMOS25; -LOCATE COMP "ldpix_to_mupix0" SITE "L20"; +LOCATE COMP "ldpix_to_mupix0" SITE "T2"; IOBUF PORT "ldpix_to_mupix0" IO_TYPE=LVCMOS25 ; -LOCATE COMP "ldcol_to_mupix0" SITE "M23"; +LOCATE COMP "ldcol_to_mupix0" SITE "N3"; IOBUF PORT "ldcol_to_mupix0" IO_TYPE=LVCMOS25 ; -LOCATE COMP "rdcol_to_mupix0" SITE "L5"; +LOCATE COMP "rdcol_to_mupix0" SITE "J4"; IOBUF PORT "rdcol_to_mupix0" IO_TYPE=LVCMOS25 ; -LOCATE COMP "pulldown_to_mupix0" SITE "D3"; +LOCATE COMP "pulldown_to_mupix0" SITE "L3"; IOBUF PORT "pulldown_to_mupix0" IO_TYPE=LVCMOS25 ; -LOCATE COMP "priout_from_mupix0" SITE "L6"; -IOBUF PORT "priout_from_mupix0" IO_TYPE=LVCMOS25 ; +LOCATE COMP "priout_from_mupix0" SITE "J3"; +IOBUF PORT "priout_from_mupix0" IO_TYPE=LVCMOS25 ; + #Mupix 1 @@ -268,7 +270,7 @@ LOCATE COMP "sout_d_from_mupix1" SITE "AC26"; IOBUF PORT "sout_d_from_mupix1" IO_TYPE=LVCMOS25 ; LOCATE COMP "sout_c_from_mupix1" SITE "AC25"; IOBUF PORT "sout_c_from_mupix1" IO_TYPE=LVCMOS25 ; -LOCATE COMP "sin_to_mupix1" SITE "T1"; +LOCATE COMP "sin_to_mupix1" SITE "Y19"; IOBUF PORT "sin_to_mupix1" IO_TYPE=LVCMOS25 ; LOCATE COMP "ck_d_to_mupix1" SITE "AB24"; IOBUF PORT "ck_d_to_mupix1" IO_TYPE=LVCMOS25 ; @@ -297,43 +299,36 @@ LOCATE COMP "coladdr_from_mupix1_5" SITE "V22"; DEFINE PORT GROUP "coladdr_from_mupix1_group" "coladdr_from_mupix1*"; IOBUF GROUP "coladdr_from_mupix1_group" IO_TYPE=LVCMOS25; -LOCATE COMP "timestamp_from_mupix1_0" SITE "U3"; -LOCATE COMP "timestamp_from_mupix1_1" SITE "R2"; -LOCATE COMP "timestamp_from_mupix1_2" SITE "P3"; -LOCATE COMP "timestamp_from_mupix1_3" SITE "P6"; -LOCATE COMP "timestamp_from_mupix1_4" SITE "N6"; -LOCATE COMP "timestamp_from_mupix1_5" SITE "AC3"; -LOCATE COMP "timestamp_from_mupix1_6" SITE "AC1"; -LOCATE COMP "timestamp_from_mupix1_7" SITE "AA2"; +LOCATE COMP "timestamp_from_mupix1_0" SITE "M21"; +LOCATE COMP "timestamp_from_mupix1_1" SITE "J24"; +LOCATE COMP "timestamp_from_mupix1_2" SITE "M24"; +LOCATE COMP "timestamp_from_mupix1_3" SITE "K25"; +LOCATE COMP "timestamp_from_mupix1_4" SITE "N21"; +LOCATE COMP "timestamp_from_mupix1_5" SITE "K26"; +LOCATE COMP "timestamp_from_mupix1_6" SITE "N22"; +LOCATE COMP "timestamp_from_mupix1_7" SITE "L19"; DEFINE PORT GROUP "timestamp_from_mupix1_group" "timestamp_from_mupix1*"; IOBUF GROUP "timestamp_from_mupix1_group" IO_TYPE=LVCMOS25; -LOCATE COMP "timestamp_to_mupix1_0" SITE "P5"; -LOCATE COMP "timestamp_to_mupix1_1" SITE "N5"; -LOCATE COMP "timestamp_to_mupix1_2" SITE "AC2"; -LOCATE COMP "timestamp_to_mupix1_3" SITE "AB1"; -LOCATE COMP "timestamp_to_mupix1_4" SITE "AA1"; -LOCATE COMP "timestamp_to_mupix1_5" SITE "W7"; -LOCATE COMP "timestamp_to_mupix1_6" SITE "Y5"; -LOCATE COMP "timestamp_to_mupix1_7" SITE "V6"; +LOCATE COMP "timestamp_to_mupix1_0" SITE "L24"; +LOCATE COMP "timestamp_to_mupix1_1" SITE "M22"; +LOCATE COMP "timestamp_to_mupix1_2" SITE "J26"; +LOCATE COMP "timestamp_to_mupix1_3" SITE "N23"; +LOCATE COMP "timestamp_to_mupix1_4" SITE "K19"; +LOCATE COMP "timestamp_to_mupix1_5" SITE "P23"; +LOCATE COMP "timestamp_to_mupix1_6" SITE "L25"; +LOCATE COMP "timestamp_to_mupix1_7" SITE "P21"; DEFINE PORT GROUP "timestamp_to_mupix1_group" "timestamp_to_mupix1*"; IOBUF GROUP "timestamp_to_mupix1_group" IO_TYPE=LVCMOS25; -LOCATE COMP "ldpix_to_mupix1" SITE "T2"; +LOCATE COMP "ldpix_to_mupix1" SITE "L20"; IOBUF PORT "ldpix_to_mupix1" IO_TYPE=LVCMOS25 ; -LOCATE COMP "ldcol_to_mupix1" SITE "N3"; +LOCATE COMP "ldcol_to_mupix1" SITE "M23"; IOBUF PORT "ldcol_to_mupix1" IO_TYPE=LVCMOS25 ; -LOCATE COMP "rdcol_to_mupix1" SITE "J4"; +LOCATE COMP "rdcol_to_mupix1" SITE "L5"; IOBUF PORT "rdcol_to_mupix1" IO_TYPE=LVCMOS25 ; -LOCATE COMP "pulldown_to_mupix1" SITE "L3"; +LOCATE COMP "pulldown_to_mupix1" SITE "D3"; IOBUF PORT "pulldown_to_mupix1" IO_TYPE=LVCMOS25 ; -LOCATE COMP "priout_from_mupix1" SITE "J3"; -IOBUF PORT "priout_from_mupix1" IO_TYPE=LVCMOS25 ; - - - - - - - +LOCATE COMP "priout_from_mupix1" SITE "L6"; +IOBUF PORT "priout_from_mupix1" IO_TYPE=LVCMOS25 ; \ No newline at end of file diff --git a/mupix/sources/MuPix3_interface.vhd b/mupix/sources/MuPix3_interface.vhd index 9e569ca..0435cd2 100644 --- a/mupix/sources/MuPix3_interface.vhd +++ b/mupix/sources/MuPix3_interface.vhd @@ -87,7 +87,7 @@ architecture RTL of mupix_interface is signal gen_hit_row : std_logic_vector(5 downto 0) := (others => '0'); signal gen_hit_time : std_logic_vector(7 downto 0) := (others => '0'); - signal testoutro : std_logic_vector (127 downto 0) := (others => '0'); + signal testoutro : std_logic_vector (31 downto 0) := (others => '0'); --Control Registers signal resetgraycounter : std_logic := '0'; @@ -112,6 +112,7 @@ begin --x0026: Pause Register --x0027: Delay Counters 2 --x0028: Divider for graycounter clock + --x0029: testoutro ----------------------------------------------------------------------------- SLV_HANDLER : process(clk) @@ -152,6 +153,9 @@ begin when x"0028" => SLV_DATA_OUT <= graycounter_clkdiv_counter; SLV_ACK_OUT <= '1'; + when x"0029" => + SLV_DATA_OUT <= testoutro; + SLV_ACK_OUT <= '1'; when others => SLV_UNKNOWN_ADDR_OUT <= '1'; end case; @@ -259,7 +263,7 @@ begin endofevent <= '0'; elsif(clk'event and clk = '1') then testoutro <= (others => '0'); - testoutro(124) <= priout; + testoutro(31) <= priout; case state is when reset =>