From: Tobias Weber Date: Wed, 15 Aug 2018 08:22:35 +0000 (+0200) Subject: fixing bug in serdes monitoring and removing dummy reset of mupix time stamps. X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=7a14eb3e60bc1892eed7a259dbfb15667287b0e4;p=trb3.git fixing bug in serdes monitoring and removing dummy reset of mupix time stamps. --- diff --git a/mupix/Mupix8/sources/Datapath/MuPixDataLink_new.vhd b/mupix/Mupix8/sources/Datapath/MuPixDataLink_new.vhd index 887442b..e093485 100644 --- a/mupix/Mupix8/sources/Datapath/MuPixDataLink_new.vhd +++ b/mupix/Mupix8/sources/Datapath/MuPixDataLink_new.vhd @@ -632,8 +632,8 @@ begin slv_ack_out <= '1'; slv_data_out <= komma_counter(serdes_channel_select); when x"0169" => - slv_ack_out <= '1'; - slv_data_out <= fifo_readcnt_i((serdes_channel_select + 1)*fifo_depth - 1 downto serdes_channel_select*fifo_depth); + slv_ack_out <= '1'; + slv_data_out(fifo_depth - 1 downto 0) <= fifo_readcnt_i((serdes_channel_select + 1)*fifo_depth - 1 downto serdes_channel_select*fifo_depth); when x"016a" => slv_ack_out <= '1'; slv_data_out(4 downto 0) <= rx_disp_err_sync(serdes_channel_select) diff --git a/mupix/Mupix8/sources/Datapath/MupixTRBReadout.vhd b/mupix/Mupix8/sources/Datapath/MupixTRBReadout.vhd index 433db96..d0bf9be 100644 --- a/mupix/Mupix8/sources/Datapath/MupixTRBReadout.vhd +++ b/mupix/Mupix8/sources/Datapath/MupixTRBReadout.vhd @@ -344,6 +344,7 @@ begin SLV_DATA_IN_i <= (others => '0'); SLV_READ_IN_i <= '0'; SLV_WRITE_IN_i <= '0'; + multiplexer_mask <= (others => '0'); else SLV_DATA_OUT <= (others => '0'); SLV_ACK_OUT <= '0'; diff --git a/mupix/Mupix8/sources/Simulation/DataOutput1X.vhd b/mupix/Mupix8/sources/Simulation/DataOutput.vhd similarity index 100% rename from mupix/Mupix8/sources/Simulation/DataOutput1X.vhd rename to mupix/Mupix8/sources/Simulation/DataOutput.vhd diff --git a/mupix/Mupix8/trb3_periph.vhd b/mupix/Mupix8/trb3_periph.vhd index 288e59e..caaa7de 100644 --- a/mupix/Mupix8/trb3_periph.vhd +++ b/mupix/Mupix8/trb3_periph.vhd @@ -853,19 +853,4 @@ begin clkdata_o => mupix_clk_i); end generate gen_simulation; - --dummy process to test syncres - dummy_proc : process(clk_100_i) - begin - if rising_edge(clk_100_i) then - if dummy_counter = 7 then - syncres_i <= not syncres_i; - dummy_counter <= 0; - else - dummy_counter <= dummy_counter + 1; - end if; - end if; - end process dummy_proc; - - syncres <= syncres_i; - end architecture;