From: Michael Boehmer Date: Wed, 10 Nov 2021 07:14:54 +0000 (+0100) Subject: text formating X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=7e70961de025fda9d537314c8b959b1b70d76dcb;p=trbnet.git text formating --- diff --git a/gbe_trb/base/gbe_logic_wrapper.vhd b/gbe_trb/base/gbe_logic_wrapper.vhd index 98bca31..362a16e 100644 --- a/gbe_trb/base/gbe_logic_wrapper.vhd +++ b/gbe_trb/base/gbe_logic_wrapper.vhd @@ -21,7 +21,7 @@ entity gbe_logic_wrapper is INCLUDE_DHCP : std_logic := '0'; INCLUDE_ARP : std_logic := '0'; INCLUDE_PING : std_logic := '0'; - INCLUDE_FWD : std_logic := '0'; + INCLUDE_FWD : std_logic := '0'; FRAME_BUFFER_SIZE : integer range 1 to 4 := 1; READOUT_BUFFER_SIZE : integer range 1 to 4 := 1; SLOWCTRL_BUFFER_SIZE : integer range 1 to 4 := 1; @@ -126,16 +126,16 @@ entity gbe_logic_wrapper is CFG_AUTO_THROTTLE_IN : in std_logic; CFG_THROTTLE_PAUSE_IN : in std_logic_vector(15 downto 0); --- Forwarder -FWD_DST_MAC_IN : in std_logic_vector(47 downto 0); -FWD_DST_IP_IN : in std_logic_vector(31 downto 0); -FWD_DST_UDP_IN : in std_logic_vector(15 downto 0); -FWD_DATA_IN : in std_logic_vector(7 downto 0); -FWD_DATA_VALID_IN : in std_logic; -FWD_SOP_IN : in std_logic; -FWD_EOP_IN : in std_logic; -FWD_READY_OUT : out std_logic; -FWD_FULL_OUT : out std_logic; + -- Forwarder + FWD_DST_MAC_IN : in std_logic_vector(47 downto 0); + FWD_DST_IP_IN : in std_logic_vector(31 downto 0); + FWD_DST_UDP_IN : in std_logic_vector(15 downto 0); + FWD_DATA_IN : in std_logic_vector(7 downto 0); + FWD_DATA_VALID_IN : in std_logic; + FWD_SOP_IN : in std_logic; + FWD_EOP_IN : in std_logic; + FWD_READY_OUT : out std_logic; + FWD_FULL_OUT : out std_logic; MONITOR_RX_BYTES_OUT : out std_logic_vector(31 downto 0); MONITOR_RX_FRAMES_OUT : out std_logic_vector(31 downto 0); @@ -402,16 +402,15 @@ begin CFG_AUTO_THROTTLE_IN => '0', --CFG_AUTO_THROTTLE_IN, CFG_THROTTLE_PAUSE_IN => (others => '0'), --CFG_THROTTLE_PAUSE_IN, - FWD_DST_MAC_IN => FWD_DST_MAC_IN, - FWD_DST_IP_IN => FWD_DST_IP_IN, - FWD_DST_UDP_IN => FWD_DST_UDP_IN, - FWD_DATA_IN => FWD_DATA_IN, - FWD_DATA_VALID_IN => FWD_DATA_VALID_IN, - FWD_SOP_IN => FWD_SOP_IN, - FWD_EOP_IN => FWD_EOP_IN, - FWD_READY_OUT => FWD_READY_OUT, - FWD_FULL_OUT => FWD_FULL_OUT, - + FWD_DST_MAC_IN => FWD_DST_MAC_IN, + FWD_DST_IP_IN => FWD_DST_IP_IN, + FWD_DST_UDP_IN => FWD_DST_UDP_IN, + FWD_DATA_IN => FWD_DATA_IN, + FWD_DATA_VALID_IN => FWD_DATA_VALID_IN, + FWD_SOP_IN => FWD_SOP_IN, + FWD_EOP_IN => FWD_EOP_IN, + FWD_READY_OUT => FWD_READY_OUT, + FWD_FULL_OUT => FWD_FULL_OUT, TSM_HADDR_OUT => open, --mac_haddr, TSM_HDATA_OUT => open, --mac_hdataout, @@ -555,15 +554,15 @@ begin CFG_AUTO_THROTTLE_IN => CFG_AUTO_THROTTLE_IN, CFG_THROTTLE_PAUSE_IN => CFG_THROTTLE_PAUSE_IN, - FWD_DST_MAC_IN => FWD_DST_MAC_IN, - FWD_DST_IP_IN => FWD_DST_IP_IN, - FWD_DST_UDP_IN => FWD_DST_UDP_IN, - FWD_DATA_IN => FWD_DATA_IN, - FWD_DATA_VALID_IN => FWD_DATA_VALID_IN, - FWD_SOP_IN => FWD_SOP_IN, - FWD_EOP_IN => FWD_EOP_IN, - FWD_READY_OUT => FWD_READY_OUT, - FWD_FULL_OUT => FWD_FULL_OUT, + FWD_DST_MAC_IN => FWD_DST_MAC_IN, + FWD_DST_IP_IN => FWD_DST_IP_IN, + FWD_DST_UDP_IN => FWD_DST_UDP_IN, + FWD_DATA_IN => FWD_DATA_IN, + FWD_DATA_VALID_IN => FWD_DATA_VALID_IN, + FWD_SOP_IN => FWD_SOP_IN, + FWD_EOP_IN => FWD_EOP_IN, + FWD_READY_OUT => FWD_READY_OUT, + FWD_FULL_OUT => FWD_FULL_OUT, -- signal to/from Host interface of TriSpeed MAC TSM_HADDR_OUT => open, --mac_haddr, diff --git a/gbe_trb/base/gbe_wrapper.vhd b/gbe_trb/base/gbe_wrapper.vhd index 1f432a0..08dd2d0 100644 --- a/gbe_trb/base/gbe_wrapper.vhd +++ b/gbe_trb/base/gbe_wrapper.vhd @@ -434,7 +434,7 @@ begin INCLUDE_DHCP => LINK_HAS_DHCP(2), INCLUDE_ARP => LINK_HAS_ARP(2), INCLUDE_PING => LINK_HAS_PING(2), - INCLUDE_FWD => LINK_HAS_FWD(2), + INCLUDE_FWD => LINK_HAS_FWD(2), FRAME_BUFFER_SIZE => 1, READOUT_BUFFER_SIZE => 4, SLOWCTRL_BUFFER_SIZE => 2, @@ -585,7 +585,7 @@ FWD_FULL_OUT => FWD_FULL_OUT(2), INCLUDE_DHCP => LINK_HAS_DHCP(1), INCLUDE_ARP => LINK_HAS_ARP(1), INCLUDE_PING => LINK_HAS_PING(1), - INCLUDE_FWD => LINK_HAS_FWD(1), + INCLUDE_FWD => LINK_HAS_FWD(1), FRAME_BUFFER_SIZE => 1, READOUT_BUFFER_SIZE => 4, SLOWCTRL_BUFFER_SIZE => 2, @@ -737,7 +737,7 @@ FWD_FULL_OUT => FWD_FULL_OUT(1), INCLUDE_DHCP => LINK_HAS_DHCP(0), INCLUDE_ARP => LINK_HAS_ARP(0), INCLUDE_PING => LINK_HAS_PING(0), - INCLUDE_FWD => LINK_HAS_FWD(0), + INCLUDE_FWD => LINK_HAS_FWD(0), FRAME_BUFFER_SIZE => 1, READOUT_BUFFER_SIZE => 4, SLOWCTRL_BUFFER_SIZE => 2, diff --git a/gbe_trb/base/trb_net16_gbe_main_control.vhd b/gbe_trb/base/trb_net16_gbe_main_control.vhd index 75a90d5..6a60058 100644 --- a/gbe_trb/base/trb_net16_gbe_main_control.vhd +++ b/gbe_trb/base/trb_net16_gbe_main_control.vhd @@ -145,16 +145,16 @@ entity trb_net16_gbe_main_control is MAKE_RESET_OUT : out std_logic; --- Forwarder -FWD_DST_MAC_IN : in std_logic_vector(47 downto 0); -FWD_DST_IP_IN : in std_logic_vector(31 downto 0); -FWD_DST_UDP_IN : in std_logic_vector(15 downto 0); -FWD_DATA_IN : in std_logic_vector(7 downto 0); -FWD_DATA_VALID_IN : in std_logic; -FWD_SOP_IN : in std_logic; -FWD_EOP_IN : in std_logic; -FWD_READY_OUT : out std_logic; -FWD_FULL_OUT : out std_logic; + -- Forwarder + FWD_DST_MAC_IN : in std_logic_vector(47 downto 0); + FWD_DST_IP_IN : in std_logic_vector(31 downto 0); + FWD_DST_UDP_IN : in std_logic_vector(15 downto 0); + FWD_DATA_IN : in std_logic_vector(7 downto 0); + FWD_DATA_VALID_IN : in std_logic; + FWD_SOP_IN : in std_logic; + FWD_EOP_IN : in std_logic; + FWD_READY_OUT : out std_logic; + FWD_FULL_OUT : out std_logic; -- signal to/from Host interface of TriSpeed MAC TSM_HADDR_OUT : out std_logic_vector(7 downto 0);