From: hadaq Date: Tue, 20 Mar 2007 13:40:32 +0000 (+0000) Subject: removed some typos, Ingo X-Git-Tag: oldGBE~745 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=810f1c2bc3790f02e182a2102a1cc95c57259022;p=trbnet.git removed some typos, Ingo --- diff --git a/trb_net_endpoint_3ch.vhd b/trb_net_endpoint_3ch.vhd index d194b7b..86bd1f2 100644 --- a/trb_net_endpoint_3ch.vhd +++ b/trb_net_endpoint_3ch.vhd @@ -46,7 +46,7 @@ entity trb_net_endpoint_3ch is API3_TYPE : integer := 0; API3_INIT_DEPTH : integer := 3; API3_REPLY_DEPTH : integer := 3; - API3_CHANNEL_NUMBER : integer := 2; + API3_CHANNEL_NUMBER : integer := 2 ); @@ -295,7 +295,7 @@ component trb_net_term_mbuf is APL_HOLD_TRM: in STD_LOGIC; APL_DTYPE_IN: in STD_LOGIC_VECTOR (3 downto 0); -- see NewTriggerBusNetworkDescr - APL_ERROR_PATTERN_IN: in STD_LOGIC_VECTOR (31 downto 0) -- see NewTriggerBusNetworkDescr + APL_ERROR_PATTERN_IN: in STD_LOGIC_VECTOR (31 downto 0); -- see NewTriggerBusNetworkDescr -- Status and control port => just coming from the iobuf for debugging STAT_GEN: out STD_LOGIC_VECTOR (31 downto 0); -- General Status @@ -356,9 +356,9 @@ begin ------------------------------------------------------------------------------- -- loop over the channels ------------------------------------------------------------------------------- - GEN_API1: if not channel = API1_CHANNEL_NUMBER - and not channel = API2_CHANNEL_NUMBER - and not channel = API3_CHANNEL_NUMBER + GEN_API1: if not (channel = API1_CHANNEL_NUMBER) + and not (channel = API2_CHANNEL_NUMBER) + and not (channel = API3_CHANNEL_NUMBER) generate -- make the term TERM: trb_net_term_mbuf diff --git a/trb_net_iobuf.vhd b/trb_net_iobuf.vhd index e1630d0..0288183 100644 --- a/trb_net_iobuf.vhd +++ b/trb_net_iobuf.vhd @@ -224,7 +224,7 @@ architecture trb_net_iobuf_arch of trb_net_iobuf is end generate; - GEN_TERM_IBUF: if DEPTH=0 generate + GEN_TERM_IBUF: if INIT_DEPTH=0 generate INITIBUF : trb_net_term_ibuf port map ( diff --git a/trb_net_obuf.vhd b/trb_net_obuf.vhd index 6ff47e5..ae8e2f4 100644 --- a/trb_net_obuf.vhd +++ b/trb_net_obuf.vhd @@ -236,7 +236,7 @@ architecture trb_net_obuf_arch of trb_net_obuf is if rising_edge(CLK) then if RESET = '1' then max_DATA_COUNT <= (1 => '1', others => '0'); - next_max_DATA_COUNT_minus_one <= (0 => '1', others => '0'); + max_DATA_COUNT_minus_one <= (0 => '1', others => '0'); else max_DATA_COUNT <= next_max_DATA_COUNT; max_DATA_COUNT_minus_one <= next_max_DATA_COUNT_minus_one; diff --git a/trb_net_term.vhd b/trb_net_term.vhd index 69dc62a..960ae5f 100644 --- a/trb_net_term.vhd +++ b/trb_net_term.vhd @@ -40,9 +40,9 @@ entity trb_net_term is APL_HOLD_TRM: in STD_LOGIC; APL_DTYPE_IN: in STD_LOGIC_VECTOR (3 downto 0); -- see NewTriggerBusNetworkDescr - APL_ERROR_PATTERN_IN: in STD_LOGIC_VECTOR (31 downto 0) -- see NewTriggerBusNetworkDescr - + APL_ERROR_PATTERN_IN: in STD_LOGIC_VECTOR (31 downto 0); -- see NewTriggerBusNetworkDescr + APL_MY_ADDRESS_IN: in STD_LOGIC_VECTOR (15 downto 0) -- My own address (temporary solution!!!) -- Status and control port -- not needed now, but later @@ -72,9 +72,9 @@ component trb_net_fifo is end component; -- signals for the test buffer -signal next_APL_DTYPE_OUT, reg_APL_DTYPE_OUT: std_logic; -signal next_APL_ERROR_PATTERN_OUT, reg_APL_ERROR_PATTERN_OUT: std_logic; -signal next_APL_SEQNR_OUT, reg_APL_SEQNR_OUT: std_logic; +signal next_APL_DTYPE_OUT, reg_APL_DTYPE_OUT: std_logic_vector(3 downto 0); +signal next_APL_ERROR_PATTERN_OUT, reg_APL_ERROR_PATTERN_OUT: std_logic_vector(31 downto 0); +signal next_APL_SEQNR_OUT, reg_APL_SEQNR_OUT: std_logic_vector(7 downto 0); signal next_APL_GOT_TRM, reg_APL_GOT_TRM: std_logic; signal fifo_term_buffer_data_in : std_logic_vector(50 downto 0); @@ -134,15 +134,15 @@ end generate CHECK_BUFFER2; APL_GOT_TRM <= reg_APL_GOT_TRM; - FIFO_TERM_BUFFER_CTRL: process (tb_current_state, INT_INIT_DATA_IN, - INT_INIT_DATAREADY_IN, tb_next_registered_trailer, + FIFO_TERM_BUFFER_CTRL: process (tb_current_state, INT_DATA_IN, + INT_DATAREADY_IN, tb_next_registered_trailer, tb_registered_trailer, fifo_term_buffer_empty, fifo_term_buffer_data_out, - INT_REPLY_READ_IN, tb_registered_target, + INT_READ_IN, tb_registered_target, reg_APL_DTYPE_OUT, reg_APL_ERROR_PATTERN_OUT, reg_APL_SEQNR_OUT, reg_APL_GOT_TRM) begin -- process - INT_INIT_READ_OUT <= '0'; + INT_READ_OUT <= '0'; fifo_term_buffer_data_in(TYPE_POSITION) <= TYPE_ILLEGAL; fifo_term_buffer_data_in(DWORD_POSITION) <= (others => '0'); fifo_term_buffer_write <= '0'; @@ -150,9 +150,9 @@ end generate CHECK_BUFFER2; tb_next_registered_trailer <= tb_registered_trailer; tb_next_registered_target <= tb_registered_target; fifo_term_buffer_read<= '0'; - INT_REPLY_DATAREADY_OUT <= '0'; - INT_REPLY_DATA_OUT(DWORD_POSITION) <= (others => '0'); - INT_REPLY_DATA_OUT(TYPE_POSITION) <= TYPE_ILLEGAL; + INT_DATAREADY_OUT <= '0'; + INT_DATA_OUT(DWORD_POSITION) <= (others => '0'); + INT_DATA_OUT(TYPE_POSITION) <= TYPE_ILLEGAL; next_APL_DTYPE_OUT <= reg_APL_DTYPE_OUT; next_APL_ERROR_PATTERN_OUT <= reg_APL_ERROR_PATTERN_OUT; next_APL_SEQNR_OUT <= reg_APL_SEQNR_OUT; @@ -161,36 +161,36 @@ end generate CHECK_BUFFER2; -- IDLE ----------------------------------------------------------------------- if tb_current_state = IDLE then - INT_INIT_READ_OUT <= '1'; -- I always can read + INT_READ_OUT <= '1'; -- I always can read tb_next_state <= IDLE; - if INT_INIT_DATA_IN(TYPE_POSITION) = TYPE_HDR and INT_INIT_DATAREADY_IN = '1' then + if INT_DATA_IN(TYPE_POSITION) = TYPE_HDR and INT_DATAREADY_IN = '1' then -- switch source and target adress - fifo_term_buffer_data_in(SOURCE_POSITION) <= INT_INIT_DATA_IN(TARGET_POSITION); - fifo_term_buffer_data_in(TARGET_POSITION) <= INT_INIT_DATA_IN(SOURCE_POSITION); - fifo_term_buffer_data_in(F3_POSITION) <= INT_INIT_DATA_IN(F3_POSITION); + fifo_term_buffer_data_in(SOURCE_POSITION) <= INT_DATA_IN(TARGET_POSITION); + fifo_term_buffer_data_in(TARGET_POSITION) <= INT_DATA_IN(SOURCE_POSITION); + fifo_term_buffer_data_in(F3_POSITION) <= INT_DATA_IN(F3_POSITION); fifo_term_buffer_data_in(TYPE_POSITION) <= TYPE_HDR; - tb_next_registered_target <= INT_INIT_DATA_IN(TARGET_POSITION); - if fifo_term_buffer_full = '0' and (INT_INIT_DATA_IN(TARGET_POSITION) = APL_MY_ADDRESS_IN - or INT_INIT_DATA_IN(TARGET_POSITION) = BROADCAST_ADRESS) then + tb_next_registered_target <= INT_DATA_IN(TARGET_POSITION); + if fifo_term_buffer_full = '0' and (INT_DATA_IN(TARGET_POSITION) = APL_MY_ADDRESS_IN + or INT_DATA_IN(TARGET_POSITION) = BROADCAST_ADRESS) then fifo_term_buffer_write <= '1'; else fifo_term_buffer_write <= '0'; end if; - elsif INT_INIT_DATA_IN(TYPE_POSITION) <= TYPE_DAT and INT_INIT_DATAREADY_IN = '1' then - fifo_term_buffer_data_in <= INT_INIT_DATA_IN; + elsif INT_DATA_IN(TYPE_POSITION) <= TYPE_DAT and INT_DATAREADY_IN = '1' then + fifo_term_buffer_data_in <= INT_DATA_IN; if fifo_term_buffer_full = '0' and (tb_registered_target = APL_MY_ADDRESS_IN or tb_registered_target = BROADCAST_ADRESS) then fifo_term_buffer_write <= '1'; else fifo_term_buffer_write <= '0'; end if; - elsif INT_INIT_DATA_IN(TYPE_POSITION) <= TYPE_TRM and INT_INIT_DATAREADY_IN = '1' then + elsif INT_DATA_IN(TYPE_POSITION) <= TYPE_TRM and INT_DATAREADY_IN = '1' then --tb_next_registered_trailer <= INT_INIT_DATA_IN(DWORD_POSITION); --keep trailer for later use -- in addition, write out some debug info - next_APL_DTYPE_OUT <= INT_INIT_DATA_IN(DTYPE_POSITION); - next_APL_ERROR_PATTERN_OUT <= INT_INIT_DATA_IN(ERRORPATTERN_POSITION); - next_APL_SEQNR_OUT <= INT_INIT_DATA_IN(SEQNR_POSITION); + next_APL_DTYPE_OUT <= INT_DATA_IN(DTYPE_POSITION); + next_APL_ERROR_PATTERN_OUT <= INT_DATA_IN(ERRORPATTERN_POSITION); + next_APL_SEQNR_OUT <= INT_DATA_IN(SEQNR_POSITION); next_APL_GOT_TRM <= '1'; tb_next_state <= RUNNING; end if; @@ -200,9 +200,9 @@ end generate CHECK_BUFFER2; elsif tb_current_state = RUNNING then tb_next_state <= RUNNING; if fifo_term_buffer_empty = '0' then -- Have buffered stuff - INT_REPLY_DATAREADY_OUT <= '1'; - INT_REPLY_DATA_OUT <= fifo_term_buffer_data_out; - if (INT_REPLY_READ_IN = '1') then + INT_DATAREADY_OUT <= '1'; + INT_DATA_OUT <= fifo_term_buffer_data_out; + if (INT_READ_IN = '1') then fifo_term_buffer_read <= '1'; end if; elsif APL_HOLD_TRM = '1' then @@ -219,10 +219,10 @@ end generate CHECK_BUFFER2; ----------------------------------------------------------------------- elsif tb_current_state = SEND_TRAILER then tb_next_state <= SEND_TRAILER ; - INT_REPLY_DATAREADY_OUT <= '1'; - INT_REPLY_DATA_OUT(DWORD_POSITION) <= tb_registered_trailer; - INT_REPLY_DATA_OUT(TYPE_POSITION) <= TYPE_TRM; - if (INT_REPLY_READ_IN = '1') then + INT_DATAREADY_OUT <= '1'; + INT_DATA_OUT(DWORD_POSITION) <= tb_registered_trailer; + INT_DATA_OUT(TYPE_POSITION) <= TYPE_TRM; + if (INT_READ_IN = '1') then tb_next_state <= IDLE; tb_next_registered_target <= ILLEGAL_ADRESS; next_APL_GOT_TRM <= '0'; diff --git a/trb_net_term_mbuf.vhd b/trb_net_term_mbuf.vhd index ea07b9d..a327f1d 100644 --- a/trb_net_term_mbuf.vhd +++ b/trb_net_term_mbuf.vhd @@ -40,7 +40,7 @@ entity trb_net_term_mbuf is APL_HOLD_TRM: in STD_LOGIC; APL_DTYPE_IN: in STD_LOGIC_VECTOR (3 downto 0); -- see NewTriggerBusNetworkDescr - APL_ERROR_PATTERN_IN: in STD_LOGIC_VECTOR (31 downto 0) -- see NewTriggerBusNetworkDescr + APL_ERROR_PATTERN_IN: in STD_LOGIC_VECTOR (31 downto 0); -- see NewTriggerBusNetworkDescr -- Status and control port => just coming from the iobuf for debugging STAT_GEN: out STD_LOGIC_VECTOR (31 downto 0); -- General Status @@ -137,8 +137,6 @@ component trb_net_term is -- Internal direction port -- This is just a clone from trb_net_iobuf - INT_HEADER_IN: in STD_LOGIC; -- Concentrator kindly asks to resend the last - -- header (only for the reply path) INT_DATAREADY_OUT: out STD_LOGIC; INT_DATA_OUT: out STD_LOGIC_VECTOR (50 downto 0); -- Data word INT_READ_IN: in STD_LOGIC; @@ -287,8 +285,8 @@ begin INT_DATAREADY_IN => buf_to_apl_INIT_DATAREADY, INT_DATA_IN => buf_to_apl_INIT_DATA, - INT_READ_OUT => buf_to_apl_INIT_READ, - + INT_READ_OUT => buf_to_apl_INIT_READ + -- Status and control port -- not needed now, but later ); @@ -318,8 +316,7 @@ TERM_REPLY: trb_net_term INT_DATAREADY_IN => buf_to_apl_REPLY_DATAREADY, INT_DATA_IN => buf_to_apl_REPLY_DATA, - INT_READ_OUT => buf_to_apl_REPLY_READ, - + INT_READ_OUT => buf_to_apl_REPLY_READ -- Status and control port -- not needed now, but later );