From: hadeshyp Date: Thu, 4 Oct 2012 12:11:42 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~32 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=81798b0bdd44e3d09e54ed9932c85a9f26379683;p=trbnet.git *** empty log message *** --- diff --git a/trb_net16_hub_func.vhd b/trb_net16_hub_func.vhd index 70b78a7..868ed40 100644 --- a/trb_net16_hub_func.vhd +++ b/trb_net16_hub_func.vhd @@ -814,6 +814,7 @@ component trb_net16_hub_streaming_port_sctrl_cts is RDO_ADDITIONAL_DATA : in std_logic_vector(31 downto 0); RDO_ADDITIONAL_WRITE : in std_logic; RDO_ADDITIONAL_FINISHED : in std_logic; + RDO_ADDITIONAL_STATUSBITS_IN : in std_logic_vector(31 downto 0) := (others => '0'); -- Slow Control -------------------------------------------------------------------- COMMON_STAT_REGS : out std_logic_vector (std_COMSTATREG*32-1 downto 0); --Status of common STAT regs diff --git a/trb_net16_hub_streaming_port_sctrl_cts.vhd b/trb_net16_hub_streaming_port_sctrl_cts.vhd index e40f37d..0e0e30a 100644 --- a/trb_net16_hub_streaming_port_sctrl_cts.vhd +++ b/trb_net16_hub_streaming_port_sctrl_cts.vhd @@ -155,6 +155,7 @@ entity trb_net16_hub_streaming_port_sctrl_cts is RDO_ADDITIONAL_DATA : in std_logic_vector(31 downto 0); RDO_ADDITIONAL_WRITE : in std_logic; RDO_ADDITIONAL_FINISHED : in std_logic; + RDO_ADDITIONAL_STATUSBITS_IN : in std_logic_vector(31 downto 0) := (others => '0'); -- Slow Control -------------------------------------------------------------------- COMMON_STAT_REGS : out std_logic_vector (std_COMSTATREG*32-1 downto 0); --Status of common STAT regs @@ -888,7 +889,7 @@ begin FEE_TRG_RELEASE_IN(0) => RDO_DATA_FINISHED_IN, FEE_TRG_RELEASE_IN(1) => RDO_ADDITIONAL_FINISHED, FEE_TRG_STATUSBITS_IN(31 downto 0) => RDO_TRG_STATUSBITS_IN, - FEE_TRG_STATUSBITS_IN(63 downto 32) => (others => '0'), + FEE_TRG_STATUSBITS_IN(63 downto 32) => RDO_ADDITIONAL_STATUSBITS_IN, FEE_DATA_IN(31 downto 0) => RDO_DATA_IN, FEE_DATA_IN(63 downto 32) => RDO_ADDITIONAL_DATA, FEE_DATA_WRITE_IN(0) => RDO_DATA_WRITE_IN,