From: Jan Michel Date: Wed, 12 Apr 2023 12:05:48 +0000 (+0200) Subject: add reasonable default speed for common I2C X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=83364613e1112e38f403b891d2a5fec6fef0e357;p=trb3sc.git add reasonable default speed for common I2C --- diff --git a/code/common_i2c.vhd b/code/common_i2c.vhd index a68036f..6ee8350 100644 --- a/code/common_i2c.vhd +++ b/code/common_i2c.vhd @@ -20,7 +20,7 @@ end entity; architecture Behavioral of common_i2c is - signal i2c_reg_0 : std_logic_vector(31 downto 0); + signal i2c_reg_0 : std_logic_vector(31 downto 0) := x"00000020"; signal i2c_reg_1 : std_logic_vector(31 downto 0); signal i2c_reg_2 : std_logic_vector(31 downto 0); signal i2c_reg_4 : std_logic_vector(31 downto 0);