From: Tobias Weber Date: Thu, 26 Feb 2015 09:18:02 +0000 (+0100) Subject: end of mupix event has no purpose in current event buffer design. Remove it. X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=8878d7874bbcb8e8067ab6ae99224d1321a6c326;p=trb3.git end of mupix event has no purpose in current event buffer design. Remove it. --- diff --git a/mupix/sources/EventBuffer.vhd b/mupix/sources/EventBuffer.vhd index 219428d..a516d8c 100644 --- a/mupix/sources/EventBuffer.vhd +++ b/mupix/sources/EventBuffer.vhd @@ -18,7 +18,6 @@ entity eventbuffer is --data from mupix interface mupixdata_in : in std_logic_vector(31 downto 0); mupixdatawr_in : in std_logic; - mupixendofevent_in : in std_logic; --response from fee (to trb fifo) fee_data_out : out std_logic_vector(31 downto 0); diff --git a/mupix/sources/MuPix3_board.vhd b/mupix/sources/MuPix3_board.vhd index f93d4bf..666e04d 100644 --- a/mupix/sources/MuPix3_board.vhd +++ b/mupix/sources/MuPix3_board.vhd @@ -331,7 +331,6 @@ begin -- Behavioral Reset => reset, MuPixData_in => memdata, MuPixDataWr_in => memwren, - MuPixEndOfEvent_in => ro_mupix_busy, FEE_DATA_OUT => buffer_data, FEE_DATA_WRITE_OUT => buffer_data_valid, FEE_DATA_FINISHED_OUT => open, diff --git a/mupix/sources/mupix_components.vhd b/mupix/sources/mupix_components.vhd index 03fb26b..8a429e0 100644 --- a/mupix/sources/mupix_components.vhd +++ b/mupix/sources/mupix_components.vhd @@ -184,7 +184,6 @@ package mupix_components is Reset : in std_logic; MuPixData_in : in std_logic_vector(31 downto 0); MuPixDataWr_in : in std_logic; - MuPixEndOfEvent_in : in std_logic; FEE_DATA_OUT : out std_logic_vector(31 downto 0); FEE_DATA_WRITE_OUT : out std_logic; FEE_DATA_FINISHED_OUT : out std_logic;