From: Cahit Date: Mon, 1 Dec 2014 11:32:23 +0000 (+0100) Subject: tdc_v2.0 release X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=950adc2c9b69868db09e6cd27cc8e945016b9bb2;p=trb3.git tdc_v2.0 release --- diff --git a/tdc_releases/tdc_v1.7.3/TDC.vhd b/tdc_releases/tdc_v1.7.3/TDC.vhd index 4548ba2..f6494c6 100644 --- a/tdc_releases/tdc_v1.7.3/TDC.vhd +++ b/tdc_releases/tdc_v1.7.3/TDC.vhd @@ -598,7 +598,7 @@ begin if reset_tdc = '1' then coarse_cntr_reset <= '1'; elsif run_mode_200 = '0' then - coarse_cntr_reset <= trig_win_end_tdc_i(32); + coarse_cntr_reset <= trig_win_end_tdc_i(1); elsif run_mode_edge_200 = '1' then coarse_cntr_reset <= '1'; elsif reset_coarse_cntr_flag = '1' and valid_trigger_tdc = '1' then diff --git a/tdc_releases/tdc_v2.0/Channel.vhd b/tdc_releases/tdc_v2.0/Channel.vhd index b47796b..0e55789 100644 --- a/tdc_releases/tdc_v2.0/Channel.vhd +++ b/tdc_releases/tdc_v2.0/Channel.vhd @@ -294,7 +294,7 @@ begin end if; end if; --elsif encoder_start_100 = '1' then - -- encoder_start_cntr <= encoder_start_cntr + to_unsigned(1, 16); + -- encoder_start_cntr <= encoder_start_cntr + to_unsigned(1, 24); --end if; end if; end process Encoder_Start_Counter; diff --git a/tdc_releases/tdc_v2.0/Channel_200.vhd b/tdc_releases/tdc_v2.0/Channel_200.vhd index 43990eb..c054634 100644 --- a/tdc_releases/tdc_v2.0/Channel_200.vhd +++ b/tdc_releases/tdc_v2.0/Channel_200.vhd @@ -5,7 +5,7 @@ -- File : Channel_200.vhd -- Author : c.ugur@gsi.de -- Created : 2012-08-28 --- Last update: 2014-08-28 +-- Last update: 2014-12-01 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- @@ -67,11 +67,12 @@ architecture Channel_200 of Channel_200 is signal ff_array_en_i : std_logic; -- hit detection - signal result_2_reg : std_logic := '0'; - signal hit_detect_i : std_logic := '0'; - signal hit_detect_reg : std_logic; - signal hit_detect_2reg : std_logic; - signal edge_type_i : std_logic; + signal result_2_reg : std_logic := '0'; + signal hit_detect_i : std_logic := '0'; + signal hit_detect_reg : std_logic; + signal hit_detect_2reg : std_logic; + signal edge_type_i : std_logic := '1'; + signal rising_edge_written : std_logic := '0'; -- time stamp signal time_stamp_i : std_logic_vector(10 downto 0); @@ -144,10 +145,10 @@ architecture Channel_200 of Channel_200 is ----------------------------------------------------------------------------- -- debug - signal data_cnt_total : integer range 0 to 2147483647 := 0; - signal data_cnt_event : integer range 0 to 255 := 0; - signal epoch_cnt_total : integer range 0 to 65535 := 0; - signal epoch_cnt_event : integer range 0 to 127 := 0; + signal data_cnt_total : integer range 0 to 2147483647 := 0; + signal data_cnt_event : integer range 0 to 255 := 0; + signal epoch_cnt_total : integer range 0 to 65535 := 0; + signal epoch_cnt_event : integer range 0 to 127 := 0; ----------------------------------------------------------------------------- attribute syn_keep : boolean; @@ -193,23 +194,35 @@ begin -- Channel_200 hit_detect_2reg <= hit_detect_reg when rising_edge(CLK_200); coarse_cntr_reg <= COARSE_COUNTER_IN when rising_edge(CLK_200); encoder_start_i <= hit_detect_reg; --- encoder_start_i <= hit_detect_2reg; ENCODER_START_OUT <= encoder_start_i; - EdgeTypeCapture: process (CLK_200) is - begin -- process EdgeTypeCapture - if rising_edge(CLK_200) then - if encoder_start_i = '1' then - edge_type_i <= HIT_EDGE_IN; + isReferenceEdge : if REFERENCE = c_YES generate + edge_type_i <= '1'; + end generate isReferenceEdge; + + isChannelEdge : if REFERENCE = c_NO generate + EdgeTypeCapture : process (CLK_200) is + begin -- process EdgeTypeCapture + if rising_edge(CLK_200) then + if write_data_i = '1' and edge_type_i = '1' then + rising_edge_written <= '1'; + elsif write_data_i = '1' and edge_type_i = '0' then + rising_edge_written <= '0'; + end if; + if HIT_EDGE_IN = '1' and edge_type_i = '0' then + edge_type_i <= '1'; + elsif rising_edge_written = '1' then + edge_type_i <= '0'; + end if; end if; - end if; - end process EdgeTypeCapture; + end process EdgeTypeCapture; + end generate isChannelEdge; TimeStampCapture : process (CLK_200) begin if rising_edge(CLK_200) then if hit_detect_reg = '1' then - time_stamp_i <= coarse_cntr_reg; + time_stamp_i <= coarse_cntr_reg; end if; time_stamp_reg <= time_stamp_i; time_stamp_2reg <= time_stamp_reg; @@ -234,36 +247,17 @@ begin -- Channel_200 coarse_cntr_overflow_6reg <= coarse_cntr_overflow_5reg when rising_edge(CLK_200); coarse_cntr_overflow_7reg <= coarse_cntr_overflow_6reg when rising_edge(CLK_200); - isChannelEpoch : if REFERENCE = c_NO generate - EpochCounterCapture : process (CLK_200) - begin - if rising_edge(CLK_200) then - if coarse_cntr_overflow_7reg = '1' then - epoch_cntr <= EPOCH_COUNTER_IN; - epoch_cntr_updated <= '1'; - elsif write_epoch_i = '1' then - epoch_cntr_updated <= '0'; - end if; - end if; - end process EpochCounterCapture; - end generate isChannelEpoch; - - isReferenceEpoch : if REFERENCE = c_YES generate - EpochCounterCapture : process (CLK_200) - begin - if rising_edge(CLK_200) then - if hit_detect_reg = '1' then - epoch_cntr <= EPOCH_COUNTER_IN; - epoch_cntr_reg <= epoch_cntr; - end if; - if hit_detect_2reg = '1' and epoch_cntr /= epoch_cntr_reg then - epoch_cntr_updated <= '1'; - elsif write_epoch_i = '1' then - epoch_cntr_updated <= '0'; - end if; + EpochCounterCapture : process (CLK_200) + begin + if rising_edge(CLK_200) then + if coarse_cntr_overflow_7reg = '1' then + epoch_cntr <= EPOCH_COUNTER_IN; + epoch_cntr_updated <= '1'; + elsif write_epoch_i = '1' then + epoch_cntr_updated <= '0'; end if; - end process EpochCounterCapture; - end generate isReferenceEpoch; + end if; + end process EpochCounterCapture; --purpose: Encoder Encoder : Encoder_304_Bit @@ -360,216 +354,110 @@ begin -- Channel_200 end if; end process FSM_CLK; - isChannel : if REFERENCE = c_NO generate -- if it is a normal channel - FSM_PROC : process (FSM_WR_CURRENT, encoder_finished_i, epoch_cntr_updated, TRIGGER_WIN_END_TDC, - trig_win_end_tdc_flag_i, write_data_flag_i) - begin + FSM_PROC : process (FSM_WR_CURRENT, encoder_finished_i, epoch_cntr_updated, TRIGGER_WIN_END_TDC, + trig_win_end_tdc_flag_i, write_data_flag_i) + begin - FSM_WR_NEXT <= WRITE_EPOCH; - write_epoch_fsm <= '0'; - write_data_fsm <= '0'; - write_stop_a_fsm <= '0'; - write_stop_b_fsm <= '0'; - write_data_flag_fsm <= write_data_flag_i; - fsm_wr_debug_fsm <= x"0"; - - case (FSM_WR_CURRENT) is - when WRITE_EPOCH => - if encoder_finished_i = '1' or write_data_flag_i = '1' then - write_epoch_fsm <= '1'; - write_data_flag_fsm <= '0'; - FSM_WR_NEXT <= EXCEPTION; - elsif trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then - FSM_WR_NEXT <= WRITE_STOP_A; - else - write_epoch_fsm <= '0'; - FSM_WR_NEXT <= WRITE_EPOCH; - end if; - fsm_wr_debug_fsm <= x"1"; --- - when WRITE_DATA => - if epoch_cntr_updated = '1' then - write_epoch_fsm <= '1'; - FSM_WR_NEXT <= EXCEPTION; - else - write_data_fsm <= '1'; - if trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then - FSM_WR_NEXT <= WRITE_STOP_A; - else - FSM_WR_NEXT <= WAIT_FOR_HIT; - end if; - end if; - fsm_wr_debug_fsm <= x"2"; + FSM_WR_NEXT <= WRITE_EPOCH; + write_epoch_fsm <= '0'; + write_data_fsm <= '0'; + write_stop_a_fsm <= '0'; + write_stop_b_fsm <= '0'; + write_data_flag_fsm <= write_data_flag_i; + fsm_wr_debug_fsm <= x"0"; + + case (FSM_WR_CURRENT) is + when WRITE_EPOCH => + if encoder_finished_i = '1' or write_data_flag_i = '1' then + write_epoch_fsm <= '1'; + write_data_flag_fsm <= '0'; + FSM_WR_NEXT <= EXCEPTION; + elsif trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then + FSM_WR_NEXT <= WRITE_STOP_A; + else + write_epoch_fsm <= '0'; + FSM_WR_NEXT <= WRITE_EPOCH; + end if; + fsm_wr_debug_fsm <= x"1"; -- - when EXCEPTION => + when WRITE_DATA => + if epoch_cntr_updated = '1' then + write_epoch_fsm <= '1'; + FSM_WR_NEXT <= EXCEPTION; + else write_data_fsm <= '1'; if trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then FSM_WR_NEXT <= WRITE_STOP_A; else FSM_WR_NEXT <= WAIT_FOR_HIT; end if; - fsm_wr_debug_fsm <= x"3"; --- - when WAIT_FOR_HIT => - if epoch_cntr_updated = '1' and encoder_finished_i = '0' then - FSM_WR_NEXT <= WRITE_EPOCH; - elsif epoch_cntr_updated = '0' and encoder_finished_i = '1' then - FSM_WR_NEXT <= WRITE_DATA; - elsif epoch_cntr_updated = '1' and encoder_finished_i = '1' then - FSM_WR_NEXT <= WRITE_DATA; - elsif trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then - FSM_WR_NEXT <= WRITE_STOP_A; - else - FSM_WR_NEXT <= WAIT_FOR_HIT; - end if; - fsm_wr_debug_fsm <= x"4"; --- - when WRITE_STOP_A => - write_stop_a_fsm <= '1'; - FSM_WR_NEXT <= WRITE_STOP_B; - if encoder_finished_i = '1' then - write_data_flag_fsm <= '1'; - end if; - fsm_wr_debug_fsm <= x"5"; --- - when WRITE_STOP_B => - write_stop_a_fsm <= '1'; - FSM_WR_NEXT <= WRITE_STOP_C; - if encoder_finished_i = '1' then - write_data_flag_fsm <= '1'; - end if; - fsm_wr_debug_fsm <= x"5"; --- - when WRITE_STOP_C => - write_stop_b_fsm <= '1'; - FSM_WR_NEXT <= WRITE_STOP_D; - if encoder_finished_i = '1' then - write_data_flag_fsm <= '1'; - end if; - fsm_wr_debug_fsm <= x"5"; --- - when WRITE_STOP_D => - write_stop_b_fsm <= '1'; - FSM_WR_NEXT <= WRITE_EPOCH; - if encoder_finished_i = '1' then - write_data_flag_fsm <= '1'; - end if; - fsm_wr_debug_fsm <= x"5"; --- - when others => - FSM_WR_NEXT <= WRITE_EPOCH; - write_epoch_fsm <= '0'; - write_data_fsm <= '0'; - write_stop_a_fsm <= '0'; - write_stop_b_fsm <= '0'; - fsm_wr_debug_fsm <= x"0"; - end case; - end process FSM_PROC; - end generate isChannel; -- if it is a normal channel - - isReference : if REFERENCE = c_YES generate -- if it is the reference channel - FSM_PROC : process (FSM_WR_CURRENT, encoder_finished_i, epoch_cntr_updated, TRIGGER_WIN_END_TDC, - trig_win_end_tdc_flag_i, VALID_TIMING_TRG_IN, VALID_NOTIMING_TRG_IN, - MULTI_TMG_TRG_IN, SPIKE_DETECTED_IN) - begin - - FSM_WR_NEXT <= WRITE_EPOCH; - write_epoch_fsm <= '0'; - write_data_fsm <= '0'; - write_stop_a_fsm <= '0'; - write_stop_b_fsm <= '0'; - fsm_wr_debug_fsm <= x"0"; - - case (FSM_WR_CURRENT) is - when WRITE_EPOCH => - if encoder_finished_i = '1' then - FSM_WR_NEXT <= WAIT_FOR_VALIDITY; - elsif trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then - FSM_WR_NEXT <= WRITE_STOP_A; - else - write_epoch_fsm <= '0'; - FSM_WR_NEXT <= WRITE_EPOCH; - end if; - fsm_wr_debug_fsm <= x"1"; --- - when WAIT_FOR_VALIDITY => - if VALID_TIMING_TRG_IN = '1' or VALID_NOTIMING_TRG_IN = '1'then - write_epoch_fsm <= '1'; - FSM_WR_NEXT <= EXCEPTION; - elsif MULTI_TMG_TRG_IN = '1' or SPIKE_DETECTED_IN = '1' then - FSM_WR_NEXT <= WRITE_EPOCH; - else - FSM_WR_NEXT <= WAIT_FOR_VALIDITY; - end if; - fsm_wr_debug_fsm <= x"6"; --- - when WRITE_DATA => - if epoch_cntr_updated = '1' then - write_epoch_fsm <= '1'; - FSM_WR_NEXT <= EXCEPTION; - else - write_data_fsm <= '1'; - if trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then - FSM_WR_NEXT <= WRITE_STOP_A; - else - FSM_WR_NEXT <= WAIT_FOR_HIT; - end if; - end if; - fsm_wr_debug_fsm <= x"2"; + end if; + fsm_wr_debug_fsm <= x"2"; -- - when EXCEPTION => - write_data_fsm <= '1'; - if trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then - FSM_WR_NEXT <= WRITE_STOP_A; - else - FSM_WR_NEXT <= WAIT_FOR_HIT; - end if; - fsm_wr_debug_fsm <= x"3"; + when EXCEPTION => + write_data_fsm <= '1'; + if trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then + FSM_WR_NEXT <= WRITE_STOP_A; + else + FSM_WR_NEXT <= WAIT_FOR_HIT; + end if; + fsm_wr_debug_fsm <= x"3"; -- - when WAIT_FOR_HIT => - if epoch_cntr_updated = '1' and encoder_finished_i = '0' then - FSM_WR_NEXT <= WRITE_EPOCH; - elsif epoch_cntr_updated = '0' and encoder_finished_i = '1' then - FSM_WR_NEXT <= WRITE_DATA; - elsif epoch_cntr_updated = '1' and encoder_finished_i = '1' then - FSM_WR_NEXT <= WRITE_DATA; - elsif trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then - FSM_WR_NEXT <= WRITE_STOP_A; - else - FSM_WR_NEXT <= WAIT_FOR_HIT; - end if; - fsm_wr_debug_fsm <= x"4"; + when WAIT_FOR_HIT => + if epoch_cntr_updated = '1' and encoder_finished_i = '0' then + FSM_WR_NEXT <= WRITE_EPOCH; + elsif epoch_cntr_updated = '0' and encoder_finished_i = '1' then + FSM_WR_NEXT <= WRITE_DATA; + elsif epoch_cntr_updated = '1' and encoder_finished_i = '1' then + FSM_WR_NEXT <= WRITE_DATA; + elsif trig_win_end_tdc_flag_i = '1' or TRIGGER_WIN_END_TDC = '1' then + FSM_WR_NEXT <= WRITE_STOP_A; + else + FSM_WR_NEXT <= WAIT_FOR_HIT; + end if; + fsm_wr_debug_fsm <= x"4"; -- - when WRITE_STOP_A => - write_stop_a_fsm <= '1'; - FSM_WR_NEXT <= WRITE_STOP_B; - fsm_wr_debug_fsm <= x"5"; + when WRITE_STOP_A => + write_stop_a_fsm <= '1'; + FSM_WR_NEXT <= WRITE_STOP_B; + if encoder_finished_i = '1' then + write_data_flag_fsm <= '1'; + end if; + fsm_wr_debug_fsm <= x"5"; -- - when WRITE_STOP_B => - write_stop_a_fsm <= '1'; - FSM_WR_NEXT <= WRITE_STOP_C; - fsm_wr_debug_fsm <= x"5"; + when WRITE_STOP_B => + write_stop_a_fsm <= '1'; + FSM_WR_NEXT <= WRITE_STOP_C; + if encoder_finished_i = '1' then + write_data_flag_fsm <= '1'; + end if; + fsm_wr_debug_fsm <= x"5"; -- - when WRITE_STOP_C => - write_stop_b_fsm <= '1'; - FSM_WR_NEXT <= WRITE_STOP_D; - fsm_wr_debug_fsm <= x"5"; + when WRITE_STOP_C => + write_stop_b_fsm <= '1'; + FSM_WR_NEXT <= WRITE_STOP_D; + if encoder_finished_i = '1' then + write_data_flag_fsm <= '1'; + end if; + fsm_wr_debug_fsm <= x"5"; -- - when WRITE_STOP_D => - write_stop_b_fsm <= '1'; - FSM_WR_NEXT <= WRITE_EPOCH; - fsm_wr_debug_fsm <= x"5"; + when WRITE_STOP_D => + write_stop_b_fsm <= '1'; + FSM_WR_NEXT <= WRITE_EPOCH; + if encoder_finished_i = '1' then + write_data_flag_fsm <= '1'; + end if; + fsm_wr_debug_fsm <= x"5"; -- - when others => - FSM_WR_NEXT <= WRITE_EPOCH; - write_epoch_fsm <= '0'; - write_data_fsm <= '0'; - write_stop_a_fsm <= '0'; - write_stop_b_fsm <= '0'; - fsm_wr_debug_fsm <= x"0"; - end case; - end process FSM_PROC; - end generate isReference; -- if it is the reference channel + when others => + FSM_WR_NEXT <= WRITE_EPOCH; + write_epoch_fsm <= '0'; + write_data_fsm <= '0'; + write_stop_a_fsm <= '0'; + write_stop_b_fsm <= '0'; + fsm_wr_debug_fsm <= x"0"; + end case; + end process FSM_PROC; TriggerWindowFlag : process (CLK_200) begin @@ -596,8 +484,8 @@ begin -- Channel_200 ringBuffer_wr_en_i <= '1'; elsif write_data_i = '1' then ringBuffer_data_in_i(35 downto 32) <= x"1"; - ringBuffer_data_in_i(31) <= '1'; -- data marker - ringBuffer_data_in_i(30 downto 29) <= "00"; -- reserved bits + ringBuffer_data_in_i(31) <= '1'; -- data marker + ringBuffer_data_in_i(30 downto 29) <= "00"; -- reserved bits ringBuffer_data_in_i(28 downto 22) <= std_logic_vector(to_unsigned(CHANNEL_ID, 7)); -- channel number ringBuffer_data_in_i(21 downto 12) <= encoder_data_out_i; -- fine time from the encoder ringBuffer_data_in_i(11) <= edge_type_i; -- rising '1' or falling '0' edge @@ -766,8 +654,8 @@ begin -- Channel_200 end case; end process FSM_DATA_OUTPUT; - FIFO_DATA_OUT <= fifo_data_i; - FIFO_DATA_VALID_OUT <= fifo_data_valid_i; + FIFO_DATA_OUT <= fifo_data_i; + FIFO_DATA_VALID_OUT <= fifo_data_valid_i; ------------------------------------------------------------------------------- -- DEBUG diff --git a/tdc_releases/tdc_v2.0/Encoder_304_Bit.vhd b/tdc_releases/tdc_v2.0/Encoder_304_Bit.vhd index dbdf137..b71f7ad 100644 --- a/tdc_releases/tdc_v2.0/Encoder_304_Bit.vhd +++ b/tdc_releases/tdc_v2.0/Encoder_304_Bit.vhd @@ -4,7 +4,7 @@ -- File : Encoder_304_Bit.vhd -- Author : Cahit Ugur -- Created : 2011-11-28 --- Last update: 2014-06-24 +-- Last update: 2014-10-22 ------------------------------------------------------------------------------- -- Description: Encoder for 304 bits ------------------------------------------------------------------------------- @@ -168,7 +168,7 @@ begin end if; end process Interval_Selection; - ROM_Encoder_1 : ROM_encoder_3 + The_ROM : entity work.ROM_encoder_3 port map ( Address => address_i, OutClock => CLK, diff --git a/tdc_releases/tdc_v2.0/FIFO_36x128_OutReg_Counter.vhd b/tdc_releases/tdc_v2.0/FIFO_36x128_OutReg_Counter.vhd deleted file mode 100644 index 14b4942..0000000 --- a/tdc_releases/tdc_v2.0/FIFO_36x128_OutReg_Counter.vhd +++ /dev/null @@ -1,1094 +0,0 @@ --- VHDL netlist generated by SCUBA Diamond_2.0_Production (151) --- Module Version: 5.4 ---/opt/lattice/diamond/2.01/ispfpga/bin/lin/scuba -w -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type ebfifo -depth 128 -width 36 -depth 128 -rdata_width 36 -regout -no_enable -pe -1 -pf -1 -fill -e - --- Wed Jan 30 11:50:36 2013 - -library IEEE; -use IEEE.std_logic_1164.all; --- synopsys translate_off -library ecp3; -use ecp3.components.all; --- synopsys translate_on - -entity FIFO_36x128_OutReg_Counter is - port ( - Data : in std_logic_vector(35 downto 0); - WrClock : in std_logic; - RdClock : in std_logic; - WrEn : in std_logic; - RdEn : in std_logic; - Reset : in std_logic; - RPReset : in std_logic; - Q : out std_logic_vector(35 downto 0); - WCNT : out std_logic_vector(7 downto 0); - Empty : out std_logic; - Full : out std_logic); -end FIFO_36x128_OutReg_Counter; - -architecture Structure of FIFO_36x128_OutReg_Counter is - - -- internal signal declarations - signal invout_1 : std_logic; - signal invout_0 : std_logic; - signal w_g2b_xor_cluster_1 : std_logic; - signal r_g2b_xor_cluster_1 : std_logic; - signal w_gdata_0 : std_logic; - signal w_gdata_1 : std_logic; - signal w_gdata_2 : std_logic; - signal w_gdata_3 : std_logic; - signal w_gdata_4 : std_logic; - signal w_gdata_5 : std_logic; - signal w_gdata_6 : std_logic; - signal wptr_7 : std_logic; - signal r_gdata_0 : std_logic; - signal r_gdata_1 : std_logic; - signal r_gdata_2 : std_logic; - signal r_gdata_3 : std_logic; - signal r_gdata_4 : std_logic; - signal r_gdata_5 : std_logic; - signal r_gdata_6 : std_logic; - signal rptr_0 : std_logic; - signal rptr_1 : std_logic; - signal rptr_2 : std_logic; - signal rptr_3 : std_logic; - signal rptr_4 : std_logic; - signal rptr_5 : std_logic; - signal rptr_6 : std_logic; - signal rptr_7 : std_logic; - signal w_gcount_0 : std_logic; - signal w_gcount_1 : std_logic; - signal w_gcount_2 : std_logic; - signal w_gcount_3 : std_logic; - signal w_gcount_4 : std_logic; - signal w_gcount_5 : std_logic; - signal w_gcount_6 : std_logic; - signal w_gcount_7 : std_logic; - signal r_gcount_0 : std_logic; - signal r_gcount_1 : std_logic; - signal r_gcount_2 : std_logic; - signal r_gcount_3 : std_logic; - signal r_gcount_4 : std_logic; - signal r_gcount_5 : std_logic; - signal r_gcount_6 : std_logic; - signal r_gcount_7 : std_logic; - signal w_gcount_r20 : std_logic; - signal w_gcount_r0 : std_logic; - signal w_gcount_r21 : std_logic; - signal w_gcount_r1 : std_logic; - signal w_gcount_r22 : std_logic; - signal w_gcount_r2 : std_logic; - signal w_gcount_r23 : std_logic; - signal w_gcount_r3 : std_logic; - signal w_gcount_r24 : std_logic; - signal w_gcount_r4 : std_logic; - signal w_gcount_r25 : std_logic; - signal w_gcount_r5 : std_logic; - signal w_gcount_r26 : std_logic; - signal w_gcount_r6 : std_logic; - signal w_gcount_r27 : std_logic; - signal w_gcount_r7 : std_logic; - signal r_gcount_w20 : std_logic; - signal r_gcount_w0 : std_logic; - signal r_gcount_w21 : std_logic; - signal r_gcount_w1 : std_logic; - signal r_gcount_w22 : std_logic; - signal r_gcount_w2 : std_logic; - signal r_gcount_w23 : std_logic; - signal r_gcount_w3 : std_logic; - signal r_gcount_w24 : std_logic; - signal r_gcount_w4 : std_logic; - signal r_gcount_w25 : std_logic; - signal r_gcount_w5 : std_logic; - signal r_gcount_w26 : std_logic; - signal r_gcount_w6 : std_logic; - signal r_gcount_w27 : std_logic; - signal r_gcount_w7 : std_logic; - signal empty_i : std_logic; - signal rRst : std_logic; - signal full_i : std_logic; - signal iwcount_0 : std_logic; - signal iwcount_1 : std_logic; - signal w_gctr_ci : std_logic; - signal iwcount_2 : std_logic; - signal iwcount_3 : std_logic; - signal co0 : std_logic; - signal iwcount_4 : std_logic; - signal iwcount_5 : std_logic; - signal co1 : std_logic; - signal iwcount_6 : std_logic; - signal iwcount_7 : std_logic; - signal co3 : std_logic; - signal wcount_7 : std_logic; - signal co2 : std_logic; - signal ircount_0 : std_logic; - signal ircount_1 : std_logic; - signal r_gctr_ci : std_logic; - signal ircount_2 : std_logic; - signal ircount_3 : std_logic; - signal co0_1 : std_logic; - signal ircount_4 : std_logic; - signal ircount_5 : std_logic; - signal co1_1 : std_logic; - signal ircount_6 : std_logic; - signal ircount_7 : std_logic; - signal co3_1 : std_logic; - signal rcount_7 : std_logic; - signal co2_1 : std_logic; - signal wfill_sub_0 : std_logic; - signal scuba_vhi : std_logic; - signal wptr_0 : std_logic; - signal wfill_sub_1 : std_logic; - signal wfill_sub_2 : std_logic; - signal co0_2 : std_logic; - signal wptr_1 : std_logic; - signal wptr_2 : std_logic; - signal wfill_sub_3 : std_logic; - signal wfill_sub_4 : std_logic; - signal co1_2 : std_logic; - signal wptr_3 : std_logic; - signal wptr_4 : std_logic; - signal wfill_sub_5 : std_logic; - signal wfill_sub_6 : std_logic; - signal co2_2 : std_logic; - signal wptr_5 : std_logic; - signal wptr_6 : std_logic; - signal wfill_sub_7 : std_logic; - signal co3_2 : std_logic; - signal wfill_sub_msb : std_logic; - signal rden_i : std_logic; - signal cmp_ci : std_logic; - signal wcount_r0 : std_logic; - signal wcount_r1 : std_logic; - signal rcount_0 : std_logic; - signal rcount_1 : std_logic; - signal co0_3 : std_logic; - signal wcount_r2 : std_logic; - signal wcount_r3 : std_logic; - signal rcount_2 : std_logic; - signal rcount_3 : std_logic; - signal co1_3 : std_logic; - signal w_g2b_xor_cluster_0 : std_logic; - signal wcount_r5 : std_logic; - signal rcount_4 : std_logic; - signal rcount_5 : std_logic; - signal co2_3 : std_logic; - signal wcount_r6 : std_logic; - signal empty_cmp_clr : std_logic; - signal rcount_6 : std_logic; - signal empty_cmp_set : std_logic; - signal empty_d : std_logic; - signal empty_d_c : std_logic; - signal wren_i : std_logic; - signal cmp_ci_1 : std_logic; - signal rcount_w0 : std_logic; - signal rcount_w1 : std_logic; - signal wcount_0 : std_logic; - signal wcount_1 : std_logic; - signal co0_4 : std_logic; - signal rcount_w2 : std_logic; - signal rcount_w3 : std_logic; - signal wcount_2 : std_logic; - signal wcount_3 : std_logic; - signal co1_4 : std_logic; - signal r_g2b_xor_cluster_0 : std_logic; - signal rcount_w5 : std_logic; - signal wcount_4 : std_logic; - signal wcount_5 : std_logic; - signal co2_4 : std_logic; - signal rcount_w6 : std_logic; - signal full_cmp_clr : std_logic; - signal wcount_6 : std_logic; - signal full_cmp_set : std_logic; - signal full_d : std_logic; - signal full_d_c : std_logic; - signal scuba_vlo : std_logic; - - -- local component declarations - component AGEB2 - port (A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; - B1 : in std_logic; CI : in std_logic; GE : out std_logic); - end component; - component AND2 - port (A : in std_logic; B : in std_logic; Z : out std_logic); - end component; - component CU2 - port (CI : in std_logic; PC0 : in std_logic; PC1 : in std_logic; - CO : out std_logic; NC0 : out std_logic; NC1 : out std_logic); - end component; - component FADD2B - port (A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; - B1 : in std_logic; CI : in std_logic; COUT : out std_logic; - S0 : out std_logic; S1 : out std_logic); - end component; - component FSUB2B - port (A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; - B1 : in std_logic; BI : in std_logic; BOUT : out std_logic; - S0 : out std_logic; S1 : out std_logic); - end component; - component FD1P3BX - port (D : in std_logic; SP : in std_logic; CK : in std_logic; - PD : in std_logic; Q : out std_logic); - end component; - component FD1P3DX - port (D : in std_logic; SP : in std_logic; CK : in std_logic; - CD : in std_logic; Q : out std_logic); - end component; - component FD1S3BX - port (D : in std_logic; CK : in std_logic; PD : in std_logic; - Q : out std_logic); - end component; - component FD1S3DX - port (D : in std_logic; CK : in std_logic; CD : in std_logic; - Q : out std_logic); - end component; - component INV - port (A : in std_logic; Z : out std_logic); - end component; - component OR2 - port (A : in std_logic; B : in std_logic; Z : out std_logic); - end component; - component ROM16X1A - generic (INITVAL : in std_logic_vector(15 downto 0)); - port (AD3 : in std_logic; AD2 : in std_logic; AD1 : in std_logic; - AD0 : in std_logic; DO0 : out std_logic); - end component; - component VHI - port (Z : out std_logic); - end component; - component VLO - port (Z : out std_logic); - end component; - component XOR2 - port (A : in std_logic; B : in std_logic; Z : out std_logic); - end component; - component PDPW16KC - generic (GSR : in string; CSDECODE_R : in string; - CSDECODE_W : in string; REGMODE : in string; - DATA_WIDTH_R : in integer; DATA_WIDTH_W : in integer); - port (DI0 : in std_logic; DI1 : in std_logic; DI2 : in std_logic; - DI3 : in std_logic; DI4 : in std_logic; DI5 : in std_logic; - DI6 : in std_logic; DI7 : in std_logic; DI8 : in std_logic; - DI9 : in std_logic; DI10 : in std_logic; DI11 : in std_logic; - DI12 : in std_logic; DI13 : in std_logic; - DI14 : in std_logic; DI15 : in std_logic; - DI16 : in std_logic; DI17 : in std_logic; - DI18 : in std_logic; DI19 : in std_logic; - DI20 : in std_logic; DI21 : in std_logic; - DI22 : in std_logic; DI23 : in std_logic; - DI24 : in std_logic; DI25 : in std_logic; - DI26 : in std_logic; DI27 : in std_logic; - DI28 : in std_logic; DI29 : in std_logic; - DI30 : in std_logic; DI31 : in std_logic; - DI32 : in std_logic; DI33 : in std_logic; - DI34 : in std_logic; DI35 : in std_logic; - ADW0 : in std_logic; ADW1 : in std_logic; - ADW2 : in std_logic; ADW3 : in std_logic; - ADW4 : in std_logic; ADW5 : in std_logic; - ADW6 : in std_logic; ADW7 : in std_logic; - ADW8 : in std_logic; BE0 : in std_logic; BE1 : in std_logic; - BE2 : in std_logic; BE3 : in std_logic; CEW : in std_logic; - CLKW : in std_logic; CSW0 : in std_logic; - CSW1 : in std_logic; CSW2 : in std_logic; - ADR0 : in std_logic; ADR1 : in std_logic; - ADR2 : in std_logic; ADR3 : in std_logic; - ADR4 : in std_logic; ADR5 : in std_logic; - ADR6 : in std_logic; ADR7 : in std_logic; - ADR8 : in std_logic; ADR9 : in std_logic; - ADR10 : in std_logic; ADR11 : in std_logic; - ADR12 : in std_logic; ADR13 : in std_logic; - CER : in std_logic; CLKR : in std_logic; CSR0 : in std_logic; - CSR1 : in std_logic; CSR2 : in std_logic; RST : in std_logic; - DO0 : out std_logic; DO1 : out std_logic; - DO2 : out std_logic; DO3 : out std_logic; - DO4 : out std_logic; DO5 : out std_logic; - DO6 : out std_logic; DO7 : out std_logic; - DO8 : out std_logic; DO9 : out std_logic; - DO10 : out std_logic; DO11 : out std_logic; - DO12 : out std_logic; DO13 : out std_logic; - DO14 : out std_logic; DO15 : out std_logic; - DO16 : out std_logic; DO17 : out std_logic; - DO18 : out std_logic; DO19 : out std_logic; - DO20 : out std_logic; DO21 : out std_logic; - DO22 : out std_logic; DO23 : out std_logic; - DO24 : out std_logic; DO25 : out std_logic; - DO26 : out std_logic; DO27 : out std_logic; - DO28 : out std_logic; DO29 : out std_logic; - DO30 : out std_logic; DO31 : out std_logic; - DO32 : out std_logic; DO33 : out std_logic; - DO34 : out std_logic; DO35 : out std_logic); - end component; - attribute MEM_LPC_FILE : string; - attribute MEM_INIT_FILE : string; - attribute RESETMODE : string; - attribute GSR : string; - attribute MEM_LPC_FILE of pdp_ram_0_0_0 : label is "FIFO_36x128_OutReg_Counter.lpc"; - attribute MEM_INIT_FILE of pdp_ram_0_0_0 : label is ""; - attribute RESETMODE of pdp_ram_0_0_0 : label is "SYNC"; - attribute GSR of FF_89 : label is "ENABLED"; - attribute GSR of FF_88 : label is "ENABLED"; - attribute GSR of FF_87 : label is "ENABLED"; - attribute GSR of FF_86 : label is "ENABLED"; - attribute GSR of FF_85 : label is "ENABLED"; - attribute GSR of FF_84 : label is "ENABLED"; - attribute GSR of FF_83 : label is "ENABLED"; - attribute GSR of FF_82 : label is "ENABLED"; - attribute GSR of FF_81 : label is "ENABLED"; - attribute GSR of FF_80 : label is "ENABLED"; - attribute GSR of FF_79 : label is "ENABLED"; - attribute GSR of FF_78 : label is "ENABLED"; - attribute GSR of FF_77 : label is "ENABLED"; - attribute GSR of FF_76 : label is "ENABLED"; - attribute GSR of FF_75 : label is "ENABLED"; - attribute GSR of FF_74 : label is "ENABLED"; - attribute GSR of FF_73 : label is "ENABLED"; - attribute GSR of FF_72 : label is "ENABLED"; - attribute GSR of FF_71 : label is "ENABLED"; - attribute GSR of FF_70 : label is "ENABLED"; - attribute GSR of FF_69 : label is "ENABLED"; - attribute GSR of FF_68 : label is "ENABLED"; - attribute GSR of FF_67 : label is "ENABLED"; - attribute GSR of FF_66 : label is "ENABLED"; - attribute GSR of FF_65 : label is "ENABLED"; - attribute GSR of FF_64 : label is "ENABLED"; - attribute GSR of FF_63 : label is "ENABLED"; - attribute GSR of FF_62 : label is "ENABLED"; - attribute GSR of FF_61 : label is "ENABLED"; - attribute GSR of FF_60 : label is "ENABLED"; - attribute GSR of FF_59 : label is "ENABLED"; - attribute GSR of FF_58 : label is "ENABLED"; - attribute GSR of FF_57 : label is "ENABLED"; - attribute GSR of FF_56 : label is "ENABLED"; - attribute GSR of FF_55 : label is "ENABLED"; - attribute GSR of FF_54 : label is "ENABLED"; - attribute GSR of FF_53 : label is "ENABLED"; - attribute GSR of FF_52 : label is "ENABLED"; - attribute GSR of FF_51 : label is "ENABLED"; - attribute GSR of FF_50 : label is "ENABLED"; - attribute GSR of FF_49 : label is "ENABLED"; - attribute GSR of FF_48 : label is "ENABLED"; - attribute GSR of FF_47 : label is "ENABLED"; - attribute GSR of FF_46 : label is "ENABLED"; - attribute GSR of FF_45 : label is "ENABLED"; - attribute GSR of FF_44 : label is "ENABLED"; - attribute GSR of FF_43 : label is "ENABLED"; - attribute GSR of FF_42 : label is "ENABLED"; - attribute GSR of FF_41 : label is "ENABLED"; - attribute GSR of FF_40 : label is "ENABLED"; - attribute GSR of FF_39 : label is "ENABLED"; - attribute GSR of FF_38 : label is "ENABLED"; - attribute GSR of FF_37 : label is "ENABLED"; - attribute GSR of FF_36 : label is "ENABLED"; - attribute GSR of FF_35 : label is "ENABLED"; - attribute GSR of FF_34 : label is "ENABLED"; - attribute GSR of FF_33 : label is "ENABLED"; - attribute GSR of FF_32 : label is "ENABLED"; - attribute GSR of FF_31 : label is "ENABLED"; - attribute GSR of FF_30 : label is "ENABLED"; - attribute GSR of FF_29 : label is "ENABLED"; - attribute GSR of FF_28 : label is "ENABLED"; - attribute GSR of FF_27 : label is "ENABLED"; - attribute GSR of FF_26 : label is "ENABLED"; - attribute GSR of FF_25 : label is "ENABLED"; - attribute GSR of FF_24 : label is "ENABLED"; - attribute GSR of FF_23 : label is "ENABLED"; - attribute GSR of FF_22 : label is "ENABLED"; - attribute GSR of FF_21 : label is "ENABLED"; - attribute GSR of FF_20 : label is "ENABLED"; - attribute GSR of FF_19 : label is "ENABLED"; - attribute GSR of FF_18 : label is "ENABLED"; - attribute GSR of FF_17 : label is "ENABLED"; - attribute GSR of FF_16 : label is "ENABLED"; - attribute GSR of FF_15 : label is "ENABLED"; - attribute GSR of FF_14 : label is "ENABLED"; - attribute GSR of FF_13 : label is "ENABLED"; - attribute GSR of FF_12 : label is "ENABLED"; - attribute GSR of FF_11 : label is "ENABLED"; - attribute GSR of FF_10 : label is "ENABLED"; - attribute GSR of FF_9 : label is "ENABLED"; - attribute GSR of FF_8 : label is "ENABLED"; - attribute GSR of FF_7 : label is "ENABLED"; - attribute GSR of FF_6 : label is "ENABLED"; - attribute GSR of FF_5 : label is "ENABLED"; - attribute GSR of FF_4 : label is "ENABLED"; - attribute GSR of FF_3 : label is "ENABLED"; - attribute GSR of FF_2 : label is "ENABLED"; - attribute GSR of FF_1 : label is "ENABLED"; - attribute GSR of FF_0 : label is "ENABLED"; - attribute syn_keep : boolean; - -begin - -- component instantiation statements - AND2_t17 : AND2 - port map (A => WrEn, B => invout_1, Z => wren_i); - - INV_1 : INV - port map (A => full_i, Z => invout_1); - - AND2_t16 : AND2 - port map (A => RdEn, B => invout_0, Z => rden_i); - - INV_0 : INV - port map (A => empty_i, Z => invout_0); - - OR2_t15 : OR2 - port map (A => Reset, B => RPReset, Z => rRst); - - XOR2_t14 : XOR2 - port map (A => wcount_0, B => wcount_1, Z => w_gdata_0); - - XOR2_t13 : XOR2 - port map (A => wcount_1, B => wcount_2, Z => w_gdata_1); - - XOR2_t12 : XOR2 - port map (A => wcount_2, B => wcount_3, Z => w_gdata_2); - - XOR2_t11 : XOR2 - port map (A => wcount_3, B => wcount_4, Z => w_gdata_3); - - XOR2_t10 : XOR2 - port map (A => wcount_4, B => wcount_5, Z => w_gdata_4); - - XOR2_t9 : XOR2 - port map (A => wcount_5, B => wcount_6, Z => w_gdata_5); - - XOR2_t8 : XOR2 - port map (A => wcount_6, B => wcount_7, Z => w_gdata_6); - - XOR2_t7 : XOR2 - port map (A => rcount_0, B => rcount_1, Z => r_gdata_0); - - XOR2_t6 : XOR2 - port map (A => rcount_1, B => rcount_2, Z => r_gdata_1); - - XOR2_t5 : XOR2 - port map (A => rcount_2, B => rcount_3, Z => r_gdata_2); - - XOR2_t4 : XOR2 - port map (A => rcount_3, B => rcount_4, Z => r_gdata_3); - - XOR2_t3 : XOR2 - port map (A => rcount_4, B => rcount_5, Z => r_gdata_4); - - XOR2_t2 : XOR2 - port map (A => rcount_5, B => rcount_6, Z => r_gdata_5); - - XOR2_t1 : XOR2 - port map (A => rcount_6, B => rcount_7, Z => r_gdata_6); - - LUT4_19 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => w_gcount_r24, AD2 => w_gcount_r25, - AD1 => w_gcount_r26, AD0 => w_gcount_r27, - DO0 => w_g2b_xor_cluster_0); - - LUT4_18 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => w_gcount_r20, AD2 => w_gcount_r21, - AD1 => w_gcount_r22, AD0 => w_gcount_r23, - DO0 => w_g2b_xor_cluster_1); - - LUT4_17 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => w_gcount_r26, AD2 => w_gcount_r27, AD1 => scuba_vlo, - AD0 => scuba_vlo, DO0 => wcount_r6); - - LUT4_16 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => w_gcount_r25, AD2 => w_gcount_r26, - AD1 => w_gcount_r27, AD0 => scuba_vlo, DO0 => wcount_r5); - - LUT4_15 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => w_gcount_r23, AD2 => w_gcount_r24, - AD1 => w_gcount_r25, AD0 => wcount_r6, DO0 => wcount_r3); - - LUT4_14 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => w_gcount_r22, AD2 => w_gcount_r23, - AD1 => w_gcount_r24, AD0 => wcount_r5, DO0 => wcount_r2); - - LUT4_13 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => w_gcount_r21, AD2 => w_gcount_r22, - AD1 => w_gcount_r23, AD0 => w_g2b_xor_cluster_0, DO0 => wcount_r1); - - LUT4_12 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => w_g2b_xor_cluster_0, AD2 => w_g2b_xor_cluster_1, - AD1 => scuba_vlo, AD0 => scuba_vlo, DO0 => wcount_r0); - - LUT4_11 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => r_gcount_w24, AD2 => r_gcount_w25, - AD1 => r_gcount_w26, AD0 => r_gcount_w27, - DO0 => r_g2b_xor_cluster_0); - - LUT4_10 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => r_gcount_w20, AD2 => r_gcount_w21, - AD1 => r_gcount_w22, AD0 => r_gcount_w23, - DO0 => r_g2b_xor_cluster_1); - - LUT4_9 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => r_gcount_w26, AD2 => r_gcount_w27, AD1 => scuba_vlo, - AD0 => scuba_vlo, DO0 => rcount_w6); - - LUT4_8 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => r_gcount_w25, AD2 => r_gcount_w26, - AD1 => r_gcount_w27, AD0 => scuba_vlo, DO0 => rcount_w5); - - LUT4_7 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => r_gcount_w23, AD2 => r_gcount_w24, - AD1 => r_gcount_w25, AD0 => rcount_w6, DO0 => rcount_w3); - - LUT4_6 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => r_gcount_w22, AD2 => r_gcount_w23, - AD1 => r_gcount_w24, AD0 => rcount_w5, DO0 => rcount_w2); - - LUT4_5 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => r_gcount_w21, AD2 => r_gcount_w22, - AD1 => r_gcount_w23, AD0 => r_g2b_xor_cluster_0, DO0 => rcount_w1); - - LUT4_4 : ROM16X1A - generic map (initval => X"6996") - port map (AD3 => r_g2b_xor_cluster_0, AD2 => r_g2b_xor_cluster_1, - AD1 => scuba_vlo, AD0 => scuba_vlo, DO0 => rcount_w0); - - XOR2_t0 : XOR2 - port map (A => wptr_7, B => r_gcount_w27, Z => wfill_sub_msb); - - LUT4_3 : ROM16X1A - generic map (initval => X"0410") - port map (AD3 => rptr_7, AD2 => rcount_7, AD1 => w_gcount_r27, - AD0 => scuba_vlo, DO0 => empty_cmp_set); - - LUT4_2 : ROM16X1A - generic map (initval => X"1004") - port map (AD3 => rptr_7, AD2 => rcount_7, AD1 => w_gcount_r27, - AD0 => scuba_vlo, DO0 => empty_cmp_clr); - - LUT4_1 : ROM16X1A - generic map (initval => X"0140") - port map (AD3 => wptr_7, AD2 => wcount_7, AD1 => r_gcount_w27, - AD0 => scuba_vlo, DO0 => full_cmp_set); - - LUT4_0 : ROM16X1A - generic map (initval => X"4001") - port map (AD3 => wptr_7, AD2 => wcount_7, AD1 => r_gcount_w27, - AD0 => scuba_vlo, DO0 => full_cmp_clr); - - pdp_ram_0_0_0 : PDPW16KC - generic map (CSDECODE_R => "0b001", CSDECODE_W => "0b001", GSR => "DISABLED", - REGMODE => "OUTREG", DATA_WIDTH_R => 36, DATA_WIDTH_W => 36) - port map (DI0 => Data(0), DI1 => Data(1), DI2 => Data(2), DI3 => Data(3), - DI4 => Data(4), DI5 => Data(5), DI6 => Data(6), DI7 => Data(7), - DI8 => Data(8), DI9 => Data(9), DI10 => Data(10), DI11 => Data(11), - DI12 => Data(12), DI13 => Data(13), DI14 => Data(14), - DI15 => Data(15), DI16 => Data(16), DI17 => Data(17), - DI18 => Data(18), DI19 => Data(19), DI20 => Data(20), - DI21 => Data(21), DI22 => Data(22), DI23 => Data(23), - DI24 => Data(24), DI25 => Data(25), DI26 => Data(26), - DI27 => Data(27), DI28 => Data(28), DI29 => Data(29), - DI30 => Data(30), DI31 => Data(31), DI32 => Data(32), - DI33 => Data(33), DI34 => Data(34), DI35 => Data(35), ADW0 => wptr_0, - ADW1 => wptr_1, ADW2 => wptr_2, ADW3 => wptr_3, ADW4 => wptr_4, - ADW5 => wptr_5, ADW6 => wptr_6, ADW7 => scuba_vlo, ADW8 => scuba_vlo, - BE0 => scuba_vhi, BE1 => scuba_vhi, BE2 => scuba_vhi, - BE3 => scuba_vhi, CEW => wren_i, CLKW => WrClock, CSW0 => scuba_vhi, - CSW1 => scuba_vlo, CSW2 => scuba_vlo, ADR0 => scuba_vlo, - ADR1 => scuba_vlo, ADR2 => scuba_vlo, ADR3 => scuba_vlo, - ADR4 => scuba_vlo, ADR5 => rptr_0, ADR6 => rptr_1, ADR7 => rptr_2, - ADR8 => rptr_3, ADR9 => rptr_4, ADR10 => rptr_5, ADR11 => rptr_6, - ADR12 => scuba_vlo, ADR13 => scuba_vlo, CER => scuba_vhi, - CLKR => RdClock, CSR0 => rden_i, CSR1 => scuba_vlo, - CSR2 => scuba_vlo, RST => Reset, DO0 => Q(18), DO1 => Q(19), - DO2 => Q(20), DO3 => Q(21), DO4 => Q(22), DO5 => Q(23), DO6 => Q(24), - DO7 => Q(25), DO8 => Q(26), DO9 => Q(27), DO10 => Q(28), DO11 => Q(29), - DO12 => Q(30), DO13 => Q(31), DO14 => Q(32), DO15 => Q(33), - DO16 => Q(34), DO17 => Q(35), DO18 => Q(0), DO19 => Q(1), DO20 => Q(2), - DO21 => Q(3), DO22 => Q(4), DO23 => Q(5), DO24 => Q(6), DO25 => Q(7), - DO26 => Q(8), DO27 => Q(9), DO28 => Q(10), DO29 => Q(11), - DO30 => Q(12), DO31 => Q(13), DO32 => Q(14), DO33 => Q(15), - DO34 => Q(16), DO35 => Q(17)); - - FF_89 : FD1P3BX - port map (D => iwcount_0, SP => wren_i, CK => WrClock, PD => Reset, - Q => wcount_0); - - FF_88 : FD1P3DX - port map (D => iwcount_1, SP => wren_i, CK => WrClock, CD => Reset, - Q => wcount_1); - - FF_87 : FD1P3DX - port map (D => iwcount_2, SP => wren_i, CK => WrClock, CD => Reset, - Q => wcount_2); - - FF_86 : FD1P3DX - port map (D => iwcount_3, SP => wren_i, CK => WrClock, CD => Reset, - Q => wcount_3); - - FF_85 : FD1P3DX - port map (D => iwcount_4, SP => wren_i, CK => WrClock, CD => Reset, - Q => wcount_4); - - FF_84 : FD1P3DX - port map (D => iwcount_5, SP => wren_i, CK => WrClock, CD => Reset, - Q => wcount_5); - - FF_83 : FD1P3DX - port map (D => iwcount_6, SP => wren_i, CK => WrClock, CD => Reset, - Q => wcount_6); - - FF_82 : FD1P3DX - port map (D => iwcount_7, SP => wren_i, CK => WrClock, CD => Reset, - Q => wcount_7); - - FF_81 : FD1P3DX - port map (D => w_gdata_0, SP => wren_i, CK => WrClock, CD => Reset, - Q => w_gcount_0); - - FF_80 : FD1P3DX - port map (D => w_gdata_1, SP => wren_i, CK => WrClock, CD => Reset, - Q => w_gcount_1); - - FF_79 : FD1P3DX - port map (D => w_gdata_2, SP => wren_i, CK => WrClock, CD => Reset, - Q => w_gcount_2); - - FF_78 : FD1P3DX - port map (D => w_gdata_3, SP => wren_i, CK => WrClock, CD => Reset, - Q => w_gcount_3); - - FF_77 : FD1P3DX - port map (D => w_gdata_4, SP => wren_i, CK => WrClock, CD => Reset, - Q => w_gcount_4); - - FF_76 : FD1P3DX - port map (D => w_gdata_5, SP => wren_i, CK => WrClock, CD => Reset, - Q => w_gcount_5); - - FF_75 : FD1P3DX - port map (D => w_gdata_6, SP => wren_i, CK => WrClock, CD => Reset, - Q => w_gcount_6); - - FF_74 : FD1P3DX - port map (D => wcount_7, SP => wren_i, CK => WrClock, CD => Reset, - Q => w_gcount_7); - - FF_73 : FD1P3DX - port map (D => wcount_0, SP => wren_i, CK => WrClock, CD => Reset, - Q => wptr_0); - - FF_72 : FD1P3DX - port map (D => wcount_1, SP => wren_i, CK => WrClock, CD => Reset, - Q => wptr_1); - - FF_71 : FD1P3DX - port map (D => wcount_2, SP => wren_i, CK => WrClock, CD => Reset, - Q => wptr_2); - - FF_70 : FD1P3DX - port map (D => wcount_3, SP => wren_i, CK => WrClock, CD => Reset, - Q => wptr_3); - - FF_69 : FD1P3DX - port map (D => wcount_4, SP => wren_i, CK => WrClock, CD => Reset, - Q => wptr_4); - - FF_68 : FD1P3DX - port map (D => wcount_5, SP => wren_i, CK => WrClock, CD => Reset, - Q => wptr_5); - - FF_67 : FD1P3DX - port map (D => wcount_6, SP => wren_i, CK => WrClock, CD => Reset, - Q => wptr_6); - - FF_66 : FD1P3DX - port map (D => wcount_7, SP => wren_i, CK => WrClock, CD => Reset, - Q => wptr_7); - - FF_65 : FD1P3BX - port map (D => ircount_0, SP => rden_i, CK => RdClock, PD => rRst, - Q => rcount_0); - - FF_64 : FD1P3DX - port map (D => ircount_1, SP => rden_i, CK => RdClock, CD => rRst, - Q => rcount_1); - - FF_63 : FD1P3DX - port map (D => ircount_2, SP => rden_i, CK => RdClock, CD => rRst, - Q => rcount_2); - - FF_62 : FD1P3DX - port map (D => ircount_3, SP => rden_i, CK => RdClock, CD => rRst, - Q => rcount_3); - - FF_61 : FD1P3DX - port map (D => ircount_4, SP => rden_i, CK => RdClock, CD => rRst, - Q => rcount_4); - - FF_60 : FD1P3DX - port map (D => ircount_5, SP => rden_i, CK => RdClock, CD => rRst, - Q => rcount_5); - - FF_59 : FD1P3DX - port map (D => ircount_6, SP => rden_i, CK => RdClock, CD => rRst, - Q => rcount_6); - - FF_58 : FD1P3DX - port map (D => ircount_7, SP => rden_i, CK => RdClock, CD => rRst, - Q => rcount_7); - - FF_57 : FD1P3DX - port map (D => r_gdata_0, SP => rden_i, CK => RdClock, CD => rRst, - Q => r_gcount_0); - - FF_56 : FD1P3DX - port map (D => r_gdata_1, SP => rden_i, CK => RdClock, CD => rRst, - Q => r_gcount_1); - - FF_55 : FD1P3DX - port map (D => r_gdata_2, SP => rden_i, CK => RdClock, CD => rRst, - Q => r_gcount_2); - - FF_54 : FD1P3DX - port map (D => r_gdata_3, SP => rden_i, CK => RdClock, CD => rRst, - Q => r_gcount_3); - - FF_53 : FD1P3DX - port map (D => r_gdata_4, SP => rden_i, CK => RdClock, CD => rRst, - Q => r_gcount_4); - - FF_52 : FD1P3DX - port map (D => r_gdata_5, SP => rden_i, CK => RdClock, CD => rRst, - Q => r_gcount_5); - - FF_51 : FD1P3DX - port map (D => r_gdata_6, SP => rden_i, CK => RdClock, CD => rRst, - Q => r_gcount_6); - - FF_50 : FD1P3DX - port map (D => rcount_7, SP => rden_i, CK => RdClock, CD => rRst, - Q => r_gcount_7); - - FF_49 : FD1P3DX - port map (D => rcount_0, SP => rden_i, CK => RdClock, CD => rRst, - Q => rptr_0); - - FF_48 : FD1P3DX - port map (D => rcount_1, SP => rden_i, CK => RdClock, CD => rRst, - Q => rptr_1); - - FF_47 : FD1P3DX - port map (D => rcount_2, SP => rden_i, CK => RdClock, CD => rRst, - Q => rptr_2); - - FF_46 : FD1P3DX - port map (D => rcount_3, SP => rden_i, CK => RdClock, CD => rRst, - Q => rptr_3); - - FF_45 : FD1P3DX - port map (D => rcount_4, SP => rden_i, CK => RdClock, CD => rRst, - Q => rptr_4); - - FF_44 : FD1P3DX - port map (D => rcount_5, SP => rden_i, CK => RdClock, CD => rRst, - Q => rptr_5); - - FF_43 : FD1P3DX - port map (D => rcount_6, SP => rden_i, CK => RdClock, CD => rRst, - Q => rptr_6); - - FF_42 : FD1P3DX - port map (D => rcount_7, SP => rden_i, CK => RdClock, CD => rRst, - Q => rptr_7); - - FF_41 : FD1S3DX - port map (D => w_gcount_0, CK => RdClock, CD => Reset, Q => w_gcount_r0); - - FF_40 : FD1S3DX - port map (D => w_gcount_1, CK => RdClock, CD => Reset, Q => w_gcount_r1); - - FF_39 : FD1S3DX - port map (D => w_gcount_2, CK => RdClock, CD => Reset, Q => w_gcount_r2); - - FF_38 : FD1S3DX - port map (D => w_gcount_3, CK => RdClock, CD => Reset, Q => w_gcount_r3); - - FF_37 : FD1S3DX - port map (D => w_gcount_4, CK => RdClock, CD => Reset, Q => w_gcount_r4); - - FF_36 : FD1S3DX - port map (D => w_gcount_5, CK => RdClock, CD => Reset, Q => w_gcount_r5); - - FF_35 : FD1S3DX - port map (D => w_gcount_6, CK => RdClock, CD => Reset, Q => w_gcount_r6); - - FF_34 : FD1S3DX - port map (D => w_gcount_7, CK => RdClock, CD => Reset, Q => w_gcount_r7); - - FF_33 : FD1S3DX - port map (D => r_gcount_0, CK => WrClock, CD => rRst, Q => r_gcount_w0); - - FF_32 : FD1S3DX - port map (D => r_gcount_1, CK => WrClock, CD => rRst, Q => r_gcount_w1); - - FF_31 : FD1S3DX - port map (D => r_gcount_2, CK => WrClock, CD => rRst, Q => r_gcount_w2); - - FF_30 : FD1S3DX - port map (D => r_gcount_3, CK => WrClock, CD => rRst, Q => r_gcount_w3); - - FF_29 : FD1S3DX - port map (D => r_gcount_4, CK => WrClock, CD => rRst, Q => r_gcount_w4); - - FF_28 : FD1S3DX - port map (D => r_gcount_5, CK => WrClock, CD => rRst, Q => r_gcount_w5); - - FF_27 : FD1S3DX - port map (D => r_gcount_6, CK => WrClock, CD => rRst, Q => r_gcount_w6); - - FF_26 : FD1S3DX - port map (D => r_gcount_7, CK => WrClock, CD => rRst, Q => r_gcount_w7); - - FF_25 : FD1S3DX - port map (D => w_gcount_r0, CK => RdClock, CD => Reset, - Q => w_gcount_r20); - - FF_24 : FD1S3DX - port map (D => w_gcount_r1, CK => RdClock, CD => Reset, - Q => w_gcount_r21); - - FF_23 : FD1S3DX - port map (D => w_gcount_r2, CK => RdClock, CD => Reset, - Q => w_gcount_r22); - - FF_22 : FD1S3DX - port map (D => w_gcount_r3, CK => RdClock, CD => Reset, - Q => w_gcount_r23); - - FF_21 : FD1S3DX - port map (D => w_gcount_r4, CK => RdClock, CD => Reset, - Q => w_gcount_r24); - - FF_20 : FD1S3DX - port map (D => w_gcount_r5, CK => RdClock, CD => Reset, - Q => w_gcount_r25); - - FF_19 : FD1S3DX - port map (D => w_gcount_r6, CK => RdClock, CD => Reset, - Q => w_gcount_r26); - - FF_18 : FD1S3DX - port map (D => w_gcount_r7, CK => RdClock, CD => Reset, - Q => w_gcount_r27); - - FF_17 : FD1S3DX - port map (D => r_gcount_w0, CK => WrClock, CD => rRst, Q => r_gcount_w20); - - FF_16 : FD1S3DX - port map (D => r_gcount_w1, CK => WrClock, CD => rRst, Q => r_gcount_w21); - - FF_15 : FD1S3DX - port map (D => r_gcount_w2, CK => WrClock, CD => rRst, Q => r_gcount_w22); - - FF_14 : FD1S3DX - port map (D => r_gcount_w3, CK => WrClock, CD => rRst, Q => r_gcount_w23); - - FF_13 : FD1S3DX - port map (D => r_gcount_w4, CK => WrClock, CD => rRst, Q => r_gcount_w24); - - FF_12 : FD1S3DX - port map (D => r_gcount_w5, CK => WrClock, CD => rRst, Q => r_gcount_w25); - - FF_11 : FD1S3DX - port map (D => r_gcount_w6, CK => WrClock, CD => rRst, Q => r_gcount_w26); - - FF_10 : FD1S3DX - port map (D => r_gcount_w7, CK => WrClock, CD => rRst, Q => r_gcount_w27); - - FF_9 : FD1S3DX - port map (D => wfill_sub_0, CK => WrClock, CD => Reset, Q => WCNT(0)); - - FF_8 : FD1S3DX - port map (D => wfill_sub_1, CK => WrClock, CD => Reset, Q => WCNT(1)); - - FF_7 : FD1S3DX - port map (D => wfill_sub_2, CK => WrClock, CD => Reset, Q => WCNT(2)); - - FF_6 : FD1S3DX - port map (D => wfill_sub_3, CK => WrClock, CD => Reset, Q => WCNT(3)); - - FF_5 : FD1S3DX - port map (D => wfill_sub_4, CK => WrClock, CD => Reset, Q => WCNT(4)); - - FF_4 : FD1S3DX - port map (D => wfill_sub_5, CK => WrClock, CD => Reset, Q => WCNT(5)); - - FF_3 : FD1S3DX - port map (D => wfill_sub_6, CK => WrClock, CD => Reset, Q => WCNT(6)); - - FF_2 : FD1S3DX - port map (D => wfill_sub_7, CK => WrClock, CD => Reset, Q => WCNT(7)); - - FF_1 : FD1S3BX - port map (D => empty_d, CK => RdClock, PD => rRst, Q => empty_i); - - FF_0 : FD1S3DX - port map (D => full_d, CK => WrClock, CD => Reset, Q => full_i); - - w_gctr_cia : FADD2B - port map (A0 => scuba_vlo, A1 => scuba_vhi, B0 => scuba_vlo, - B1 => scuba_vhi, CI => scuba_vlo, COUT => w_gctr_ci, S0 => open, - S1 => open); - - w_gctr_0 : CU2 - port map (CI => w_gctr_ci, PC0 => wcount_0, PC1 => wcount_1, CO => co0, - NC0 => iwcount_0, NC1 => iwcount_1); - - w_gctr_1 : CU2 - port map (CI => co0, PC0 => wcount_2, PC1 => wcount_3, CO => co1, - NC0 => iwcount_2, NC1 => iwcount_3); - - w_gctr_2 : CU2 - port map (CI => co1, PC0 => wcount_4, PC1 => wcount_5, CO => co2, - NC0 => iwcount_4, NC1 => iwcount_5); - - w_gctr_3 : CU2 - port map (CI => co2, PC0 => wcount_6, PC1 => wcount_7, CO => co3, - NC0 => iwcount_6, NC1 => iwcount_7); - - r_gctr_cia : FADD2B - port map (A0 => scuba_vlo, A1 => scuba_vhi, B0 => scuba_vlo, - B1 => scuba_vhi, CI => scuba_vlo, COUT => r_gctr_ci, S0 => open, - S1 => open); - - r_gctr_0 : CU2 - port map (CI => r_gctr_ci, PC0 => rcount_0, PC1 => rcount_1, CO => co0_1, - NC0 => ircount_0, NC1 => ircount_1); - - r_gctr_1 : CU2 - port map (CI => co0_1, PC0 => rcount_2, PC1 => rcount_3, CO => co1_1, - NC0 => ircount_2, NC1 => ircount_3); - - r_gctr_2 : CU2 - port map (CI => co1_1, PC0 => rcount_4, PC1 => rcount_5, CO => co2_1, - NC0 => ircount_4, NC1 => ircount_5); - - r_gctr_3 : CU2 - port map (CI => co2_1, PC0 => rcount_6, PC1 => rcount_7, CO => co3_1, - NC0 => ircount_6, NC1 => ircount_7); - - scuba_vhi_inst : VHI - port map (Z => scuba_vhi); - - wfill_0 : FSUB2B - port map (A0 => scuba_vhi, A1 => wptr_0, B0 => scuba_vlo, - B1 => rcount_w0, BI => scuba_vlo, BOUT => co0_2, S0 => open, - S1 => wfill_sub_0); - - wfill_1 : FSUB2B - port map (A0 => wptr_1, A1 => wptr_2, B0 => rcount_w1, B1 => rcount_w2, - BI => co0_2, BOUT => co1_2, S0 => wfill_sub_1, S1 => wfill_sub_2); - - wfill_2 : FSUB2B - port map (A0 => wptr_3, A1 => wptr_4, B0 => rcount_w3, - B1 => r_g2b_xor_cluster_0, BI => co1_2, BOUT => co2_2, - S0 => wfill_sub_3, S1 => wfill_sub_4); - - wfill_3 : FSUB2B - port map (A0 => wptr_5, A1 => wptr_6, B0 => rcount_w5, B1 => rcount_w6, - BI => co2_2, BOUT => co3_2, S0 => wfill_sub_5, S1 => wfill_sub_6); - - wfill_4 : FSUB2B - port map (A0 => wfill_sub_msb, A1 => scuba_vlo, B0 => scuba_vlo, - B1 => scuba_vlo, BI => co3_2, BOUT => open, S0 => wfill_sub_7, - S1 => open); - - empty_cmp_ci_a : FADD2B - port map (A0 => scuba_vlo, A1 => rden_i, B0 => scuba_vlo, B1 => rden_i, - CI => scuba_vlo, COUT => cmp_ci, S0 => open, S1 => open); - - empty_cmp_0 : AGEB2 - port map (A0 => rcount_0, A1 => rcount_1, B0 => wcount_r0, - B1 => wcount_r1, CI => cmp_ci, GE => co0_3); - - empty_cmp_1 : AGEB2 - port map (A0 => rcount_2, A1 => rcount_3, B0 => wcount_r2, - B1 => wcount_r3, CI => co0_3, GE => co1_3); - - empty_cmp_2 : AGEB2 - port map (A0 => rcount_4, A1 => rcount_5, B0 => w_g2b_xor_cluster_0, - B1 => wcount_r5, CI => co1_3, GE => co2_3); - - empty_cmp_3 : AGEB2 - port map (A0 => rcount_6, A1 => empty_cmp_set, B0 => wcount_r6, - B1 => empty_cmp_clr, CI => co2_3, GE => empty_d_c); - - a0 : FADD2B - port map (A0 => scuba_vlo, A1 => scuba_vlo, B0 => scuba_vlo, - B1 => scuba_vlo, CI => empty_d_c, COUT => open, S0 => empty_d, - S1 => open); - - full_cmp_ci_a : FADD2B - port map (A0 => scuba_vlo, A1 => wren_i, B0 => scuba_vlo, B1 => wren_i, - CI => scuba_vlo, COUT => cmp_ci_1, S0 => open, S1 => open); - - full_cmp_0 : AGEB2 - port map (A0 => wcount_0, A1 => wcount_1, B0 => rcount_w0, - B1 => rcount_w1, CI => cmp_ci_1, GE => co0_4); - - full_cmp_1 : AGEB2 - port map (A0 => wcount_2, A1 => wcount_3, B0 => rcount_w2, - B1 => rcount_w3, CI => co0_4, GE => co1_4); - - full_cmp_2 : AGEB2 - port map (A0 => wcount_4, A1 => wcount_5, B0 => r_g2b_xor_cluster_0, - B1 => rcount_w5, CI => co1_4, GE => co2_4); - - full_cmp_3 : AGEB2 - port map (A0 => wcount_6, A1 => full_cmp_set, B0 => rcount_w6, - B1 => full_cmp_clr, CI => co2_4, GE => full_d_c); - - scuba_vlo_inst : VLO - port map (Z => scuba_vlo); - - a1 : FADD2B - port map (A0 => scuba_vlo, A1 => scuba_vlo, B0 => scuba_vlo, - B1 => scuba_vlo, CI => full_d_c, COUT => open, S0 => full_d, - S1 => open); - - Empty <= empty_i; - Full <= full_i; -end Structure; - --- synopsys translate_off -library ecp3; -configuration Structure_CON of FIFO_36x128_OutReg_Counter is - for Structure - for all : AGEB2 use entity ecp3.AGEB2(V); end for; - for all : AND2 use entity ecp3.AND2(V); end for; - for all : CU2 use entity ecp3.CU2(V); end for; - for all : FADD2B use entity ecp3.FADD2B(V); end for; - for all : FSUB2B use entity ecp3.FSUB2B(V); end for; - for all : FD1P3BX use entity ecp3.FD1P3BX(V); end for; - for all : FD1P3DX use entity ecp3.FD1P3DX(V); end for; - for all : FD1S3BX use entity ecp3.FD1S3BX(V); end for; - for all : FD1S3DX use entity ecp3.FD1S3DX(V); end for; - for all : INV use entity ecp3.INV(V); end for; - for all : OR2 use entity ecp3.OR2(V); end for; - for all : ROM16X1A use entity ecp3.ROM16X1A(V); end for; - for all : VHI use entity ecp3.VHI(V); end for; - for all : VLO use entity ecp3.VLO(V); end for; - for all : XOR2 use entity ecp3.XOR2(V); end for; - for all : PDPW16KC use entity ecp3.PDPW16KC(V); end for; - end for; -end Structure_CON; - --- synopsys translate_on diff --git a/tdc_releases/tdc_v2.0/LogicAnalyser.vhd b/tdc_releases/tdc_v2.0/LogicAnalyser.vhd index 52320bc..192b822 100644 --- a/tdc_releases/tdc_v2.0/LogicAnalyser.vhd +++ b/tdc_releases/tdc_v2.0/LogicAnalyser.vhd @@ -5,7 +5,7 @@ -- File : LogicAnalyser.vhd -- Author : cugur@gsi.de -- Created : 2012-10-26 --- Last update: 2014-06-16 +-- Last update: 2013-03-01 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- diff --git a/tdc_releases/tdc_v2.0/ROM_FIFO.vhd b/tdc_releases/tdc_v2.0/ROM_FIFO.vhd deleted file mode 100644 index efc10c3..0000000 --- a/tdc_releases/tdc_v2.0/ROM_FIFO.vhd +++ /dev/null @@ -1,262 +0,0 @@ --- VHDL netlist generated by SCUBA Diamond_1.3_Production (92) --- Module Version: 5.0 ---/opt/lattice/diamond/1.3/ispfpga/bin/lin/scuba -w -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type bram -wp 00 -rp 1100 -addr_width 8 -data_width 4 -num_rows 256 -memfile /home/ugur/Projects/trb3/tdc_test/ipexpress/ROM_FIFO/rom0_mem_file.mem -memformat hex -cascade -1 -e - --- Fri Nov 11 12:43:08 2011 - -library IEEE; -use IEEE.std_logic_1164.all; --- synopsys translate_off -library ecp3; -use ecp3.components.all; --- synopsys translate_on - -entity ROM_FIFO is - port ( - Address : in std_logic_vector(7 downto 0); - OutClock : in std_logic; - OutClockEn : in std_logic; - Reset : in std_logic; - Q : out std_logic_vector(3 downto 0)); -end ROM_FIFO; - -architecture Structure of ROM_FIFO is - - -- internal signal declarations - signal scuba_vhi : std_logic; - signal scuba_vlo : std_logic; - - -- local component declarations - component VHI - port (Z : out std_logic); - end component; - component VLO - port (Z : out std_logic); - end component; - component DP16KC - generic (INITVAL_3F : in string; INITVAL_3E : in string; - INITVAL_3D : in string; INITVAL_3C : in string; - INITVAL_3B : in string; INITVAL_3A : in string; - INITVAL_39 : in string; INITVAL_38 : in string; - INITVAL_37 : in string; INITVAL_36 : in string; - INITVAL_35 : in string; INITVAL_34 : in string; - INITVAL_33 : in string; INITVAL_32 : in string; - INITVAL_31 : in string; INITVAL_30 : in string; - INITVAL_2F : in string; INITVAL_2E : in string; - INITVAL_2D : in string; INITVAL_2C : in string; - INITVAL_2B : in string; INITVAL_2A : in string; - INITVAL_29 : in string; INITVAL_28 : in string; - INITVAL_27 : in string; INITVAL_26 : in string; - INITVAL_25 : in string; INITVAL_24 : in string; - INITVAL_23 : in string; INITVAL_22 : in string; - INITVAL_21 : in string; INITVAL_20 : in string; - INITVAL_1F : in string; INITVAL_1E : in string; - INITVAL_1D : in string; INITVAL_1C : in string; - INITVAL_1B : in string; INITVAL_1A : in string; - INITVAL_19 : in string; INITVAL_18 : in string; - INITVAL_17 : in string; INITVAL_16 : in string; - INITVAL_15 : in string; INITVAL_14 : in string; - INITVAL_13 : in string; INITVAL_12 : in string; - INITVAL_11 : in string; INITVAL_10 : in string; - INITVAL_0F : in string; INITVAL_0E : in string; - INITVAL_0D : in string; INITVAL_0C : in string; - INITVAL_0B : in string; INITVAL_0A : in string; - INITVAL_09 : in string; INITVAL_08 : in string; - INITVAL_07 : in string; INITVAL_06 : in string; - INITVAL_05 : in string; INITVAL_04 : in string; - INITVAL_03 : in string; INITVAL_02 : in string; - INITVAL_01 : in string; INITVAL_00 : in string; - GSR : in string; WRITEMODE_B : in string; - WRITEMODE_A : in string; CSDECODE_B : in string; - CSDECODE_A : in string; REGMODE_B : in string; - REGMODE_A : in string; DATA_WIDTH_B : in integer; - DATA_WIDTH_A : in integer); - port (DIA0 : in std_logic; DIA1 : in std_logic; - DIA2 : in std_logic; DIA3 : in std_logic; - DIA4 : in std_logic; DIA5 : in std_logic; - DIA6 : in std_logic; DIA7 : in std_logic; - DIA8 : in std_logic; DIA9 : in std_logic; - DIA10 : in std_logic; DIA11 : in std_logic; - DIA12 : in std_logic; DIA13 : in std_logic; - DIA14 : in std_logic; DIA15 : in std_logic; - DIA16 : in std_logic; DIA17 : in std_logic; - ADA0 : in std_logic; ADA1 : in std_logic; - ADA2 : in std_logic; ADA3 : in std_logic; - ADA4 : in std_logic; ADA5 : in std_logic; - ADA6 : in std_logic; ADA7 : in std_logic; - ADA8 : in std_logic; ADA9 : in std_logic; - ADA10 : in std_logic; ADA11 : in std_logic; - ADA12 : in std_logic; ADA13 : in std_logic; - CEA : in std_logic; CLKA : in std_logic; OCEA : in std_logic; - WEA : in std_logic; CSA0 : in std_logic; CSA1 : in std_logic; - CSA2 : in std_logic; RSTA : in std_logic; - DIB0 : in std_logic; DIB1 : in std_logic; - DIB2 : in std_logic; DIB3 : in std_logic; - DIB4 : in std_logic; DIB5 : in std_logic; - DIB6 : in std_logic; DIB7 : in std_logic; - DIB8 : in std_logic; DIB9 : in std_logic; - DIB10 : in std_logic; DIB11 : in std_logic; - DIB12 : in std_logic; DIB13 : in std_logic; - DIB14 : in std_logic; DIB15 : in std_logic; - DIB16 : in std_logic; DIB17 : in std_logic; - ADB0 : in std_logic; ADB1 : in std_logic; - ADB2 : in std_logic; ADB3 : in std_logic; - ADB4 : in std_logic; ADB5 : in std_logic; - ADB6 : in std_logic; ADB7 : in std_logic; - ADB8 : in std_logic; ADB9 : in std_logic; - ADB10 : in std_logic; ADB11 : in std_logic; - ADB12 : in std_logic; ADB13 : in std_logic; - CEB : in std_logic; CLKB : in std_logic; OCEB : in std_logic; - WEB : in std_logic; CSB0 : in std_logic; CSB1 : in std_logic; - CSB2 : in std_logic; RSTB : in std_logic; - DOA0 : out std_logic; DOA1 : out std_logic; - DOA2 : out std_logic; DOA3 : out std_logic; - DOA4 : out std_logic; DOA5 : out std_logic; - DOA6 : out std_logic; DOA7 : out std_logic; - DOA8 : out std_logic; DOA9 : out std_logic; - DOA10 : out std_logic; DOA11 : out std_logic; - DOA12 : out std_logic; DOA13 : out std_logic; - DOA14 : out std_logic; DOA15 : out std_logic; - DOA16 : out std_logic; DOA17 : out std_logic; - DOB0 : out std_logic; DOB1 : out std_logic; - DOB2 : out std_logic; DOB3 : out std_logic; - DOB4 : out std_logic; DOB5 : out std_logic; - DOB6 : out std_logic; DOB7 : out std_logic; - DOB8 : out std_logic; DOB9 : out std_logic; - DOB10 : out std_logic; DOB11 : out std_logic; - DOB12 : out std_logic; DOB13 : out std_logic; - DOB14 : out std_logic; DOB15 : out std_logic; - DOB16 : out std_logic; DOB17 : out std_logic); - end component; - attribute MEM_LPC_FILE : string; - attribute MEM_INIT_FILE : string; - attribute RESETMODE : string; - attribute MEM_LPC_FILE of ROM_FIFO_0_0_0 : label is "ROM_FIFO.lpc"; - attribute MEM_INIT_FILE of ROM_FIFO_0_0_0 : label is "rom0_mem_file.mem"; - attribute RESETMODE of ROM_FIFO_0_0_0 : label is "SYNC"; - -begin - -- component instantiation statements - scuba_vhi_inst : VHI - port map (Z => scuba_vhi); - - scuba_vlo_inst : VLO - port map (Z => scuba_vlo); - - ROM_FIFO_0_0_0 : DP16KC - generic map (INITVAL_3F => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_3E => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_3D => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_3C => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_3B => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_3A => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_39 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_38 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_37 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_36 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_35 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_34 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_33 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_32 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_31 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_30 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_2F => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_2E => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_2D => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_2C => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_2B => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_2A => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_29 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_28 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_27 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_26 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_25 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_24 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_23 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_22 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_21 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_20 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1F => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1E => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1D => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1C => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1B => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1A => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_19 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_18 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_17 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_16 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_15 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_14 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_13 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_12 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_11 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_10 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0F => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0E => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0D => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0C => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0B => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_0A => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_09 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_08 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_07 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_06 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_05 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_04 => "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_03 => "0x10010040100601004010080100401006010040100A01004010060100401008010040100601004010", - INITVAL_02 => "0x0C010040100601004010080100401006010040100A01004010060100401008010040100601004010", - INITVAL_01 => "0x0E010040100601004010080100401006010040100A01004010060100401008010040100601004010", - INITVAL_00 => "0x0C010040100601004010080100401006010040100A01004010060100401008010040100601004010", - CSDECODE_B => "0b111", CSDECODE_A => "0b000", WRITEMODE_B => "NORMAL", - WRITEMODE_A => "NORMAL", GSR => "DISABLED", REGMODE_B => "NOREG", - REGMODE_A => "NOREG", DATA_WIDTH_B => 4, DATA_WIDTH_A => 4) - port map (DIA0 => scuba_vlo, DIA1 => scuba_vlo, DIA2 => scuba_vlo, - DIA3 => scuba_vlo, DIA4 => scuba_vlo, DIA5 => scuba_vlo, - DIA6 => scuba_vlo, DIA7 => scuba_vlo, DIA8 => scuba_vlo, - DIA9 => scuba_vlo, DIA10 => scuba_vlo, DIA11 => scuba_vlo, - DIA12 => scuba_vlo, DIA13 => scuba_vlo, DIA14 => scuba_vlo, - DIA15 => scuba_vlo, DIA16 => scuba_vlo, DIA17 => scuba_vlo, - ADA0 => scuba_vlo, ADA1 => scuba_vlo, ADA2 => Address(0), - ADA3 => Address(1), ADA4 => Address(2), ADA5 => Address(3), - ADA6 => Address(4), ADA7 => Address(5), ADA8 => Address(6), - ADA9 => Address(7), ADA10 => scuba_vlo, ADA11 => scuba_vlo, - ADA12 => scuba_vlo, ADA13 => scuba_vlo, CEA => OutClockEn, - CLKA => OutClock, OCEA => OutClockEn, WEA => scuba_vlo, - CSA0 => scuba_vlo, CSA1 => scuba_vlo, CSA2 => scuba_vlo, - RSTA => Reset, DIB0 => scuba_vlo, DIB1 => scuba_vlo, - DIB2 => scuba_vlo, DIB3 => scuba_vlo, DIB4 => scuba_vlo, - DIB5 => scuba_vlo, DIB6 => scuba_vlo, DIB7 => scuba_vlo, - DIB8 => scuba_vlo, DIB9 => scuba_vlo, DIB10 => scuba_vlo, - DIB11 => scuba_vlo, DIB12 => scuba_vlo, DIB13 => scuba_vlo, - DIB14 => scuba_vlo, DIB15 => scuba_vlo, DIB16 => scuba_vlo, - DIB17 => scuba_vlo, ADB0 => scuba_vlo, ADB1 => scuba_vlo, - ADB2 => scuba_vlo, ADB3 => scuba_vlo, ADB4 => scuba_vlo, - ADB5 => scuba_vlo, ADB6 => scuba_vlo, ADB7 => scuba_vlo, - ADB8 => scuba_vlo, ADB9 => scuba_vlo, ADB10 => scuba_vlo, - ADB11 => scuba_vlo, ADB12 => scuba_vlo, ADB13 => scuba_vlo, - CEB => scuba_vhi, CLKB => scuba_vlo, OCEB => scuba_vhi, - WEB => scuba_vlo, CSB0 => scuba_vlo, CSB1 => scuba_vlo, - CSB2 => scuba_vlo, RSTB => scuba_vlo, DOA0 => Q(0), DOA1 => Q(1), - DOA2 => Q(2), DOA3 => Q(3), DOA4 => open, DOA5 => open, DOA6 => open, - DOA7 => open, DOA8 => open, DOA9 => open, DOA10 => open, DOA11 => open, - DOA12 => open, DOA13 => open, DOA14 => open, DOA15 => open, - DOA16 => open, DOA17 => open, DOB0 => open, DOB1 => open, DOB2 => open, - DOB3 => open, DOB4 => open, DOB5 => open, DOB6 => open, DOB7 => open, - DOB8 => open, DOB9 => open, DOB10 => open, DOB11 => open, - DOB12 => open, DOB13 => open, DOB14 => open, DOB15 => open, - DOB16 => open, DOB17 => open); - -end Structure; - --- synopsys translate_off -library ecp3; -configuration Structure_CON of ROM_FIFO is - for Structure - for all : VHI use entity ecp3.VHI(V); end for; - for all : VLO use entity ecp3.VLO(V); end for; - for all : DP16KC use entity ecp3.DP16KC(V); end for; - end for; -end Structure_CON; - --- synopsys translate_on diff --git a/tdc_releases/tdc_v2.0/Readout.vhd b/tdc_releases/tdc_v2.0/Readout.vhd index 8d40db6..7995f3f 100644 --- a/tdc_releases/tdc_v2.0/Readout.vhd +++ b/tdc_releases/tdc_v2.0/Readout.vhd @@ -5,7 +5,7 @@ -- File : Readout.vhd -- Author : cugur@gsi.de -- Created : 2012-10-25 --- Last update: 2014-08-06 +-- Last update: 2014-10-22 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- @@ -20,10 +20,10 @@ library work; use work.trb_net_std.all; use work.trb_net_components.all; use work.trb3_components.all; +use work.tdc_components.all; entity Readout is generic ( - MODULE_NUMBER : integer range 1 to 4; CHANNEL_NUMBER : integer range 2 to 65; STATUS_REG_NR : integer range 0 to 31; TDC_VERSION : std_logic_vector(11 downto 0)); @@ -34,7 +34,7 @@ entity Readout is CLK_100 : in std_logic; CLK_200 : in std_logic; -- from the channels - CH_DATA_IN : in std_logic_vector_array_36(0 to CHANNEL_NUMBER-1); + CH_DATA_IN : in std_logic_vector_array_36(0 to CHANNEL_NUMBER); CH_DATA_VALID_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 0); CH_EMPTY_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 0); CH_FULL_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 0); @@ -133,10 +133,10 @@ architecture behavioral of Readout is signal trig_win_end_100_3reg : std_logic; signal trig_win_end_100_4reg : std_logic; -- channel signals - signal ch_data_reg : std_logic_vector_array_36(0 to CHANNEL_NUMBER-1); - signal ch_data_2reg : std_logic_vector_array_36(0 to CHANNEL_NUMBER-1); - signal ch_data_3reg : std_logic_vector_array_36(0 to CHANNEL_NUMBER-1); --- signal ch_data_4reg : std_logic_vector_array_36(0 to CHANNEL_NUMBER-1); + signal ch_data_reg : std_logic_vector_array_36(0 to CHANNEL_NUMBER); + signal ch_data_2reg : std_logic_vector_array_36(0 to CHANNEL_NUMBER); + signal ch_data_3reg : std_logic_vector_array_36(0 to CHANNEL_NUMBER); +-- signal ch_data_4reg : std_logic_vector_array_36(0 to CHANNEL_NUMBER); signal ch_data_4reg : std_logic_vector(31 downto 0); signal ch_empty_reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); signal ch_empty_2reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); @@ -163,6 +163,7 @@ architecture behavioral of Readout is signal data_finished_fsm : std_logic; signal wr_finished_fsm : std_logic; signal trig_release_fsm : std_logic; + signal wr_header_fsm : std_logic; signal wr_trailer_fsm : std_logic; signal wr_ch_data_fsm : std_logic; signal wr_status_fsm : std_logic; @@ -180,7 +181,7 @@ architecture behavioral of Readout is signal wait_fsm : std_logic; -- fifo number type Std_Logic_8_array is array (0 to 8) of std_logic_vector(3 downto 0); - signal empty_channels : std_logic_vector(CHANNEL_NUMBER downto 0); + signal empty_channels : std_logic_vector(CHANNEL_NUMBER-1 downto 0); signal fifo_nr_rd : integer range 0 to CHANNEL_NUMBER := 0; signal fifo_nr_wr : integer range 0 to CHANNEL_NUMBER := 0; signal fifo_nr_wr_reg : integer range 0 to CHANNEL_NUMBER := 0; @@ -190,6 +191,7 @@ architecture behavioral of Readout is signal rd_en : std_logic_vector(CHANNEL_NUMBER-1 downto 0); -- data mux signal start_write : std_logic := '0'; + signal wr_header : std_logic; signal wr_ch_data_i : std_logic; signal wr_ch_data_reg : std_logic; signal wr_status : std_logic; @@ -354,6 +356,7 @@ begin -- behavioral else RD_CURRENT <= RD_NEXT; rd_en <= rd_en_fsm; + wr_header <= wr_header_fsm; wr_trailer <= wr_trailer_fsm; wr_status <= wr_status_fsm; data_finished <= data_finished_fsm; @@ -381,6 +384,7 @@ begin -- behavioral begin rd_en_fsm <= (others => '0'); + wr_header_fsm <= '0'; wr_trailer_fsm <= '0'; data_finished_fsm <= '0'; trig_release_fsm <= '0'; @@ -399,19 +403,22 @@ begin -- behavioral when IDLE => if VALID_TIMING_TRG_IN = '1' then -- physical trigger RD_NEXT <= WAIT_FOR_TRIG_WIND_END; + wr_header_fsm <= '1'; readout_fsm <= '1'; elsif VALID_NOTIMING_TRG_IN = '1' then if TRG_TYPE_IN = x"E" then -- status trigger + wr_header_fsm <= '1'; RD_NEXT <= SEND_STATUS; elsif TRG_TYPE_IN = x"D" then -- tdc calibration trigger RD_NEXT <= WAIT_FOR_BUFFER_TRANSFER; + wr_header_fsm <= '1'; readout_fsm <= '1'; else -- the other triggers - RD_NEXT <= SEND_TRIG_RELEASE_C; + RD_NEXT <= SEND_TRIG_RELEASE_A; data_finished_fsm <= '1'; end if; elsif INVALID_TRG_IN = '1' then -- invalid trigger - RD_NEXT <= SEND_TRIG_RELEASE_C; + RD_NEXT <= SEND_TRIG_RELEASE_A; data_finished_fsm <= '1'; end if; idle_fsm <= '1'; @@ -460,7 +467,7 @@ begin -- behavioral if TRG_DATA_VALID_IN = '1' then RD_NEXT <= WAIT_FOR_LVL1_TRIG_B; elsif TMGTRG_TIMEOUT_IN = '1' then - RD_NEXT <= SEND_TRIG_RELEASE_C; + RD_NEXT <= SEND_TRIG_RELEASE_A; data_finished_fsm <= '1'; end if; wait_fsm <= '1'; @@ -489,7 +496,7 @@ begin -- behavioral if DEBUG_MODE_EN_IN = '1' then RD_NEXT <= WAIT_FOR_LVL1_TRIG_A; else - RD_NEXT <= SEND_TRIG_RELEASE_C; + RD_NEXT <= SEND_TRIG_RELEASE_A; data_finished_fsm <= '1'; end if; else @@ -657,7 +664,10 @@ begin -- behavioral variable i : integer := 0; begin if rising_edge(CLK_100) then - if wr_ch_data_reg = '1' then + if wr_header = '1' then + data_out_reg <= "001" & "0" & TRG_TYPE_IN & TRG_CODE_IN & header_error_bits; + stop_status_i <= '0'; + elsif wr_ch_data_reg = '1' then data_out_reg <= ch_data_4reg; stop_status_i <= '0'; elsif wr_status = '1' then @@ -692,7 +702,7 @@ begin -- behavioral end if; end process Data_Out_MUX; - wr_info <= wr_status when rising_edge(CLK_100); + wr_info <= wr_header or wr_status when rising_edge(CLK_100); wr_time <= wr_ch_data_reg and ch_data_4reg(31) when rising_edge(CLK_100); wr_epoch <= wr_ch_data_reg and not data_out_reg(31) and data_out_reg(30) and data_out_reg(29) and ch_data_4reg(31); @@ -964,7 +974,7 @@ begin -- behavioral ------------------------------------------------------------------------------- STATUS_REGISTERS_BUS_OUT(0)(3 downto 0) <= rd_fsm_debug; STATUS_REGISTERS_BUS_OUT(0)(7 downto 4) <= wr_fsm_debug; - STATUS_REGISTERS_BUS_OUT(0)(15 downto 8) <= std_logic_vector(to_unsigned(MODULE_NUMBER*(CHANNEL_NUMBER-1), 8)); + STATUS_REGISTERS_BUS_OUT(0)(15 downto 8) <= std_logic_vector(to_unsigned(CHANNEL_NUMBER-1, 8)); STATUS_REGISTERS_BUS_OUT(0)(16) <= REFERENCE_TIME when rising_edge(CLK_100); STATUS_REGISTERS_BUS_OUT(0)(27 downto 17) <= TDC_VERSION(10 downto 0); STATUS_REGISTERS_BUS_OUT(0)(31 downto 28) <= TRG_TYPE_IN when rising_edge(CLK_100); diff --git a/tdc_releases/tdc_v2.0/Readout_header.vhd b/tdc_releases/tdc_v2.0/Readout_header.vhd deleted file mode 100644 index 7bd4096..0000000 --- a/tdc_releases/tdc_v2.0/Readout_header.vhd +++ /dev/null @@ -1,151 +0,0 @@ -------------------------------------------------------------------------------- --- Title : Readout Header Entity --- Project : -------------------------------------------------------------------------------- --- File : Readout_header.vhd --- Author : cugur@gsi.de --- Created : 2012-10-25 --- Last update: 2014-08-06 -------------------------------------------------------------------------------- --- Description: -------------------------------------------------------------------------------- --- Copyright (c) 2012 -------------------------------------------------------------------------------- - -library IEEE; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - ---library work; ---use work.trb_net_std.all; ---use work.trb_net_components.all; ---use work.trb3_components.all; - -entity Readout_Header is - port ( - RESET_100 : in std_logic; - CLK_100 : in std_logic; --- from the endpoint - VALID_TIMING_TRG_IN : in std_logic; - VALID_NOTIMING_TRG_IN : in std_logic; - INVALID_TRG_IN : in std_logic; - TRG_CODE_IN : in std_logic_vector(7 downto 0); - TRG_TYPE_IN : in std_logic_vector(3 downto 0); --- to the endpoint - TRG_RELEASE_OUT : out std_logic; - TRG_STATUSBIT_OUT : out std_logic_vector(31 downto 0); - DATA_OUT : out std_logic_vector(31 downto 0); - DATA_WRITE_OUT : out std_logic; - DATA_FINISHED_OUT : out std_logic - ); -end entity Readout_Header; - -architecture behavioral of Readout_Header is - -------------------------------------------------------------------------------- --- Signal Declarations -------------------------------------------------------------------------------- - - -- readout fsm - type FSM_READ is (IDLE, SEND_TRIG_RELEASE_A, SEND_TRIG_RELEASE_B, SEND_TRIG_RELEASE_C); - signal RD_CURRENT : FSM_READ := IDLE; - signal RD_NEXT : FSM_READ; - - signal data_finished_fsm : std_logic; - signal trig_release_fsm : std_logic; - signal wr_header_fsm : std_logic; - -- data mux - signal wr_header : std_logic; - -- to endpoint - signal data_out_reg : std_logic_vector(31 downto 0); - signal data_write : std_logic; - signal data_finished : std_logic; - signal trig_release : std_logic; - -- debug - signal header_error_bits : std_logic_vector(15 downto 0); - -begin -- behavioral - -------------------------------------------------------------------------------- --- Readout -------------------------------------------------------------------------------- --- Readout fsm - RD_FSM_CLK : process (CLK_100) - begin - if rising_edge(CLK_100) then - if RESET_100 = '1' then - RD_CURRENT <= IDLE; - else - RD_CURRENT <= RD_NEXT; - wr_header <= wr_header_fsm; - data_finished <= data_finished_fsm; - trig_release <= trig_release_fsm; - end if; - end if; - end process RD_FSM_CLK; - - RD_FSM_PROC : process (RD_CURRENT, VALID_TIMING_TRG_IN, VALID_NOTIMING_TRG_IN, INVALID_TRG_IN, - TRG_TYPE_IN) - begin - - RD_NEXT <= RD_CURRENT; - wr_header_fsm <= '0'; - data_finished_fsm <= '0'; - trig_release_fsm <= '0'; - - case (RD_CURRENT) is - when IDLE => - if VALID_TIMING_TRG_IN = '1' then - RD_NEXT <= SEND_TRIG_RELEASE_A; - wr_header_fsm <= '1'; - elsif VALID_NOTIMING_TRG_IN = '1' then - RD_NEXT <= SEND_TRIG_RELEASE_A; - if TRG_TYPE_IN = x"E" or TRG_TYPE_IN = x"D" then - wr_header_fsm <= '1'; - end if; - elsif INVALID_TRG_IN = '1' then - RD_NEXT <= SEND_TRIG_RELEASE_A; - end if; - - when SEND_TRIG_RELEASE_A => - RD_NEXT <= SEND_TRIG_RELEASE_B; - - when SEND_TRIG_RELEASE_B => - RD_NEXT <= SEND_TRIG_RELEASE_C; - data_finished_fsm <= '1'; - - when SEND_TRIG_RELEASE_C => - RD_NEXT <= IDLE; - trig_release_fsm <= '1'; - - when others => - RD_NEXT <= IDLE; - end case; - end process RD_FSM_PROC; - -------------------------------------------------------------------------------- --- Data out mux -------------------------------------------------------------------------------- - - Data_Out_MUX : process (CLK_100) - begin - if rising_edge(CLK_100) then - if wr_header = '1' then - data_out_reg <= "001" & "0" & TRG_TYPE_IN & TRG_CODE_IN & header_error_bits; - else - data_out_reg <= (others => '1'); - end if; - data_write <= wr_header; - end if; - end process Data_Out_MUX; - - DATA_OUT <= data_out_reg; - DATA_WRITE_OUT <= data_write; - DATA_FINISHED_OUT <= data_finished; - TRG_RELEASE_OUT <= trig_release; - TRG_STATUSBIT_OUT <= (others => '0'); - - -- Error, warning bits set in the header - header_error_bits <= (others => '0'); - -end behavioral; diff --git a/tdc_releases/tdc_v2.0/Stretcher.vhd b/tdc_releases/tdc_v2.0/Stretcher.vhd index 684beed..99e6a3a 100644 --- a/tdc_releases/tdc_v2.0/Stretcher.vhd +++ b/tdc_releases/tdc_v2.0/Stretcher.vhd @@ -5,7 +5,7 @@ -- File : Stretcher.vhd -- Author : cugur@gsi.de -- Created : 2012-11-07 --- Last update: 2014-08-27 +-- Last update: 2014-11-24 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- @@ -15,49 +15,48 @@ use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -use work.trb_net_std.all; -use work.trb_net_components.all; -use work.trb3_components.all; -use work.version.all; +use work.tdc_components.all; entity Stretcher is - + generic ( + CHANNEL : integer range 1 to 64; + DEPTH : integer range 1 to 10 := 3); port ( - PULSE_IN : in std_logic; - PULSE_OUT : out std_logic); + PULSE_IN : in std_logic_vector(CHANNEL-1 downto 0); + PULSE_OUT : out std_logic_vector(CHANNEL-1 downto 0)); end Stretcher; architecture behavioral of Stretcher is - signal pulse_d1 : std_logic; - signal pulse_d2 : std_logic; - signal pulse_d3 : std_logic; - signal pulse_d4 : std_logic; - - attribute syn_keep : boolean; - attribute syn_keep of pulse_d1 : signal is true; - attribute syn_keep of pulse_d2 : signal is true; - attribute syn_keep of pulse_d3 : signal is true; - attribute syn_keep of pulse_d4 : signal is true; - attribute syn_preserve : boolean; - attribute syn_preserve of pulse_d1 : signal is true; - attribute syn_preserve of pulse_d2 : signal is true; - attribute syn_preserve of pulse_d3 : signal is true; - attribute syn_preserve of pulse_d4 : signal is true; - attribute NOMERGE : string; - attribute NOMERGE of pulse_d1 : signal is "KEEP"; - attribute NOMERGE of pulse_d2 : signal is "KEEP"; - attribute NOMERGE of pulse_d3 : signal is "KEEP"; - attribute NOMERGE of pulse_d4 : signal is "KEEP"; - -begin -- behavioral + signal pulse_a_in : std_logic_vector(CHANNEL*DEPTH downto 1); + signal pulse_a_out : std_logic_vector(CHANNEL*DEPTH-1 downto 0); + signal pulse_b_in : std_logic_vector(CHANNEL*DEPTH-1 downto 1); + signal pulse_b_out : std_logic_vector(CHANNEL*DEPTH-1 downto 1); - pulse_d1 <= not PULSE_IN; - pulse_d2 <= not pulse_d1; - pulse_d3 <= not pulse_d2; - pulse_d4 <= not pulse_d3; +begin -- behavioral - PULSE_OUT <= transport pulse_d4 after 30 ns; + GEN : for i in 1 to CHANNEL generate + pulse_a_in(DEPTH*i) <= PULSE_IN(i-1); + pulse_a_in(DEPTH*i-1 downto DEPTH*(i-1)+1) <= pulse_b_out(DEPTH*i-1 downto DEPTH*(i-1)+1); + pulse_b_in(DEPTH*i-1 downto DEPTH*(i-1)+1) <= pulse_a_out(DEPTH*i-1 downto DEPTH*(i-1)+1); + PULSE_OUT(i-1) <= transport not pulse_a_out(DEPTH*(i-1)) after 40 ns; + end generate GEN; + + Stretcher_A_1 : entity work.Stretcher_A + generic map ( + CHANNEL => CHANNEL, + DEPTH => DEPTH) + port map ( + PULSE_IN => pulse_a_in, + PULSE_OUT => pulse_a_out); + + Stretcher_B_1 : entity work.Stretcher_B + generic map ( + CHANNEL => CHANNEL, + DEPTH => DEPTH) + port map ( + PULSE_IN => pulse_b_in, + PULSE_OUT => pulse_b_out); end behavioral; diff --git a/tdc_releases/tdc_v2.0/Stretcher_A.vhd b/tdc_releases/tdc_v2.0/Stretcher_A.vhd new file mode 100644 index 0000000..566ae9d --- /dev/null +++ b/tdc_releases/tdc_v2.0/Stretcher_A.vhd @@ -0,0 +1,49 @@ +------------------------------------------------------------------------------- +-- Title : Stretcher_A +-- Project : TRB3 +------------------------------------------------------------------------------- +-- File : Stretcher_A.vhd +-- Author : Cahit Ugur +-- Created : 2014-11-24 +-- Last update: 2014-11-24 +------------------------------------------------------------------------------- +-- Description: +------------------------------------------------------------------------------- +-- Copyright (c) 2014 +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2014-11-24 1.0 cugur Created +------------------------------------------------------------------------------- + +library IEEE; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity Stretcher_A is + generic ( + CHANNEL : integer range 1 to 64; + DEPTH : integer range 1 to 10 := 3); + port ( + PULSE_IN : in std_logic_vector(CHANNEL*DEPTH downto 1); + PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 0)); + +end entity Stretcher_A; + +architecture behavioral of Stretcher_A is + + signal pulse_i : std_logic_vector(CHANNEL*DEPTH downto 1); + + attribute syn_keep : boolean; + attribute syn_keep of pulse_i : signal is true; + attribute syn_preserve : boolean; + attribute syn_preserve of pulse_i : signal is true; + attribute NOMERGE : string; + attribute NOMERGE of pulse_i : signal is "KEEP"; + +begin -- architecture behavioral + + pulse_i <= PULSE_IN; + PULSE_OUT <= not pulse_i; + +end architecture behavioral; diff --git a/tdc_releases/tdc_v2.0/Stretcher_B.vhd b/tdc_releases/tdc_v2.0/Stretcher_B.vhd new file mode 100644 index 0000000..73bf7d8 --- /dev/null +++ b/tdc_releases/tdc_v2.0/Stretcher_B.vhd @@ -0,0 +1,49 @@ +------------------------------------------------------------------------------- +-- Title : Stretcher_B +-- Project : TRB3 +------------------------------------------------------------------------------- +-- File : Stretcher_B.vhd +-- Author : Cahit Ugur +-- Created : 2014-11-24 +-- Last update: 2014-11-24 +------------------------------------------------------------------------------- +-- Description: +------------------------------------------------------------------------------- +-- Copyright (c) 2014 +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2014-11-24 1.0 cugur Created +------------------------------------------------------------------------------- + +library IEEE; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity Stretcher_B is + generic ( + CHANNEL : integer range 1 to 64; + DEPTH : integer range 1 to 10 := 3); + port ( + PULSE_IN : in std_logic_vector(CHANNEL*DEPTH-1 downto 1); + PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 1)); + +end entity Stretcher_B; + +architecture behavioral of Stretcher_B is + + signal pulse_i : std_logic_vector(CHANNEL*DEPTH-1 downto 1); + + attribute syn_keep : boolean; + attribute syn_keep of pulse_i : signal is true; + attribute syn_preserve : boolean; + attribute syn_preserve of pulse_i : signal is true; + attribute NOMERGE : string; + attribute NOMERGE of pulse_i : signal is "KEEP"; + +begin -- architecture behavioral + + pulse_i <= PULSE_IN; + PULSE_OUT <= not pulse_i; + +end architecture behavioral; diff --git a/tdc_releases/tdc_v2.0/TDC.vhd b/tdc_releases/tdc_v2.0/TDC.vhd index f219316..0956334 100644 --- a/tdc_releases/tdc_v2.0/TDC.vhd +++ b/tdc_releases/tdc_v2.0/TDC.vhd @@ -13,8 +13,7 @@ use work.version.all; entity TDC is generic ( - MODULE_NUMBER : integer range 1 to 4; - CHANNEL_NUMBER : integer range 2 to 64; + CHANNEL_NUMBER : integer range 2 to 65; STATUS_REG_NR : integer range 0 to 31; CONTROL_REG_NR : integer range 0 to 6; TDC_VERSION : std_logic_vector(11 downto 0); @@ -25,7 +24,7 @@ entity TDC is CLK_TDC : in std_logic; CLK_READOUT : in std_logic; REFERENCE_TIME : in std_logic; - HIT_IN : in std_logic_vector(MODULE_NUMBER*CHANNEL_NUMBER downto 1); + HIT_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 1); HIT_CALIBRATION : in std_logic; TRG_WIN_PRE : in std_logic_vector(10 downto 0); TRG_WIN_POST : in std_logic_vector(10 downto 0); @@ -46,11 +45,11 @@ entity TDC is TRG_TYPE_IN : in std_logic_vector(3 downto 0) := (others => '0'); -- --Response to handler - TRG_RELEASE_OUT : out std_logic_vector(MODULE_NUMBER downto 0); - TRG_STATUSBIT_OUT : out std_logic_vector_array_32(0 to MODULE_NUMBER); - DATA_OUT : out std_logic_vector_array_32(0 to MODULE_NUMBER); - DATA_WRITE_OUT : out std_logic_vector(MODULE_NUMBER downto 0); - DATA_FINISHED_OUT : out std_logic_vector(MODULE_NUMBER downto 0); + TRG_RELEASE_OUT : out std_logic; + TRG_STATUSBIT_OUT : out std_logic_vector(31 downto 0); + DATA_OUT : out std_logic_vector(31 downto 0); + DATA_WRITE_OUT : out std_logic; + DATA_FINISHED_OUT : out std_logic; -- --To Bus Handler HCB_READ_EN_IN : in std_logic; @@ -100,7 +99,6 @@ architecture TDC of TDC is ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- - constant total_ch_number : integer range 1 to 64 := MODULE_NUMBER * CHANNEL_NUMBER; -- Reset Signals signal reset_rdo : std_logic; signal reset_rdo_i : std_logic; @@ -114,86 +112,86 @@ architecture TDC of TDC is signal logic_anal_control : std_logic_vector(3 downto 0); signal debug_mode_en_i : std_logic; signal reset_counters_i : std_logic; - signal run_mode_i : std_logic; -- 1: cc reset every trigger - -- 0: free running mode - signal run_mode_200 : std_logic; - signal run_mode_edge_200 : std_logic; + --signal run_mode_i : std_logic; -- 1: cc reset every trigger + -- -- 0: free running mode + --signal run_mode_200 : std_logic; + --signal run_mode_edge_200 : std_logic; signal reset_coarse_cntr_i : std_logic; signal reset_coarse_cntr_200 : std_logic; signal reset_coarse_cntr_edge_200 : std_logic; - signal reset_coarse_cntr_flag : std_logic := '0'; + signal reset_coarse_cntr_flag : std_logic := '0'; signal ch_en_i : std_logic_vector(64 downto 1); signal data_limit_i : unsigned(7 downto 0); signal calibration_on : std_logic; -- turns on calibration for trig type 0xC -- Logic analyser signal logic_anal_data_i : std_logic_vector(3*32-1 downto 0); -- Hit signals - signal hit_in_d : std_logic_vector(total_ch_number downto 0); - signal hit_in_i : std_logic_vector(total_ch_number downto 0); - signal hit_latch : std_logic_vector(total_ch_number downto 1) := (others => '0'); - signal hit_edge_i : std_logic_vector(total_ch_number downto 1); - signal hit_reg : std_logic_vector(total_ch_number downto 1); - signal hit_2reg : std_logic_vector(total_ch_number downto 1); - signal hit_3reg : std_logic_vector(total_ch_number downto 1); - signal edge_rising : std_logic_vector(total_ch_number downto 1) := (others => '0'); - signal edge_rising_reg : std_logic_vector(total_ch_number downto 1); - signal edge_rising_2reg : std_logic_vector(total_ch_number downto 1); - signal edge_rising_3reg : std_logic_vector(total_ch_number downto 1); - signal edge_falling : std_logic_vector(total_ch_number downto 1) := (others => '0'); - signal edge_falling_reg : std_logic_vector(total_ch_number downto 1); - signal edge_falling_2reg : std_logic_vector(total_ch_number downto 1); - signal edge_falling_3reg : std_logic_vector(total_ch_number downto 1); + signal hit_in_d : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal hit_in_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal hit_latch : std_logic_vector(CHANNEL_NUMBER-1 downto 1) := (others => '0'); + signal hit_edge_i : std_logic_vector(CHANNEL_NUMBER-1 downto 1); + signal hit_reg : std_logic_vector(CHANNEL_NUMBER-1 downto 1); + signal hit_2reg : std_logic_vector(CHANNEL_NUMBER-1 downto 1); + signal hit_3reg : std_logic_vector(CHANNEL_NUMBER-1 downto 1); + signal edge_rising : std_logic_vector(CHANNEL_NUMBER-1 downto 1) := (others => '0'); + signal edge_rising_reg : std_logic_vector(CHANNEL_NUMBER-1 downto 1); + signal edge_rising_2reg : std_logic_vector(CHANNEL_NUMBER-1 downto 1); + signal edge_rising_3reg : std_logic_vector(CHANNEL_NUMBER-1 downto 1); + signal edge_falling : std_logic_vector(CHANNEL_NUMBER-1 downto 1) := (others => '0'); + signal edge_falling_reg : std_logic_vector(CHANNEL_NUMBER-1 downto 1); + signal edge_falling_2reg : std_logic_vector(CHANNEL_NUMBER-1 downto 1); + signal edge_falling_3reg : std_logic_vector(CHANNEL_NUMBER-1 downto 1); -- Calibration - signal hit_calibration_cntr : unsigned(15 downto 0) := (others => '0'); + signal hit_calibration_cntr : unsigned(15 downto 0) := (others => '0'); signal hit_calibration_i : std_logic; - signal calibration_freq_select : unsigned(3 downto 0) := (others => '0'); + signal calibration_freq_select : unsigned(3 downto 0) := (others => '0'); -- To the channels - signal rd_en_i : std_logic_vector(total_ch_number downto 0); + signal rd_en_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); signal trig_time_i : std_logic_vector(38 downto 0); -- From the channels - signal ch_data_i : std_logic_vector_array_36(0 to total_ch_number+1); - signal ch_data_valid_i : std_logic_vector(total_ch_number downto 0); - signal ch_wcnt_i : unsigned_array_8(0 to total_ch_number); - signal ch_empty_i : std_logic_vector(total_ch_number downto 0); - signal ch_full_i : std_logic_vector(total_ch_number downto 0); - signal ch_almost_empty_i : std_logic_vector(total_ch_number downto 0); + signal ch_data_i : std_logic_vector_array_36(0 to CHANNEL_NUMBER); + signal ch_data_valid_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal ch_wcnt_i : unsigned_array_8(0 to CHANNEL_NUMBER-1); + signal ch_empty_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal ch_full_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal ch_almost_empty_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal ch_almost_full_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); signal trg_time_i : std_logic_vector(38 downto 0); - signal ch_lost_hit_number_i : std_logic_vector_array_24(0 to total_ch_number); - signal ch_hit_detect_number_i : std_logic_vector_array_31(0 to total_ch_number); - signal ch_encoder_start_number_i : std_logic_vector_array_24(0 to total_ch_number); - signal ch_encoder_finished_number_i : std_logic_vector_array_24(0 to total_ch_number); - signal ch_level_hit_number : std_logic_vector_array_32(0 to total_ch_number); - signal ch_lost_hit_bus_i : std_logic_vector_array_32(0 to total_ch_number); - signal ch_encoder_start_bus_i : std_logic_vector_array_32(0 to total_ch_number); - signal ch_encoder_finished_bus_i : std_logic_vector_array_32(0 to total_ch_number); - signal ch_fifo_write_number_i : std_logic_vector_array_24(0 to total_ch_number); + signal ch_lost_hit_number_i : std_logic_vector_array_24(0 to CHANNEL_NUMBER-1); + signal ch_hit_detect_number_i : std_logic_vector_array_31(0 to CHANNEL_NUMBER-1); + signal ch_encoder_start_number_i : std_logic_vector_array_24(0 to CHANNEL_NUMBER-1); + signal ch_encoder_finished_number_i : std_logic_vector_array_24(0 to CHANNEL_NUMBER-1); + signal ch_level_hit_number : std_logic_vector_array_32(0 to CHANNEL_NUMBER-1); + signal ch_lost_hit_bus_i : std_logic_vector_array_32(0 to CHANNEL_NUMBER-1); + signal ch_encoder_start_bus_i : std_logic_vector_array_32(0 to CHANNEL_NUMBER-1); + signal ch_encoder_finished_bus_i : std_logic_vector_array_32(0 to CHANNEL_NUMBER-1); + signal ch_fifo_write_number_i : std_logic_vector_array_24(0 to CHANNEL_NUMBER-1); -- To the endpoint - signal trg_release_out_i : std_logic_vector(MODULE_NUMBER downto 0); - signal trg_statusbit_out_i : std_logic_vector_array_32(0 to MODULE_NUMBER); - signal data_out_i : std_logic_vector_array_32(0 to MODULE_NUMBER); - signal data_write_out_i : std_logic_vector(MODULE_NUMBER downto 0); - signal data_finished_out_i : std_logic_vector(MODULE_NUMBER downto 0); + signal trg_release_out_i : std_logic; + signal trg_statusbit_out_i : std_logic_vector(31 downto 0); + signal data_out_i : std_logic_vector(31 downto 0); + signal data_write_out_i : std_logic; + signal data_finished_out_i : std_logic; -- Epoch counter - signal epoch_cntr : std_logic_vector(27 downto 0); - signal epoch_cntr_up_i : std_logic; - signal epoch_cntr_reset_i : std_logic; + signal epoch_cntr : std_logic_vector(27 downto 0); + signal epoch_cntr_up_i : std_logic; + signal epoch_cntr_reset_i : std_logic; -- Trigger Handler signals - signal trig_in_i : std_logic; - signal trig_rdo_i : std_logic; - signal trig_tdc_i : std_logic; - signal trig_win_en_i : std_logic; - signal trig_win_end_rdo : std_logic; - signal trig_win_end_tdc : std_logic; - signal trig_win_end_tdc_i : std_logic_vector(total_ch_number downto 0); - signal trig_win_end_tdc_mod : std_logic_vector(MODULE_NUMBER downto 1); - signal valid_trigger_rdo : std_logic; - signal valid_trigger_tdc : std_logic; + signal trig_in_i : std_logic; + signal trig_rdo_i : std_logic; + signal trig_tdc_i : std_logic; + signal trig_win_en_i : std_logic; + signal trig_win_end_rdo : std_logic; + signal trig_win_end_tdc : std_logic; + signal trig_win_end_tdc_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal valid_trigger_rdo : std_logic; + signal valid_trigger_tdc : std_logic; -- Debug signals signal ref_debug_i : std_logic_vector(31 downto 0); - signal ch_debug_i : std_logic_vector_array_32(0 to total_ch_number); - signal ch_200_debug_i : std_logic_vector_array_32(0 to total_ch_number); + signal ch_debug_i : std_logic_vector_array_32(0 to CHANNEL_NUMBER-1); + signal ch_200_debug_i : std_logic_vector_array_32(0 to CHANNEL_NUMBER-1); signal readout_debug_i : std_logic_vector(31 downto 0); -- Bus signals signal status_registers_bus_i : std_logic_vector_array_32(0 to STATUS_REG_NR-1); @@ -219,8 +217,8 @@ begin logic_anal_control <= CONTROL_REG_IN(3 downto 0) when rising_edge(CLK_READOUT); debug_mode_en_i <= CONTROL_REG_IN(4); reset_counters_i <= CONTROL_REG_IN(8) or reset_tdc when rising_edge(CLK_TDC); - run_mode_i <= CONTROL_REG_IN(12); - run_mode_200 <= run_mode_i when rising_edge(CLK_TDC); + --run_mode_i <= CONTROL_REG_IN(12); + --run_mode_200 <= run_mode_i when rising_edge(CLK_TDC); reset_coarse_cntr_i <= CONTROL_REG_IN(13) when rising_edge(CLK_TDC); reset_coarse_cntr_200 <= reset_coarse_cntr_i when rising_edge(CLK_TDC); calibration_freq_select <= unsigned(CONTROL_REG_IN(31 downto 28)); @@ -239,25 +237,27 @@ begin -- Hit Process ------------------------------------------------------------------------------- -- Hit for calibration generation - Calibration_Pulses : process (HIT_CALIBRATION) - begin - if rising_edge(HIT_CALIBRATION) then - hit_calibration_cntr <= hit_calibration_cntr + to_unsigned(1, 16); - end if; - end process Calibration_Pulses; + hit_calibration_cntr <= hit_calibration_cntr + to_unsigned(1, 16) when rising_edge(HIT_CALIBRATION); + hit_calibration_i <= hit_calibration_cntr(to_integer(calibration_freq_select)); - hit_calibration_i <= hit_calibration_cntr(to_integer(calibration_freq_select)); + gen_double_withStretcher : if DOUBLE_EDGE_TYPE = 3 generate + The_Stretcher : entity work.Stretcher + generic map ( + CHANNEL => CHANNEL_NUMBER-1, + DEPTH => 4) + port map ( + PULSE_IN => HIT_IN(CHANNEL_NUMBER-1 downto 1), + PULSE_OUT => hit_in_d(CHANNEL_NUMBER-1 downto 1)); + end generate gen_double_withStretcher; - -- Blocks the input after the rising edge against short pulses - GEN_HitBlock : for i in 1 to total_ch_number generate + gen_double_withoutStretcher : if DOUBLE_EDGE_TYPE = 1 generate + hit_in_d(CHANNEL_NUMBER-1 downto 1) <= HIT_IN(CHANNEL_NUMBER-1 downto 1); + end generate gen_double_withoutStretcher; - -- for double edge in the same channel setup - gen_double : if DOUBLE_EDGE_TYPE = 1 or DOUBLE_EDGE_TYPE = 3 generate - Stretcher_1 : entity work.Stretcher - port map ( - PULSE_IN => HIT_IN(i), - PULSE_OUT => hit_in_d(i)); + -- Blocks the input after the rising edge against short pulses + GEN_HitBlock : for i in 1 to CHANNEL_NUMBER-1 generate + gen_double : if DOUBLE_EDGE_TYPE = 1 or DOUBLE_EDGE_TYPE = 3 generate edge_rising(i) <= '0' when edge_rising_3reg(i) = '1' else '1' when rising_edge(HIT_IN(i)); edge_rising_reg(i) <= edge_rising(i) when rising_edge(CLK_READOUT); -- using 100MHz clk for longer reset time @@ -280,11 +280,13 @@ begin hit_latch(i) <= '0' when hit_3reg(i) = '1' else '1' when rising_edge(HIT_IN(i)); hit_edge_i(i) <= '1'; + hit_reg <= hit_latch when rising_edge(CLK_READOUT); -- using 100MHz clk for longer reset time + hit_2reg <= hit_reg when rising_edge(CLK_READOUT); + hit_3reg <= hit_reg and not hit_2reg when rising_edge(CLK_READOUT); end generate gen_single; end generate GEN_HitBlock; - - GEN_hit_mux : for i in 1 to total_ch_number generate + GEN_hit_mux : for i in 1 to CHANNEL_NUMBER-1 generate hit_mux_ch : hit_mux port map ( CH_EN_IN => ch_en_i(i), @@ -302,32 +304,6 @@ begin HIT_PHYSICAL_IN => REFERENCE_TIME, HIT_OUT => hit_in_i(0)); ----- Channel and calibration enable signals --- GEN_Channel_Enable : for i in 1 to total_ch_number generate --- process (ch_en_i, calibration_on, hit_calibration_i, hit_latch) --- begin --- if ch_en_i(i) = '1' then --- if calibration_on = '1' then --- hit_in_i(i) <= hit_calibration_i; --- else --- hit_in_i(i) <= hit_latch(i); --- end if; --- else --- hit_in_i(i) <= '0'; --- end if; --- end process; --- end generate GEN_Channel_Enable; - - ---- purpose: Calibration trigger for the reference channel - --process (calibration_on, hit_calibration_i, REFERENCE_TIME) is - --begin -- process - -- if calibration_on = '1' then - -- hit_in_i(0) <= hit_calibration_i; - -- else - -- hit_in_i(0) <= REFERENCE_TIME; - -- end if; - --end process; - CalibrationSwitch : process (CLK_READOUT) begin if rising_edge(CLK_READOUT) then @@ -348,7 +324,7 @@ begin CHANNEL_ID => 0, DEBUG => DEBUG, SIMULATION => SIMULATION, - REFERENCE => c_NO) + REFERENCE => c_YES) port map ( RESET_200 => reset_tdc, RESET_100 => reset_rdo, @@ -381,7 +357,7 @@ begin Channel_DEBUG => ch_debug_i(0)); -- TDC Channels - GEN_Channels : for i in 1 to total_ch_number generate + GEN_Channels : for i in 1 to CHANNEL_NUMBER-1 generate Channels : Channel generic map ( CHANNEL_ID => i, @@ -399,7 +375,7 @@ begin TRIGGER_WIN_END_TDC => trig_win_end_tdc_i(i), TRIGGER_WIN_END_RDO => trig_win_end_rdo, EPOCH_COUNTER_IN => epoch_cntr, - COARSE_COUNTER_IN => coarse_cntr(integer(ceil(real(i)/real(16)))), + COARSE_COUNTER_IN => coarse_cntr(integer(ceil(real(i)/real(8)))), READ_EN_IN => rd_en_i(i), FIFO_DATA_OUT => ch_data_i(i), FIFO_DATA_VALID_OUT => ch_data_valid_i(i), @@ -419,7 +395,7 @@ begin Channel_200_DEBUG => ch_200_debug_i(i), Channel_DEBUG => ch_debug_i(i)); end generate GEN_Channels; - ch_data_i(total_ch_number+1) <= (others => '1'); + ch_data_i(CHANNEL_NUMBER) <= (others => '1'); ------------------------------------------------------------------------------- -- Trigger @@ -459,36 +435,15 @@ begin TRIGGER_TIME_OUT => trig_time_i ); trig_in_i <= REFERENCE_TIME or VALID_NOTIMING_TRG_IN; - GenTriggerWindowEnd : for i in 0 to total_ch_number generate + GenTriggerWindowEnd : for i in 0 to CHANNEL_NUMBER-1 generate trig_win_end_tdc_i(i) <= trig_win_end_tdc when rising_edge(CLK_TDC); end generate GenTriggerWindowEnd; - GenTriggerWindowEndMod : for i in 1 to MODULE_NUMBER generate - trig_win_end_tdc_mod(i) <= trig_win_end_tdc when rising_edge(CLK_TDC); - end generate GenTriggerWindowEndMod; ------------------------------------------------------------------------------- -- Readout -------------------------------------------------------------------------------- - ReadoutHeader : entity work.Readout_Header - port map ( - RESET_100 => reset_rdo, - CLK_100 => CLK_READOUT, - VALID_TIMING_TRG_IN => VALID_TIMING_TRG_IN, - VALID_NOTIMING_TRG_IN => VALID_NOTIMING_TRG_IN, - INVALID_TRG_IN => INVALID_TRG_IN, - TRG_CODE_IN => TRG_CODE_IN, - TRG_TYPE_IN => TRG_TYPE_IN, - TRG_RELEASE_OUT => trg_release_out_i(0), - TRG_STATUSBIT_OUT => trg_statusbit_out_i(0), - DATA_OUT => data_out_i(0), - DATA_WRITE_OUT => data_write_out_i(0), - DATA_FINISHED_OUT => data_finished_out_i(0)); - - -- First Readout - TheFirstReadout : Readout + TheReadout : Readout generic map ( - MODULE_NUMBER => MODULE_NUMBER, - CHANNEL_NUMBER => CHANNEL_NUMBER+1, + CHANNEL_NUMBER => CHANNEL_NUMBER, STATUS_REG_NR => STATUS_REG_NR, TDC_VERSION => TDC_VERSION) port map ( @@ -498,11 +453,11 @@ begin CLK_100 => CLK_READOUT, CLK_200 => CLK_TDC, -- from the channels - CH_DATA_IN => ch_data_i(0 to CHANNEL_NUMBER), - CH_DATA_VALID_IN => ch_data_valid_i(CHANNEL_NUMBER downto 0), - CH_EMPTY_IN => ch_empty_i(CHANNEL_NUMBER downto 0), - CH_FULL_IN => ch_full_i(CHANNEL_NUMBER downto 0), - CH_ALMOST_EMPTY_IN => ch_almost_empty_i(CHANNEL_NUMBER downto 0), + CH_DATA_IN => ch_data_i, + CH_DATA_VALID_IN => ch_data_valid_i, + CH_EMPTY_IN => ch_empty_i, + CH_FULL_IN => ch_full_i, + CH_ALMOST_EMPTY_IN => ch_almost_empty_i, -- from the endpoint TRG_DATA_VALID_IN => TRG_DATA_VALID_IN, VALID_TIMING_TRG_IN => VALID_TIMING_TRG_IN, @@ -518,24 +473,24 @@ begin TRG_TYPE_IN => TRG_TYPE_IN, DATA_LIMIT_IN => data_limit_i, -- to the endpoint - TRG_RELEASE_OUT => trg_release_out_i(1), - TRG_STATUSBIT_OUT => trg_statusbit_out_i(1), - DATA_OUT => data_out_i(1), - DATA_WRITE_OUT => data_write_out_i(1), - DATA_FINISHED_OUT => data_finished_out_i(1), + TRG_RELEASE_OUT => trg_release_out_i, + TRG_STATUSBIT_OUT => trg_statusbit_out_i, + DATA_OUT => data_out_i, + DATA_WRITE_OUT => data_write_out_i, + DATA_FINISHED_OUT => data_finished_out_i, -- to the channels - READ_EN_OUT => rd_en_i(CHANNEL_NUMBER downto 0), + READ_EN_OUT => rd_en_i, -- trigger window settings TRG_WIN_PRE => TRG_WIN_PRE, TRG_WIN_POST => TRG_WIN_POST, TRIGGER_WIN_EN_IN => trig_win_en_i, -- from the trigger handler - TRIG_WIN_END_TDC_IN => trig_win_end_tdc_mod(1), + TRIG_WIN_END_TDC_IN => trig_win_end_tdc_i(1), TRIG_WIN_END_RDO_IN => trig_win_end_rdo, - TRIG_TIME_IN => trig_time_i, TRIGGER_TDC_IN => trig_tdc_i, + TRIG_TIME_IN => trig_time_i, -- miscellaneous - COARSE_COUNTER_IN => coarse_cntr(5), + COARSE_COUNTER_IN => coarse_cntr(0), EPOCH_COUNTER_IN => epoch_cntr, DEBUG_MODE_EN_IN => debug_mode_en_i, STATUS_REGISTERS_BUS_OUT => status_registers_bus_i, @@ -543,68 +498,6 @@ begin REFERENCE_TIME => REFERENCE_TIME ); - Gen_Readout : if MODULE_NUMBER > 1 generate - Module : for i in 2 to MODULE_NUMBER generate - -- Readout - TheReadout : Readout - generic map ( - MODULE_NUMBER => MODULE_NUMBER, - CHANNEL_NUMBER => CHANNEL_NUMBER, - STATUS_REG_NR => STATUS_REG_NR, - TDC_VERSION => TDC_VERSION) - port map ( - RESET_100 => reset_rdo, - RESET_200 => reset_tdc, - RESET_COUNTERS => reset_counters_i, - CLK_100 => CLK_READOUT, - CLK_200 => CLK_TDC, - -- from the channels - CH_DATA_IN => ch_data_i((i-1)*CHANNEL_NUMBER+1 to i*CHANNEL_NUMBER), - CH_DATA_VALID_IN => ch_data_valid_i(i*CHANNEL_NUMBER downto (i-1)*CHANNEL_NUMBER+1), - CH_EMPTY_IN => ch_empty_i(i*CHANNEL_NUMBER downto (i-1)*CHANNEL_NUMBER+1), - CH_FULL_IN => ch_full_i(i*CHANNEL_NUMBER downto (i-1)*CHANNEL_NUMBER+1), - CH_ALMOST_EMPTY_IN => ch_almost_empty_i(i*CHANNEL_NUMBER downto (i-1)*CHANNEL_NUMBER+1), - -- from the endpoint - TRG_DATA_VALID_IN => TRG_DATA_VALID_IN, - VALID_TIMING_TRG_IN => VALID_TIMING_TRG_IN, - VALID_NOTIMING_TRG_IN => VALID_NOTIMING_TRG_IN, - INVALID_TRG_IN => INVALID_TRG_IN, - TMGTRG_TIMEOUT_IN => TMGTRG_TIMEOUT_IN, - SPIKE_DETECTED_IN => SPIKE_DETECTED_IN, - MULTI_TMG_TRG_IN => MULTI_TMG_TRG_IN, - SPURIOUS_TRG_IN => SPURIOUS_TRG_IN, - TRG_NUMBER_IN => TRG_NUMBER_IN, - TRG_CODE_IN => TRG_CODE_IN, - TRG_INFORMATION_IN => TRG_INFORMATION_IN, - TRG_TYPE_IN => TRG_TYPE_IN, - DATA_LIMIT_IN => data_limit_i, - -- to the endpoint - TRG_RELEASE_OUT => trg_release_out_i(i), - TRG_STATUSBIT_OUT => trg_statusbit_out_i(i), - DATA_OUT => data_out_i(i), - DATA_WRITE_OUT => data_write_out_i(i), - DATA_FINISHED_OUT => data_finished_out_i(i), - -- to the channels - READ_EN_OUT => rd_en_i(i*CHANNEL_NUMBER downto (i-1)*CHANNEL_NUMBER+1), - -- trigger window settings - TRG_WIN_PRE => TRG_WIN_PRE, - TRG_WIN_POST => TRG_WIN_POST, - TRIGGER_WIN_EN_IN => trig_win_en_i, - -- from the trigger handler - TRIG_WIN_END_TDC_IN => trig_win_end_tdc_mod(i), - TRIG_WIN_END_RDO_IN => trig_win_end_rdo, - TRIG_TIME_IN => trig_time_i, - TRIGGER_TDC_IN => trig_tdc_i, - -- miscellaneous - COARSE_COUNTER_IN => coarse_cntr(i+4), - EPOCH_COUNTER_IN => epoch_cntr, - DEBUG_MODE_EN_IN => debug_mode_en_i, - STATUS_REGISTERS_BUS_OUT => open, --status_registers_bus_i, - READOUT_DEBUG => open, --readout_debug_i, - REFERENCE_TIME => REFERENCE_TIME - ); - end generate Module; - end generate Gen_Readout; TRG_RELEASE_OUT <= trg_release_out_i when rising_edge(CLK_READOUT); TRG_STATUSBIT_OUT <= trg_statusbit_out_i when rising_edge(CLK_READOUT); DATA_OUT <= data_out_i when rising_edge(CLK_READOUT); @@ -631,10 +524,10 @@ begin if rising_edge(CLK_TDC) then if reset_tdc = '1' then coarse_cntr_reset <= '1'; - elsif run_mode_200 = '0' then - coarse_cntr_reset <= trig_win_end_tdc_i(8); - elsif run_mode_edge_200 = '1' then - coarse_cntr_reset <= '1'; + --elsif run_mode_200 = '0' then + -- coarse_cntr_reset <= trig_win_end_tdc_i(1); + --elsif run_mode_edge_200 = '1' then + -- coarse_cntr_reset <= '1'; elsif reset_coarse_cntr_flag = '1' and valid_trigger_tdc = '1' then coarse_cntr_reset <= '1'; else @@ -648,11 +541,11 @@ begin end if; end process Coarse_Counter_Reset; - Run_Mode_Edge_Detect : risingEdgeDetect - port map ( - CLK => CLK_TDC, - SIGNAL_IN => run_mode_200, - PULSE_OUT => run_mode_edge_200); + --Run_Mode_Edge_Detect : risingEdgeDetect + -- port map ( + -- CLK => CLK_TDC, + -- SIGNAL_IN => run_mode_200, + -- PULSE_OUT => run_mode_edge_200); Reset_Coarse_Counter_Edge_Detect : risingEdgeDetect port map ( @@ -682,7 +575,7 @@ begin -- Hit counter TheHitCounterBus : BusHandler generic map ( - BUS_LENGTH => total_ch_number) + BUS_LENGTH => CHANNEL_NUMBER-1) port map ( RESET => reset_rdo, CLK => CLK_READOUT, @@ -696,7 +589,7 @@ begin ch_level_hit_number(0)(31) <= REFERENCE_TIME when rising_edge(CLK_READOUT); ch_level_hit_number(0)(30 downto 0) <= ch_hit_detect_number_i(0) when rising_edge(CLK_READOUT); - GenHitDetectNumber : for i in 1 to total_ch_number generate + GenHitDetectNumber : for i in 1 to CHANNEL_NUMBER-1 generate ch_level_hit_number(i)(31) <= HIT_IN(i) and ch_en_i(i) when rising_edge(CLK_READOUT); ch_level_hit_number(i)(30 downto 0) <= ch_hit_detect_number_i(i) when rising_edge(CLK_READOUT); end generate GenHitDetectNumber; @@ -719,7 +612,7 @@ begin -- Channel debug TheChannelDebugBus : BusHandler generic map ( - BUS_LENGTH => total_ch_number) + BUS_LENGTH => CHANNEL_NUMBER - 1) port map ( RESET => reset_rdo, CLK => CLK_READOUT, @@ -734,7 +627,7 @@ begin --TheLostHitBus : BusHandler -- generic map ( - -- BUS_LENGTH => total_ch_number) + -- BUS_LENGTH => CHANNEL_NUMBER-1) -- port map ( -- RESET => reset_rdo, -- CLK => CLK_READOUT, @@ -746,7 +639,7 @@ begin -- DATAREADY_OUT => LHB_DATAREADY_OUT, -- UNKNOWN_ADDR_OUT => LHB_UNKNOWN_ADDR_OUT); - --GenLostHitNumber : for i in 1 to total_ch_number generate + --GenLostHitNumber : for i in 1 to CHANNEL_NUMBER-1 generate -- ch_lost_hit_bus_i(i) <= ch_encoder_start_number_i(i)(15 downto 0) & ch_200_debug_i(i)(15 downto 0) when rising_edge(CLK_READOUT); --end generate GenLostHitNumber; @@ -756,7 +649,7 @@ begin --TheEncoderStartBus : BusHandler -- generic map ( - -- BUS_LENGTH => total_ch_number) + -- BUS_LENGTH => CHANNEL_NUMBER-1) -- port map ( -- RESET => reset_rdo, -- CLK => CLK_READOUT, @@ -768,7 +661,7 @@ begin -- DATAREADY_OUT => ESB_DATAREADY_OUT, -- UNKNOWN_ADDR_OUT => ESB_UNKNOWN_ADDR_OUT); - --GenEncoderStartNumber : for i in 1 to total_ch_number generate + --GenEncoderStartNumber : for i in 1 to CHANNEL_NUMBER-1 generate -- ch_encoder_start_bus_i(i) <= x"00" & ch_encoder_start_number_i(i) when rising_edge(CLK_READOUT); --end generate GenEncoderStartNumber; @@ -778,7 +671,7 @@ begin --TheEncoderFinishedBus : BusHandler -- generic map ( - -- BUS_LENGTH => total_ch_number) + -- BUS_LENGTH => CHANNEL_NUMBER-1) -- port map ( -- RESET => reset_rdo, -- CLK => CLK_READOUT, @@ -790,7 +683,7 @@ begin -- DATAREADY_OUT => EFB_DATAREADY_OUT, -- UNKNOWN_ADDR_OUT => EFB_UNKNOWN_ADDR_OUT); - --GenFifoWriteNumber : for i in 1 to total_ch_number generate + --GenFifoWriteNumber : for i in 1 to CHANNEL_NUMBER-1 generate -- --ch_encoder_finished_bus_i(i) <= x"00" & ch_encoder_finished_number_i(i) when rising_edge(CLK_READOUT); -- ch_encoder_finished_bus_i(i) <= ch_fifo_write_number_i(i)(15 downto 0)& ch_encoder_finished_number_i(i)(15 downto 0) when rising_edge(CLK_READOUT); --end generate GenFifoWriteNumber; @@ -805,7 +698,7 @@ begin -- Logic Analyser TheLogicAnalyser : LogicAnalyser generic map ( - CHANNEL_NUMBER => total_ch_number) + CHANNEL_NUMBER => CHANNEL_NUMBER) port map ( CLK => CLK_READOUT, RESET => reset_rdo, diff --git a/tdc_releases/tdc_v2.0/TriggerHandler.vhd b/tdc_releases/tdc_v2.0/TriggerHandler.vhd index 176cbff..4e1b08d 100644 --- a/tdc_releases/tdc_v2.0/TriggerHandler.vhd +++ b/tdc_releases/tdc_v2.0/TriggerHandler.vhd @@ -4,7 +4,7 @@ -- File : TriggerHandler.vhd -- Author : Cahit Ugur c.ugur@gsi.de -- Created : 2013-03-13 --- Last update: 2014-06-24 +-- Last update: 2014-05-06 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- diff --git a/tdc_releases/tdc_v2.0/tdc_components.vhd b/tdc_releases/tdc_v2.0/tdc_components.vhd index a5b88a3..964cd7b 100644 --- a/tdc_releases/tdc_v2.0/tdc_components.vhd +++ b/tdc_releases/tdc_v2.0/tdc_components.vhd @@ -5,9 +5,9 @@ library work; use work.trb_net_std.all; package tdc_components is + component TDC is generic ( - MODULE_NUMBER : integer range 1 to 4; CHANNEL_NUMBER : integer range 2 to 65; STATUS_REG_NR : integer range 0 to 31; CONTROL_REG_NR : integer range 0 to 6; @@ -19,7 +19,7 @@ package tdc_components is CLK_TDC : in std_logic; CLK_READOUT : in std_logic; REFERENCE_TIME : in std_logic; - HIT_IN : in std_logic_vector(CHANNEL_NUMBER downto 1); + HIT_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 1); HIT_CALIBRATION : in std_logic; TRG_WIN_PRE : in std_logic_vector(10 downto 0); TRG_WIN_POST : in std_logic_vector(10 downto 0); @@ -35,11 +35,11 @@ package tdc_components is TRG_CODE_IN : in std_logic_vector(7 downto 0) := (others => '0'); TRG_INFORMATION_IN : in std_logic_vector(23 downto 0) := (others => '0'); TRG_TYPE_IN : in std_logic_vector(3 downto 0) := (others => '0'); - TRG_RELEASE_OUT : out std_logic_vector(MODULE_NUMBER downto 0); - TRG_STATUSBIT_OUT : out std_logic_vector_array_32(0 to MODULE_NUMBER); - DATA_OUT : out std_logic_vector_array_32(0 to MODULE_NUMBER); - DATA_WRITE_OUT : out std_logic_vector(MODULE_NUMBER downto 0); - DATA_FINISHED_OUT : out std_logic_vector(MODULE_NUMBER downto 0); + TRG_RELEASE_OUT : out std_logic; + TRG_STATUSBIT_OUT : out std_logic_vector(31 downto 0); + DATA_OUT : out std_logic_vector(31 downto 0); + DATA_WRITE_OUT : out std_logic; + DATA_FINISHED_OUT : out std_logic; HCB_READ_EN_IN : in std_logic; HCB_WRITE_EN_IN : in std_logic; HCB_ADDR_IN : in std_logic_vector(6 downto 0); @@ -70,12 +70,6 @@ package tdc_components is EFB_DATA_OUT : out std_logic_vector(31 downto 0); EFB_DATAREADY_OUT : out std_logic; EFB_UNKNOWN_ADDR_OUT : out std_logic; - FWB_READ_EN_IN : in std_logic; -- not used after version 1.3 - FWB_WRITE_EN_IN : in std_logic; -- not used after version 1.3 - FWB_ADDR_IN : in std_logic_vector(6 downto 0); -- not used after version 1.3 - FWB_DATA_OUT : out std_logic_vector(31 downto 0); -- not used after version 1.3 - FWB_DATAREADY_OUT : out std_logic; -- not used after version 1.3 - FWB_UNKNOWN_ADDR_OUT : out std_logic; -- not used after version 1.3 LHB_READ_EN_IN : in std_logic; LHB_WRITE_EN_IN : in std_logic; LHB_ADDR_IN : in std_logic_vector(6 downto 0); @@ -124,7 +118,7 @@ package tdc_components is Channel_DEBUG : out std_logic_vector(31 downto 0)); end component; - component Channel_200 + component Channel_200 is generic ( CHANNEL_ID : integer range 0 to 64; DEBUG : integer range 0 to 1; @@ -152,8 +146,8 @@ package tdc_components is ENCODER_START_OUT : out std_logic; ENCODER_FINISHED_OUT : out std_logic; FIFO_WRITE_OUT : out std_logic; - Channel_200_DEBUG : out std_logic_vector(31 downto 0)); - end component; + CHANNEL_200_DEBUG : out std_logic_vector(31 downto 0)); + end component Channel_200; component Readout_Header is port ( @@ -170,10 +164,9 @@ package tdc_components is DATA_WRITE_OUT : out std_logic; DATA_FINISHED_OUT : out std_logic); end component Readout_Header; - + component Readout is generic ( - MODULE_NUMBER : integer range 1 to 4; CHANNEL_NUMBER : integer range 2 to 65; STATUS_REG_NR : integer range 0 to 31; TDC_VERSION : std_logic_vector(11 downto 0)); @@ -183,7 +176,7 @@ package tdc_components is RESET_COUNTERS : in std_logic; CLK_100 : in std_logic; CLK_200 : in std_logic; - CH_DATA_IN : in std_logic_vector_array_36(0 to CHANNEL_NUMBER-1); + CH_DATA_IN : in std_logic_vector_array_36(0 to CHANNEL_NUMBER); CH_DATA_VALID_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 0); CH_EMPTY_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 0); CH_FULL_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 0); @@ -212,18 +205,16 @@ package tdc_components is TRIGGER_WIN_EN_IN : in std_logic; TRIG_WIN_END_TDC_IN : in std_logic; TRIG_WIN_END_RDO_IN : in std_logic; - TRIG_TIME_IN : in std_logic_vector(38 downto 0); TRIGGER_TDC_IN : in std_logic; + TRIG_TIME_IN : in std_logic_vector(38 downto 0); COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); DEBUG_MODE_EN_IN : in std_logic; STATUS_REGISTERS_BUS_OUT : out std_logic_vector_array_32(0 to STATUS_REG_NR-1); READOUT_DEBUG : out std_logic_vector(31 downto 0); - REFERENCE_TIME : in std_logic - ); + REFERENCE_TIME : in std_logic); end component Readout; - component TriggerHandler is generic ( TRIGGER_NUM : integer; @@ -283,11 +274,32 @@ package tdc_components is end component; component Stretcher is + generic ( + CHANNEL : integer range 1 to 64; + DEPTH : integer range 1 to 10); port ( - PULSE_IN : in std_logic; - PULSE_OUT : out std_logic); + PULSE_IN : in std_logic_vector(CHANNEL-1 downto 0); + PULSE_OUT : out std_logic_vector(CHANNEL-1 downto 0)); end component Stretcher; - + + component Stretcher_A is + generic ( + CHANNEL : integer range 1 to 64; + DEPTH : integer range 1 to 10); + port ( + PULSE_IN : in std_logic_vector(CHANNEL*DEPTH downto 1); + PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 0)); + end component Stretcher_A; + + component Stretcher_B is + generic ( + CHANNEL : integer range 1 to 64; + DEPTH : integer range 1 to 10); + port ( + PULSE_IN : in std_logic_vector(CHANNEL*DEPTH-1 downto 1); + PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 1)); + end component Stretcher_B; + component up_counter generic ( NUMBER_OF_BITS : positive); @@ -348,4 +360,4 @@ package tdc_components is end component ROM4_Encoder; -end package; +end package tdc_components; diff --git a/tdc_releases/tdc_v2.0/tdc_constraints_16.lpf b/tdc_releases/tdc_v2.0/tdc_constraints_16.lpf index 050158d..ef2d981 100644 --- a/tdc_releases/tdc_v2.0/tdc_constraints_16.lpf +++ b/tdc_releases/tdc_v2.0/tdc_constraints_16.lpf @@ -7,9 +7,10 @@ UGROUP "Ref_Ch" BBOX 1 51 BLKNAME THE_TDC/ReferenceChannel/Channel200/SimAdderNo_FC; LOCATE UGROUP "Ref_Ch" SITE "R8C131D" ; -UGROUP "ref_hit" BBOX 1 1 - BLKNAME THE_TDC/ReferenceChannel/hit_buf_RNO; -LOCATE UGROUP "ref_hit" SITE "R9C133D" ; +UGROUP "hitBuf_ref" BBOX 1 1 + BLKNAME THE_TDC/ReferenceChannel/sync_q_0[2] + BLKNAME THE_TDC/hit_mux_ref; +LOCATE UGROUP "hitBuf_ref" SITE "R9C133D" ; UGROUP "Ref_ff_en" BBOX 1 1 BLKNAME THE_TDC/ReferenceChannel/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "Ref_ff_en" SITE "R8C156D" ; @@ -20,9 +21,10 @@ LOCATE UGROUP "Ref_ff_en" SITE "R8C156D" ; UGROUP "FC_1" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_1" SITE "R10C131D" ; -UGROUP "hit_1" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_1" SITE "R11C133D" ; +UGROUP "hitBuf_1" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_1_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_1_hit_mux_ch; +LOCATE UGROUP "hitBuf_1" SITE "R11C133D" ; UGROUP "ff_en_1" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_1" SITE "R10C156D" ; @@ -30,9 +32,10 @@ LOCATE UGROUP "ff_en_1" SITE "R10C156D" ; UGROUP "FC_2" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_2" SITE "R21C131D" ; -UGROUP "hit_2" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_2" SITE "R22C133D" ; +UGROUP "hitBuf_2" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_2_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_2_hit_mux_ch; +LOCATE UGROUP "hitBuf_2" SITE "R22C133D" ; UGROUP "ff_en_2" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_2" SITE "R21C156D" ; @@ -40,9 +43,10 @@ LOCATE UGROUP "ff_en_2" SITE "R21C156D" ; UGROUP "FC_3" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_3" SITE "R23C131D" ; -UGROUP "hit_3" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_3" SITE "R24C133D" ; +UGROUP "hitBuf_3" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_3_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_3_hit_mux_ch; +LOCATE UGROUP "hitBuf_3" SITE "R24C133D" ; UGROUP "ff_en_3" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_3" SITE "R23C156D" ; @@ -50,9 +54,10 @@ LOCATE UGROUP "ff_en_3" SITE "R23C156D" ; UGROUP "FC_4" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_4" SITE "R30C131D" ; -UGROUP "hit_4" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_4" SITE "R31C133D" ; +UGROUP "hitBuf_4" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_4_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_4_hit_mux_ch; +LOCATE UGROUP "hitBuf_4" SITE "R31C133D" ; UGROUP "ff_en_4" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_4" SITE "R30C156D" ; @@ -60,9 +65,10 @@ LOCATE UGROUP "ff_en_4" SITE "R30C156D" ; UGROUP "FC_5" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_5" SITE "R32C131D" ; -UGROUP "hit_5" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_5_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_5" SITE "R33C133D" ; +UGROUP "hitBuf_5" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_5_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_5_hit_mux_ch; +LOCATE UGROUP "hitBuf_5" SITE "R33C133D" ; UGROUP "ff_en_5" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_5" SITE "R32C156D" ; @@ -70,9 +76,10 @@ LOCATE UGROUP "ff_en_5" SITE "R32C156D" ; UGROUP "FC_6" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_6" SITE "R35C131D" ; -UGROUP "hit_6" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_6_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_6" SITE "R36C133D" ; +UGROUP "hitBuf_6" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_6_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_6_hit_mux_ch; +LOCATE UGROUP "hitBuf_6" SITE "R36C133D" ; UGROUP "ff_en_6" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_6" SITE "R35C156D" ; @@ -80,9 +87,10 @@ LOCATE UGROUP "ff_en_6" SITE "R35C156D" ; UGROUP "FC_7" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_7" SITE "R37C131D" ; -UGROUP "hit_7" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_7_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_7" SITE "R38C133D" ; +UGROUP "hitBuf_7" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_7_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_7_hit_mux_ch; +LOCATE UGROUP "hitBuf_7" SITE "R38C133D" ; UGROUP "ff_en_7" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_7" SITE "R37C156D" ; @@ -90,9 +98,10 @@ LOCATE UGROUP "ff_en_7" SITE "R37C156D" ; UGROUP "FC_8" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_8" SITE "R48C131D" ; -UGROUP "hit_8" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_8_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_8" SITE "R49C133D" ; +UGROUP "hitBuf_8" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_8_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_8_hit_mux_ch; +LOCATE UGROUP "hitBuf_8" SITE "R49C133D" ; UGROUP "ff_en_8" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_8" SITE "R48C156D" ; @@ -100,9 +109,9 @@ LOCATE UGROUP "ff_en_8" SITE "R48C156D" ; UGROUP "FC_9" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_9" SITE "R50C131D" ; -UGROUP "hit_9" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_9_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_9" SITE "R51C133D" ; +UGROUP "hitBuf_9" BBOX 1 1 + BLKNAME THE_TDC/GEN_hit_mux_9_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_9" SITE "R51C133D" ; UGROUP "ff_en_9" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_9" SITE "R50C156D" ; @@ -110,9 +119,9 @@ LOCATE UGROUP "ff_en_9" SITE "R50C156D" ; UGROUP "FC_10" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_10" SITE "R53C131D" ; -UGROUP "hit_10" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_10_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_10" SITE "R54C133D" ; +UGROUP "hitBuf_10" BBOX 1 1 + BLKNAME THE_TDC/GEN_hit_mux_10_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_10" SITE "R54C133D" ; UGROUP "ff_en_10" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_10" SITE "R53C156D" ; @@ -120,9 +129,9 @@ LOCATE UGROUP "ff_en_10" SITE "R53C156D" ; UGROUP "FC_11" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_11" SITE "R55C131D" ; -UGROUP "hit_11" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_11_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_11" SITE "R56C133D" ; +UGROUP "hitBuf_11" BBOX 1 1 + BLKNAME THE_TDC/GEN_hit_mux_11_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_11" SITE "R56C133D" ; UGROUP "ff_en_11" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_11" SITE "R55C156D" ; @@ -130,9 +139,9 @@ LOCATE UGROUP "ff_en_11" SITE "R55C156D" ; UGROUP "FC_12" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_12" SITE "R10C58D" ; -UGROUP "hit_12" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_12" SITE "R11C60D" ; +UGROUP "hitBuf_12" BBOX 1 1 + BLKNAME THE_TDC/GEN_hit_mux_12_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_12" SITE "R11C60D" ; UGROUP "ff_en_12" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_12" SITE "R10C83D" ; @@ -140,9 +149,9 @@ LOCATE UGROUP "ff_en_12" SITE "R10C83D" ; UGROUP "FC_13" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_13" SITE "R23C58D" ; -UGROUP "hit_13" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_13" SITE "R24C60D" ; +UGROUP "hitBuf_13" BBOX 1 1 + BLKNAME THE_TDC/GEN_hit_mux_13_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_13" SITE "R24C60D" ; UGROUP "ff_en_13" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_13" SITE "R23C83D" ; @@ -150,9 +159,9 @@ LOCATE UGROUP "ff_en_13" SITE "R23C83D" ; UGROUP "FC_14" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_14" SITE "R32C58D" ; -UGROUP "hit_14" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_14" SITE "R33C60D" ; +UGROUP "hitBuf_14" BBOX 1 1 + BLKNAME THE_TDC/GEN_hit_mux_14_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_14" SITE "R33C60D" ; UGROUP "ff_en_14" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_14" SITE "R32C83D" ; @@ -160,9 +169,9 @@ LOCATE UGROUP "ff_en_14" SITE "R32C83D" ; UGROUP "FC_15" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_15" SITE "R37C58D" ; -UGROUP "hit_15" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_15" SITE "R38C60D" ; +UGROUP "hitBuf_15" BBOX 1 1 + BLKNAME THE_TDC/GEN_hit_mux_15_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_15" SITE "R38C60D" ; UGROUP "ff_en_15" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_15" SITE "R37C83D" ; @@ -170,13 +179,14 @@ LOCATE UGROUP "ff_en_15" SITE "R37C83D" ; UGROUP "FC_16" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_16" SITE "R50C58D" ; -UGROUP "hit_16" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_16" SITE "R51C60D" ; +UGROUP "hitBuf_16" BBOX 1 1 + BLKNAME THE_TDC/GEN_hit_mux_16_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_16" SITE "R51C60D" ; UGROUP "ff_en_16" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_16" SITE "R50C83D" ; + ############################################################################## ## CHANNEL PLACEMENTS ## ############################################################################## @@ -185,17 +195,17 @@ UGROUP "EF_ref" BBOX 16 54 BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200 - BLKNAME THE_TDC/ReferenceChannel/The_Buffer - BLKNAME THE_TDC/GEN_Channels_1_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_2_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_3_Channels/The_Buffer; + BLKNAME THE_TDC/ReferenceChannel/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_1_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_2_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_3_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_ref" SITE "R8C128D" ; UGROUP "EF_4" BBOX 10 54 BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_4_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_5_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_4_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_5_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_4" SITE "R24C128D" ; UGROUP "EF_6" BBOX 17 54 @@ -203,107 +213,55 @@ UGROUP "EF_6" BBOX 17 54 BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_6_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_7_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_8_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_9_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_6_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_7_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_8_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_9_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_6" SITE "R35C128D" ; UGROUP "EF_10" BBOX 17 54 BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_10_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_11_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_10_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_11_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_10" SITE "R53C128D" ; UGROUP "EF_12" BBOX 16 54 BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_12_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_13_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_12_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_13_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_12" SITE "R8C56D" ; UGROUP "EF_14" BBOX 10 54 BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_14_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_14_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_14" SITE "R24C56D" ; UGROUP "EF_15" BBOX 17 54 BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_15_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_16_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_15_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_16_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_15" SITE "R35C56D" ; ############################################################################# -## Coarse counter register placement +## Stretcher ############################################################################# +UGROUP "Stretcher_A" BBOX 7 7 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_A_1 +; +LOCATE UGROUP "Stretcher_A" SITE "R117C175D"; -UGROUP "UR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_1_TheCoarseCounter; -LOCATE UGROUP "UR_Coarse_Counter" SITE R36C134D; -UGROUP "LR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_2_TheCoarseCounter; -LOCATE UGROUP "LR_Coarse_Counter" SITE R85C134D; -UGROUP "UL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_3_TheCoarseCounter; -LOCATE UGROUP "UL_Coarse_Counter" SITE R36C50D; -UGROUP "LL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_4_TheCoarseCounter; -LOCATE UGROUP "LL_Coarse_Counter" SITE R85C50D; -UGROUP "TheCounters" - BLKNAME THE_TDC/GenCoarseCounter_0_TheCoarseCounter - BLKNAME THE_TDC/TheEpochCounter; -#LOCATE UGROUP "TheCounters" REGION REGION_READOUT; +UGROUP "Stretcher_B" BBOX 6 8 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_B_1 +; +LOCATE UGROUP "Stretcher_B" SITE "R2C2D"; ############################################################################# -## Other Logic Placements +## Coarse counter register placement ############################################################################# -UGROUP "BusHandlers" - BLKNAME THE_TDC/TheHitCounterBus - BLKNAME THE_TDC/TheStatusRegistersBus -# BLKNAME THE_TDC/TheLostHitBus -# BLKNAME THE_TDC/TheEncoderStartBus -# BLKNAME THE_TDC/TheEncoderFinishedBus -; -LOCATE UGROUP "BusHandlers" REGION "REGION_TRBNET"; -LOCATE PGROUP "BusHandlers" REGION "REGION_TRBNET"; - -UGROUP "TheTdcReadout" #BBOX 35 57 - BLKNAME THE_TDC/TheReadout - ; -#LOCATE UGROUP "TheTdcReadout" SITE "R53C53D"; - -UGROUP "TheTriggerHandler" - BLKNAME THE_TDC/TheTriggerHandler - ; -LOCATE UGROUP "TheTriggerHandler" SITE "R65C80D"; - ############################################################################# -## Unimportant Data Lines ## +## Other Logic Placements ############################################################################# - -BLOCK NET "THE_TDC/reset_tdc*" ; -BLOCK NET "THE_TDC/reset_rdo*" ; -BLOCK NET "THE_TDC/hit_in_i_*" ; -BLOCK NET "THE_TDC/reset_counters_i*" ; -BLOCK PATH TO CELL "THE_TDC/GEN_Channels_*_Channels/sync_q_2*"; - - - -#BLOCK PATH TO CELL "THE_TDC/GEN_Channels_*_Channels/Channel200/SimAdderNo_FC/FF_*" ; - - - -PROHIBIT SECONDARY NET "THE_TDC/ReferenceChannel/Channel200/ff_array_en_i"; -PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels_*_Channels/Channel200/ff_array_en_i"; - -MAXDELAY NET "THE_TDC/ReferenceChannel/hit_buf" 0.600000 nS DATAPATH_ONLY ; -MAXDELAY NET "THE_TDC/GEN_Channels_*_Channels/hit_buf" 0.600000 nS DATAPATH_ONLY ; - -MULTICYCLE FROM CELL "THE_TDC/GEN_Channels_*_Channels/gen_DEBUG_risingEdgeDetect_1/PULSE_OUT" 5 x; -MULTICYCLE FROM CELL "THE_TDC/ReferenceChannel/gen_DEBUG_risingEdgeDetect_1/PULSE_OUT" 5 x; -MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter_*" TO CELL "THE_TDC/GEN_Channels_*_Channels/epoch_cntr_reg*" 5 X; -MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter_*" TO CELL "THE_TDC/ReferenceChannel/epoch_cntr_reg*" 5 X; -MULTICYCLE FROM CELL "THE_RESET_HANDLER/final_reset_1" 50 ns; diff --git a/tdc_releases/tdc_v2.0/tdc_constraints_32.lpf b/tdc_releases/tdc_v2.0/tdc_constraints_32.lpf index d8c46bd..8538e0d 100644 --- a/tdc_releases/tdc_v2.0/tdc_constraints_32.lpf +++ b/tdc_releases/tdc_v2.0/tdc_constraints_32.lpf @@ -8,6 +8,7 @@ UGROUP "Ref_Ch" BBOX 1 51 BLKNAME THE_TDC/ReferenceChannel/Channel200/SimAdderNo_FC; LOCATE UGROUP "Ref_Ch" SITE "R8C131D" ; UGROUP "hitBuf_ref" BBOX 1 1 + BLKNAME THE_TDC/ReferenceChannel/sync_q_0[2] BLKNAME THE_TDC/hit_mux_ref; LOCATE UGROUP "hitBuf_ref" SITE "R9C133D" ; UGROUP "Ref_ff_en" BBOX 1 1 @@ -21,6 +22,7 @@ UGROUP "FC_1" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_1" SITE "R10C131D" ; UGROUP "hitBuf_1" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_1_Channels/sync_q_0[2] BLKNAME THE_TDC/GEN_hit_mux_1_hit_mux_ch; LOCATE UGROUP "hitBuf_1" SITE "R11C133D" ; UGROUP "ff_en_1" BBOX 1 1 @@ -31,6 +33,7 @@ UGROUP "FC_2" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_2" SITE "R21C131D" ; UGROUP "hitBuf_2" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_2_Channels/sync_q_0[2] BLKNAME THE_TDC/GEN_hit_mux_2_hit_mux_ch; LOCATE UGROUP "hitBuf_2" SITE "R22C133D" ; UGROUP "ff_en_2" BBOX 1 1 @@ -41,6 +44,7 @@ UGROUP "FC_3" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_3" SITE "R23C131D" ; UGROUP "hitBuf_3" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_3_Channels/sync_q_0[2] BLKNAME THE_TDC/GEN_hit_mux_3_hit_mux_ch; LOCATE UGROUP "hitBuf_3" SITE "R24C133D" ; UGROUP "ff_en_3" BBOX 1 1 @@ -51,6 +55,7 @@ UGROUP "FC_4" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_4" SITE "R30C131D" ; UGROUP "hitBuf_4" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_4_Channels/sync_q_0[2] BLKNAME THE_TDC/GEN_hit_mux_4_hit_mux_ch; LOCATE UGROUP "hitBuf_4" SITE "R31C133D" ; UGROUP "ff_en_4" BBOX 1 1 @@ -61,6 +66,7 @@ UGROUP "FC_5" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_5" SITE "R32C131D" ; UGROUP "hitBuf_5" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_5_Channels/sync_q_0[2] BLKNAME THE_TDC/GEN_hit_mux_5_hit_mux_ch; LOCATE UGROUP "hitBuf_5" SITE "R33C133D" ; UGROUP "ff_en_5" BBOX 1 1 @@ -71,6 +77,7 @@ UGROUP "FC_6" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_6" SITE "R35C131D" ; UGROUP "hitBuf_6" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_6_Channels/sync_q_0[2] BLKNAME THE_TDC/GEN_hit_mux_6_hit_mux_ch; LOCATE UGROUP "hitBuf_6" SITE "R36C133D" ; UGROUP "ff_en_6" BBOX 1 1 @@ -81,6 +88,7 @@ UGROUP "FC_7" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_7" SITE "R37C131D" ; UGROUP "hitBuf_7" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_7_Channels/sync_q_0[2] BLKNAME THE_TDC/GEN_hit_mux_7_hit_mux_ch; LOCATE UGROUP "hitBuf_7" SITE "R38C133D" ; UGROUP "ff_en_7" BBOX 1 1 @@ -91,6 +99,7 @@ UGROUP "FC_8" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_8" SITE "R48C131D" ; UGROUP "hitBuf_8" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_8_Channels/sync_q_0[2] BLKNAME THE_TDC/GEN_hit_mux_8_hit_mux_ch; LOCATE UGROUP "hitBuf_8" SITE "R49C133D" ; UGROUP "ff_en_8" BBOX 1 1 @@ -101,7 +110,7 @@ UGROUP "FC_9" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_9" SITE "R50C131D" ; UGROUP "hitBuf_9" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_9_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_9_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_9" SITE "R51C133D" ; UGROUP "ff_en_9" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/ff_array_en_i_1_i; @@ -111,7 +120,7 @@ UGROUP "FC_10" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_10" SITE "R53C131D" ; UGROUP "hitBuf_10" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_10_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_10_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_10" SITE "R54C133D" ; UGROUP "ff_en_10" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/ff_array_en_i_1_i; @@ -121,7 +130,7 @@ UGROUP "FC_11" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_11" SITE "R55C131D" ; UGROUP "hitBuf_11" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_11_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_11_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_11" SITE "R56C133D" ; UGROUP "ff_en_11" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/ff_array_en_i_1_i; @@ -131,7 +140,7 @@ UGROUP "FC_12" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_12" SITE "R10C58D" ; UGROUP "hitBuf_12" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_12_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_12_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_12" SITE "R11C60D" ; UGROUP "ff_en_12" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/ff_array_en_i_1_i; @@ -141,7 +150,7 @@ UGROUP "FC_13" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_13" SITE "R23C58D" ; UGROUP "hitBuf_13" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_13_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_13_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_13" SITE "R24C60D" ; UGROUP "ff_en_13" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/ff_array_en_i_1_i; @@ -151,7 +160,7 @@ UGROUP "FC_14" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_14" SITE "R32C58D" ; UGROUP "hitBuf_14" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_14_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_14_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_14" SITE "R33C60D" ; UGROUP "ff_en_14" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/ff_array_en_i_1_i; @@ -161,7 +170,7 @@ UGROUP "FC_15" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_15" SITE "R37C58D" ; UGROUP "hitBuf_15" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_15_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_15_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_15" SITE "R38C60D" ; UGROUP "ff_en_15" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/ff_array_en_i_1_i; @@ -171,7 +180,7 @@ UGROUP "FC_16" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_16" SITE "R50C58D" ; UGROUP "hitBuf_16" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_16_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_16_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_16" SITE "R51C60D" ; UGROUP "ff_en_16" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/ff_array_en_i_1_i; @@ -181,7 +190,7 @@ UGROUP "FC_17" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_17" SITE "R66C131D" ; UGROUP "hitBuf_17" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_17_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_17_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_17" SITE "R67C133D" ; UGROUP "ff_en_17" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/ff_array_en_i_1_i; @@ -191,7 +200,7 @@ UGROUP "FC_18" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_18" SITE "R68C131D" ; UGROUP "hitBuf_18" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_18_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_18_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_18" SITE "R69C133D" ; UGROUP "ff_en_18" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/ff_array_en_i_1_i; @@ -201,7 +210,7 @@ UGROUP "FC_19" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_19" SITE "R71C131D" ; UGROUP "hitBuf_19" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_19_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_19_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_19" SITE "R72C133D" ; UGROUP "ff_en_19" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/ff_array_en_i_1_i; @@ -211,7 +220,7 @@ UGROUP "FC_20" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_20" SITE "R73C131D" ; UGROUP "hitBuf_20" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_20_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_20_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_20" SITE "R74C133D" ; UGROUP "ff_en_20" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/ff_array_en_i_1_i; @@ -221,7 +230,7 @@ UGROUP "FC_21" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_21" SITE "R84C131D" ; UGROUP "hitBuf_21" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_21_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_21_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_21" SITE "R85C133D" ; UGROUP "ff_en_21" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/ff_array_en_i_1_i; @@ -231,7 +240,7 @@ UGROUP "FC_22" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_22" SITE "R86C131D" ; UGROUP "hitBuf_22" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_22_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_22_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_22" SITE "R87C133D" ; UGROUP "ff_en_22" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/ff_array_en_i_1_i; @@ -241,7 +250,7 @@ UGROUP "FC_23" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_23" SITE "R89C131D" ; UGROUP "hitBuf_23" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_23_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_23_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_23" SITE "R90C133D" ; UGROUP "ff_en_23" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/ff_array_en_i_1_i; @@ -251,7 +260,7 @@ UGROUP "FC_24" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_24" SITE "R91C131D" ; UGROUP "hitBuf_24" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_24_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_24_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_24" SITE "R92C133D" ; UGROUP "ff_en_24" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/ff_array_en_i_1_i; @@ -261,7 +270,7 @@ UGROUP "FC_25" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_25" SITE "R102C131D" ; UGROUP "hitBuf_25" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_25_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_25_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_25" SITE "R103C133D" ; UGROUP "ff_en_25" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/ff_array_en_i_1_i; @@ -271,7 +280,7 @@ UGROUP "FC_26" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_26" SITE "R104C131D" ; UGROUP "hitBuf_26" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_26_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_26_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_26" SITE "R105C133D" ; UGROUP "ff_en_26" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/ff_array_en_i_1_i; @@ -281,7 +290,7 @@ UGROUP "FC_27" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_27" SITE "R111C131D" ; UGROUP "hitBuf_27" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_27_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_27_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_27" SITE "R112C133D" ; UGROUP "ff_en_27" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/ff_array_en_i_1_i; @@ -291,7 +300,7 @@ UGROUP "FC_28" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_28" SITE "R113C131D" ; UGROUP "hitBuf_28" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_28_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_28_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_28" SITE "R114C133D" ; UGROUP "ff_en_28" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/ff_array_en_i_1_i; @@ -301,7 +310,7 @@ UGROUP "FC_29" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_29" SITE "R91C58D" ; UGROUP "hitBuf_29" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_29_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_29_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_29" SITE "R92C60D" ; UGROUP "ff_en_29" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/ff_array_en_i_1_i; @@ -311,7 +320,7 @@ UGROUP "FC_30" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_30" SITE "R104C58D" ; UGROUP "hitBuf_30" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_30_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_30_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_30" SITE "R105C60D" ; UGROUP "ff_en_30" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/ff_array_en_i_1_i; @@ -321,7 +330,7 @@ UGROUP "FC_31" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_31" SITE "R113C58D" ; UGROUP "hitBuf_31" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_31_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_31_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_31" SITE "R114C60D" ; UGROUP "ff_en_31" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/ff_array_en_i_1_i; @@ -331,12 +340,13 @@ UGROUP "FC_32" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_32" SITE "R84C58D" ; UGROUP "hitBuf_32" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_32_hit_mux_ch; + BLKNAME THE_TDC/GEN_hit_mux_32_hit_mux_ch/hit_i_5_u_0; LOCATE UGROUP "hitBuf_32" SITE "R85C60D" ; UGROUP "ff_en_32" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_32" SITE "R84C83D" ; + ############################################################################## ## CHANNEL PLACEMENTS ## ############################################################################## @@ -345,17 +355,17 @@ UGROUP "EF_ref" BBOX 16 54 BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200 - BLKNAME THE_TDC/ReferenceChannel/The_Buffer - BLKNAME THE_TDC/GEN_Channels_1_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_2_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_3_Channels/The_Buffer; + BLKNAME THE_TDC/ReferenceChannel/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_1_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_2_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_3_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_ref" SITE "R8C128D" ; UGROUP "EF_4" BBOX 10 54 BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_4_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_5_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_4_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_5_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_4" SITE "R24C128D" ; UGROUP "EF_6" BBOX 17 54 @@ -363,10 +373,10 @@ UGROUP "EF_6" BBOX 17 54 BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_6_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_7_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_8_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_9_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_6_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_7_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_8_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_9_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_6" SITE "R35C128D" ; UGROUP "EF_10" BBOX 17 54 @@ -374,29 +384,29 @@ UGROUP "EF_10" BBOX 17 54 BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_10_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_11_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_17_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_18_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_10_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_11_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_17_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_18_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_10" SITE "R53C128D" ; UGROUP "EF_12" BBOX 16 54 BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_12_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_13_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_12_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_13_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_12" SITE "R8C56D" ; UGROUP "EF_14" BBOX 10 54 BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_14_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_14_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_14" SITE "R24C56D" ; UGROUP "EF_15" BBOX 17 54 BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_15_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_16_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_15_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_16_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_15" SITE "R35C56D" ; UGROUP "EF_19" BBOX 17 54 @@ -404,10 +414,10 @@ UGROUP "EF_19" BBOX 17 54 BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_19_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_20_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_21_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_22_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_19_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_20_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_21_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_22_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_19" SITE "R71C128D" ; UGROUP "EF_23" BBOX 16 54 @@ -415,78 +425,53 @@ UGROUP "EF_23" BBOX 16 54 BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_23_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_24_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_25_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_26_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_23_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_24_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_25_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_26_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_23" SITE "R89C128D" ; UGROUP "EF_27" BBOX 10 54 BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_27_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_28_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_27_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_28_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_27" SITE "R105C128D" ; UGROUP "EF_29" BBOX 16 54 BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_29_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_30_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_29_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_30_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_29" SITE "R89C56D" ; UGROUP "EF_31" BBOX 10 54 BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_31_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_31_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_31" SITE "R105C56D" ; UGROUP "EF_32" BBOX 10 24 BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_32_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_32_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_32" SITE "R78C71D" ; ############################################################################# -## Coarse counter register placement +## Stretcher ############################################################################# +UGROUP "Stretcher_A" BBOX 7 7 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_A_1 +; +LOCATE UGROUP "Stretcher_A" SITE "R117C175D"; -UGROUP "UR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_1_TheCoarseCounter; -LOCATE UGROUP "UR_Coarse_Counter" SITE R36C134D; -UGROUP "LR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_2_TheCoarseCounter; -LOCATE UGROUP "LR_Coarse_Counter" SITE R85C134D; -UGROUP "UL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_3_TheCoarseCounter; -LOCATE UGROUP "UL_Coarse_Counter" SITE R36C50D; -UGROUP "LL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_4_TheCoarseCounter; -LOCATE UGROUP "LL_Coarse_Counter" SITE R85C50D; -UGROUP "TheCounters" - BLKNAME THE_TDC/GenCoarseCounter_0_TheCoarseCounter - BLKNAME THE_TDC/TheEpochCounter; -#LOCATE UGROUP "TheCounters" REGION REGION_READOUT; +UGROUP "Stretcher_B" BBOX 6 8 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_B_1 +; +LOCATE UGROUP "Stretcher_B" SITE "R2C2D"; ############################################################################# -## Other Logic Placements +## Coarse counter register placement ############################################################################# -UGROUP "BusHandlers" - BLKNAME THE_TDC/TheHitCounterBus - BLKNAME THE_TDC/TheStatusRegistersBus -# BLKNAME THE_TDC/TheLostHitBus -# BLKNAME THE_TDC/TheEncoderStartBus -# BLKNAME THE_TDC/TheEncoderFinishedBus -; -LOCATE UGROUP "BusHandlers" REGION "REGION_TRBNET"; -LOCATE PGROUP "BusHandlers" REGION "REGION_TRBNET"; - -UGROUP "TheTdcReadout" #BBOX 35 57 - BLKNAME THE_TDC/TheReadout - ; -#LOCATE UGROUP "TheTdcReadout" SITE "R53C53D"; - -UGROUP "TheTriggerHandler" - BLKNAME THE_TDC/TheTriggerHandler - ; -LOCATE UGROUP "TheTriggerHandler" SITE "R65C80D"; - +############################################################################# +## Other Logic Placements +############################################################################# diff --git a/tdc_releases/tdc_v2.0/tdc_constraints_4.lpf b/tdc_releases/tdc_v2.0/tdc_constraints_4.lpf index 4792f4b..50432ef 100644 --- a/tdc_releases/tdc_v2.0/tdc_constraints_4.lpf +++ b/tdc_releases/tdc_v2.0/tdc_constraints_4.lpf @@ -7,9 +7,10 @@ UGROUP "Ref_Ch" BBOX 1 51 BLKNAME THE_TDC/ReferenceChannel/Channel200/SimAdderNo_FC; LOCATE UGROUP "Ref_Ch" SITE "R8C131D" ; -UGROUP "ref_hit" BBOX 1 1 - BLKNAME THE_TDC/ReferenceChannel/hit_buf_RNO; -LOCATE UGROUP "ref_hit" SITE "R9C133D" ; +UGROUP "hitBuf_ref" BBOX 1 1 + BLKNAME THE_TDC/ReferenceChannel/sync_q_0[2] + BLKNAME THE_TDC/hit_mux_ref; +LOCATE UGROUP "hitBuf_ref" SITE "R9C133D" ; UGROUP "Ref_ff_en" BBOX 1 1 BLKNAME THE_TDC/ReferenceChannel/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "Ref_ff_en" SITE "R8C156D" ; @@ -20,9 +21,10 @@ LOCATE UGROUP "Ref_ff_en" SITE "R8C156D" ; UGROUP "FC_1" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_1" SITE "R10C131D" ; -UGROUP "hit_1" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_1" SITE "R11C133D" ; +UGROUP "hitBuf_1" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_1_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_1_hit_mux_ch; +LOCATE UGROUP "hitBuf_1" SITE "R11C133D" ; UGROUP "ff_en_1" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_1" SITE "R10C156D" ; @@ -30,9 +32,10 @@ LOCATE UGROUP "ff_en_1" SITE "R10C156D" ; UGROUP "FC_2" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_2" SITE "R21C131D" ; -UGROUP "hit_2" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_2" SITE "R22C133D" ; +UGROUP "hitBuf_2" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_2_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_2_hit_mux_ch; +LOCATE UGROUP "hitBuf_2" SITE "R22C133D" ; UGROUP "ff_en_2" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_2" SITE "R21C156D" ; @@ -40,9 +43,10 @@ LOCATE UGROUP "ff_en_2" SITE "R21C156D" ; UGROUP "FC_3" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_3" SITE "R23C131D" ; -UGROUP "hit_3" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_3" SITE "R24C133D" ; +UGROUP "hitBuf_3" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_3_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_3_hit_mux_ch; +LOCATE UGROUP "hitBuf_3" SITE "R24C133D" ; UGROUP "ff_en_3" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_3" SITE "R23C156D" ; @@ -50,13 +54,15 @@ LOCATE UGROUP "ff_en_3" SITE "R23C156D" ; UGROUP "FC_4" BBOX 1 51 BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/SimAdderNo_FC; LOCATE UGROUP "FC_4" SITE "R30C131D" ; -UGROUP "hit_4" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_4" SITE "R31C133D" ; +UGROUP "hitBuf_4" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_4_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_4_hit_mux_ch; +LOCATE UGROUP "hitBuf_4" SITE "R31C133D" ; UGROUP "ff_en_4" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_4" SITE "R30C156D" ; + ############################################################################## ## CHANNEL PLACEMENTS ## ############################################################################## @@ -65,86 +71,35 @@ UGROUP "EF_ref" BBOX 16 54 BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200 BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200 - BLKNAME THE_TDC/ReferenceChannel/The_Buffer - BLKNAME THE_TDC/GEN_Channels_1_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_2_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_3_Channels/The_Buffer; + BLKNAME THE_TDC/ReferenceChannel/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_1_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_2_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_3_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_ref" SITE "R8C128D" ; UGROUP "EF_4" BBOX 10 54 BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_4_Channels/The_Buffer; + BLKNAME THE_TDC/GEN_Channels_4_Channels/Buffer_64_The_Buffer; LOCATE UGROUP "EF_4" SITE "R24C128D" ; -############################################################################# -## Coarse counter register placement -############################################################################# - -UGROUP "UR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_1_TheCoarseCounter; -LOCATE UGROUP "UR_Coarse_Counter" SITE R36C134D; -UGROUP "LR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_2_TheCoarseCounter; -LOCATE UGROUP "LR_Coarse_Counter" SITE R85C134D; -UGROUP "UL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_3_TheCoarseCounter; -LOCATE UGROUP "UL_Coarse_Counter" SITE R36C50D; -UGROUP "LL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_4_TheCoarseCounter; -LOCATE UGROUP "LL_Coarse_Counter" SITE R85C50D; -UGROUP "TheCounters" - BLKNAME THE_TDC/GenCoarseCounter_0_TheCoarseCounter - BLKNAME THE_TDC/TheEpochCounter; -#LOCATE UGROUP "TheCounters" REGION REGION_READOUT; ############################################################################# -## Other Logic Placements +## Stretcher ############################################################################# - -UGROUP "BusHandlers" - BLKNAME THE_TDC/TheHitCounterBus - BLKNAME THE_TDC/TheStatusRegistersBus -# BLKNAME THE_TDC/TheLostHitBus -# BLKNAME THE_TDC/TheEncoderStartBus -# BLKNAME THE_TDC/TheEncoderFinishedBus +UGROUP "Stretcher_A" BBOX 7 7 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_A_1 ; -LOCATE UGROUP "BusHandlers" REGION "REGION_TRBNET"; -LOCATE PGROUP "BusHandlers" REGION "REGION_TRBNET"; - -UGROUP "TheTdcReadout" #BBOX 35 57 - BLKNAME THE_TDC/TheReadout - ; -#LOCATE UGROUP "TheTdcReadout" SITE "R53C53D"; +LOCATE UGROUP "Stretcher_A" SITE "R117C175D"; -UGROUP "TheTriggerHandler" - BLKNAME THE_TDC/TheTriggerHandler - ; -LOCATE UGROUP "TheTriggerHandler" SITE "R65C80D"; +UGROUP "Stretcher_B" BBOX 6 8 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_B_1 +; +LOCATE UGROUP "Stretcher_B" SITE "R2C2D"; ############################################################################# -## Unimportant Data Lines ## +## Coarse counter register placement ############################################################################# -BLOCK NET "THE_TDC/reset_tdc*" ; -BLOCK NET "THE_TDC/reset_rdo*" ; -BLOCK NET "THE_TDC/hit_in_i_*" ; -BLOCK NET "THE_TDC/reset_counters_i*" ; -BLOCK PATH TO CELL "THE_TDC/GEN_Channels_*_Channels/sync_q_2*"; - - - -#BLOCK PATH TO CELL "THE_TDC/GEN_Channels_*_Channels/Channel200/SimAdderNo_FC/FF_*" ; - - - -PROHIBIT SECONDARY NET "THE_TDC/ReferenceChannel/Channel200/ff_array_en_i"; -PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels_*_Channels/Channel200/ff_array_en_i"; - -MAXDELAY NET "THE_TDC/ReferenceChannel/hit_buf" 0.600000 nS DATAPATH_ONLY ; -MAXDELAY NET "THE_TDC/GEN_Channels_*_Channels/hit_buf" 0.600000 nS DATAPATH_ONLY ; - -MULTICYCLE FROM CELL "THE_TDC/GEN_Channels_*_Channels/gen_DEBUG_risingEdgeDetect_1/PULSE_OUT" 5 x; -MULTICYCLE FROM CELL "THE_TDC/ReferenceChannel/gen_DEBUG_risingEdgeDetect_1/PULSE_OUT" 5 x; -MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter_*" TO CELL "THE_TDC/GEN_Channels_*_Channels/epoch_cntr_reg*" 5 X; -MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter_*" TO CELL "THE_TDC/ReferenceChannel/epoch_cntr_reg*" 5 X; -MULTICYCLE FROM CELL "THE_RESET_HANDLER/final_reset_1" 50 ns; +############################################################################# +## Other Logic Placements +############################################################################# diff --git a/tdc_releases/tdc_v2.0/tdc_constraints_48.lpf b/tdc_releases/tdc_v2.0/tdc_constraints_48.lpf new file mode 100644 index 0000000..5ab874b --- /dev/null +++ b/tdc_releases/tdc_v2.0/tdc_constraints_48.lpf @@ -0,0 +1,722 @@ +################################################################# +# TDC Constraints +################################################################# +############################################################################## +## REFERENCE CHANNEL PLACEMENT ## +############################################################################## +UGROUP "Ref_Ch" BBOX 1 51 + BLKNAME THE_TDC/ReferenceChannel/Channel200/SimAdderNo_FC; +LOCATE UGROUP "Ref_Ch" SITE "R8C131D" ; +UGROUP "hitBuf_ref" BBOX 1 1 + BLKNAME THE_TDC/ReferenceChannel/sync_q_0[2] + BLKNAME THE_TDC/hit_mux_ref; +LOCATE UGROUP "hitBuf_ref" SITE "R9C133D" ; +UGROUP "Ref_ff_en" BBOX 1 1 + BLKNAME THE_TDC/ReferenceChannel/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "Ref_ff_en" SITE "R8C156D" ; + +############################################################################## +## DELAY LINE and HIT BUFFER PLACEMENTS ## +############################################################################## +UGROUP "FC_1" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_1" SITE "R10C131D" ; +UGROUP "hitBuf_1" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_1_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_1_hit_mux_ch; +LOCATE UGROUP "hitBuf_1" SITE "R11C133D" ; +UGROUP "ff_en_1" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_1" SITE "R10C156D" ; +# +UGROUP "FC_2" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_2" SITE "R21C131D" ; +UGROUP "hitBuf_2" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_2_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_2_hit_mux_ch; +LOCATE UGROUP "hitBuf_2" SITE "R22C133D" ; +UGROUP "ff_en_2" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_2" SITE "R21C156D" ; +# +UGROUP "FC_3" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_3" SITE "R23C131D" ; +UGROUP "hitBuf_3" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_3_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_3_hit_mux_ch; +LOCATE UGROUP "hitBuf_3" SITE "R24C133D" ; +UGROUP "ff_en_3" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_3" SITE "R23C156D" ; +# +UGROUP "FC_4" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_4" SITE "R30C131D" ; +UGROUP "hitBuf_4" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_4_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_4_hit_mux_ch; +LOCATE UGROUP "hitBuf_4" SITE "R31C133D" ; +UGROUP "ff_en_4" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_4" SITE "R30C156D" ; +# +UGROUP "FC_5" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_5" SITE "R32C131D" ; +UGROUP "hitBuf_5" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_5_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_5_hit_mux_ch; +LOCATE UGROUP "hitBuf_5" SITE "R33C133D" ; +UGROUP "ff_en_5" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_5" SITE "R32C156D" ; +# +UGROUP "FC_6" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_6" SITE "R35C131D" ; +UGROUP "hitBuf_6" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_6_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_6_hit_mux_ch; +LOCATE UGROUP "hitBuf_6" SITE "R36C133D" ; +UGROUP "ff_en_6" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_6" SITE "R35C156D" ; +# +UGROUP "FC_7" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_7" SITE "R37C131D" ; +UGROUP "hitBuf_7" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_7_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_7_hit_mux_ch; +LOCATE UGROUP "hitBuf_7" SITE "R38C133D" ; +UGROUP "ff_en_7" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_7" SITE "R37C156D" ; +# +UGROUP "FC_8" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_8" SITE "R48C131D" ; +UGROUP "hitBuf_8" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_8_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_8_hit_mux_ch; +LOCATE UGROUP "hitBuf_8" SITE "R49C133D" ; +UGROUP "ff_en_8" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_8" SITE "R48C156D" ; +# +UGROUP "FC_9" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_9" SITE "R50C131D" ; +UGROUP "hitBuf_9" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_9_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_9_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_9" SITE "R51C133D" ; +UGROUP "ff_en_9" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_9" SITE "R50C156D" ; +# +UGROUP "FC_10" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_10" SITE "R53C131D" ; +UGROUP "hitBuf_10" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_10_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_10_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_10" SITE "R54C133D" ; +UGROUP "ff_en_10" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_10" SITE "R53C156D" ; +# +UGROUP "FC_11" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_11" SITE "R55C131D" ; +UGROUP "hitBuf_11" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_11_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_11_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_11" SITE "R56C133D" ; +UGROUP "ff_en_11" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_11" SITE "R55C156D" ; +# +UGROUP "FC_12" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_12" SITE "R10C58D" ; +UGROUP "hitBuf_12" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_12_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_12_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_12" SITE "R11C60D" ; +UGROUP "ff_en_12" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_12" SITE "R10C83D" ; +# +UGROUP "FC_13" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_13" SITE "R23C58D" ; +UGROUP "hitBuf_13" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_13_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_13_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_13" SITE "R24C60D" ; +UGROUP "ff_en_13" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_13" SITE "R23C83D" ; +# +UGROUP "FC_14" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_14" SITE "R32C58D" ; +UGROUP "hitBuf_14" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_14_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_14_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_14" SITE "R33C60D" ; +UGROUP "ff_en_14" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_14" SITE "R32C83D" ; +# +UGROUP "FC_15" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_15" SITE "R37C58D" ; +UGROUP "hitBuf_15" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_15_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_15_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_15" SITE "R38C60D" ; +UGROUP "ff_en_15" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_15" SITE "R37C83D" ; +# +UGROUP "FC_16" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_16" SITE "R50C58D" ; +UGROUP "hitBuf_16" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_16_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_16_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_16" SITE "R51C60D" ; +UGROUP "ff_en_16" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_16" SITE "R50C83D" ; +# +UGROUP "FC_17" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_17" SITE "R66C131D" ; +UGROUP "hitBuf_17" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_17_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_17_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_17" SITE "R67C133D" ; +UGROUP "ff_en_17" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_17" SITE "R66C156D" ; +# +UGROUP "FC_18" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_18" SITE "R68C131D" ; +UGROUP "hitBuf_18" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_18_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_18_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_18" SITE "R69C133D" ; +UGROUP "ff_en_18" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_18" SITE "R68C156D" ; +# +UGROUP "FC_19" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_19" SITE "R71C131D" ; +UGROUP "hitBuf_19" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_19_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_19_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_19" SITE "R72C133D" ; +UGROUP "ff_en_19" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_19" SITE "R71C156D" ; +# +UGROUP "FC_20" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_20" SITE "R73C131D" ; +UGROUP "hitBuf_20" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_20_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_20_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_20" SITE "R74C133D" ; +UGROUP "ff_en_20" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_20" SITE "R73C156D" ; +# +UGROUP "FC_21" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_21" SITE "R84C131D" ; +UGROUP "hitBuf_21" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_21_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_21_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_21" SITE "R85C133D" ; +UGROUP "ff_en_21" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_21" SITE "R84C156D" ; +# +UGROUP "FC_22" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_22" SITE "R86C131D" ; +UGROUP "hitBuf_22" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_22_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_22_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_22" SITE "R87C133D" ; +UGROUP "ff_en_22" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_22" SITE "R86C156D" ; +# +UGROUP "FC_23" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_23" SITE "R89C131D" ; +UGROUP "hitBuf_23" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_23_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_23_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_23" SITE "R90C133D" ; +UGROUP "ff_en_23" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_23" SITE "R89C156D" ; +# +UGROUP "FC_24" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_24" SITE "R91C131D" ; +UGROUP "hitBuf_24" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_24_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_24_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_24" SITE "R92C133D" ; +UGROUP "ff_en_24" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_24" SITE "R91C156D" ; +# +UGROUP "FC_25" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_25" SITE "R102C131D" ; +UGROUP "hitBuf_25" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_25_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_25_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_25" SITE "R103C133D" ; +UGROUP "ff_en_25" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_25" SITE "R102C156D" ; +# +UGROUP "FC_26" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_26" SITE "R104C131D" ; +UGROUP "hitBuf_26" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_26_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_26_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_26" SITE "R105C133D" ; +UGROUP "ff_en_26" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_26" SITE "R104C156D" ; +# +UGROUP "FC_27" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_27" SITE "R111C131D" ; +UGROUP "hitBuf_27" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_27_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_27_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_27" SITE "R112C133D" ; +UGROUP "ff_en_27" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_27" SITE "R111C156D" ; +# +UGROUP "FC_28" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_28" SITE "R113C131D" ; +UGROUP "hitBuf_28" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_28_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_28_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_28" SITE "R114C133D" ; +UGROUP "ff_en_28" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_28" SITE "R113C156D" ; +# +UGROUP "FC_29" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_29" SITE "R91C58D" ; +UGROUP "hitBuf_29" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_29_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_29_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_29" SITE "R92C60D" ; +UGROUP "ff_en_29" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_29" SITE "R91C83D" ; +# +UGROUP "FC_30" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_30" SITE "R104C58D" ; +UGROUP "hitBuf_30" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_30_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_30_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_30" SITE "R105C60D" ; +UGROUP "ff_en_30" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_30" SITE "R104C83D" ; +# +UGROUP "FC_31" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_31" SITE "R113C58D" ; +UGROUP "hitBuf_31" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_31_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_31_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_31" SITE "R114C60D" ; +UGROUP "ff_en_31" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_31" SITE "R113C83D" ; +# +UGROUP "FC_32" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_32" SITE "R84C58D" ; +UGROUP "hitBuf_32" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_32_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_32_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_32" SITE "R85C60D" ; +UGROUP "ff_en_32" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_32" SITE "R84C83D" ; +# +UGROUP "FC_33" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_33" SITE "R8C58D" ; +UGROUP "hitBuf_33" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_33_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_33_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_33" SITE "R9C60D" ; +UGROUP "ff_en_33" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_33" SITE "R8C83D" ; +# +UGROUP "FC_34" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_34" SITE "R21C58D" ; +UGROUP "hitBuf_34" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_34_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_34_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_34" SITE "R22C60D" ; +UGROUP "ff_en_34" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_34" SITE "R21C83D" ; +# +UGROUP "FC_35" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_35" SITE "R30C58D" ; +UGROUP "hitBuf_35" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_35_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_35_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_35" SITE "R31C60D" ; +UGROUP "ff_en_35" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_35" SITE "R30C83D" ; +# +UGROUP "FC_36" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_36" SITE "R35C58D" ; +UGROUP "hitBuf_36" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_36_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_36_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_36" SITE "R36C60D" ; +UGROUP "ff_en_36" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_36" SITE "R35C83D" ; +# +UGROUP "FC_37" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_37" SITE "R48C58D" ; +UGROUP "hitBuf_37" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_37_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_37_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_37" SITE "R49C60D" ; +UGROUP "ff_en_37" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_37" SITE "R48C83D" ; +# +UGROUP "FC_38" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_38" SITE "R8C2D" ; +UGROUP "hitBuf_38" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_38_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_38_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_38" SITE "R9C4D" ; +UGROUP "ff_en_38" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_38" SITE "R8C27D" ; +# +UGROUP "FC_39" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_39" SITE "R10C2D" ; +UGROUP "hitBuf_39" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_39_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_39_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_39" SITE "R11C4D" ; +UGROUP "ff_en_39" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_39" SITE "R10C27D" ; +# +UGROUP "FC_40" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_40" SITE "R21C2D" ; +UGROUP "hitBuf_40" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_40_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_40_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_40" SITE "R22C4D" ; +UGROUP "ff_en_40" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_40" SITE "R21C27D" ; +# +UGROUP "FC_41" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_41" SITE "R23C2D" ; +UGROUP "hitBuf_41" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_41_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_41_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_41" SITE "R24C4D" ; +UGROUP "ff_en_41" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_41" SITE "R23C27D" ; +# +UGROUP "FC_42" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_42" SITE "R30C2D" ; +UGROUP "hitBuf_42" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_42_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_42_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_42" SITE "R31C4D" ; +UGROUP "ff_en_42" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_42" SITE "R30C27D" ; +# +UGROUP "FC_43" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_43" SITE "R32C2D" ; +UGROUP "hitBuf_43" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_43_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_43_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_43" SITE "R33C4D" ; +UGROUP "ff_en_43" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_43" SITE "R32C27D" ; +# +UGROUP "FC_44" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_44" SITE "R35C2D" ; +UGROUP "hitBuf_44" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_44_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_44_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_44" SITE "R36C4D" ; +UGROUP "ff_en_44" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_44" SITE "R35C27D" ; +# +UGROUP "FC_45" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_45" SITE "R37C2D" ; +UGROUP "hitBuf_45" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_45_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_45_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_45" SITE "R38C4D" ; +UGROUP "ff_en_45" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_45" SITE "R37C27D" ; +# +UGROUP "FC_46" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_46" SITE "R48C2D" ; +UGROUP "hitBuf_46" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_46_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_46_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_46" SITE "R49C4D" ; +UGROUP "ff_en_46" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_46" SITE "R48C27D" ; +# +UGROUP "FC_47" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_47" SITE "R50C2D" ; +UGROUP "hitBuf_47" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_47_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_47_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_47" SITE "R51C4D" ; +UGROUP "ff_en_47" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_47" SITE "R50C27D" ; +# +UGROUP "FC_48" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200/SimAdderNo_FC; +LOCATE UGROUP "FC_48" SITE "R53C2D" ; +UGROUP "hitBuf_48" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_48_Channels_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux_48_hit_mux_ch/hit_i_5_u_0; +LOCATE UGROUP "hitBuf_48" SITE "R54C4D" ; +UGROUP "ff_en_48" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_48" SITE "R53C27D" ; +# + + +############################################################################## +## CHANNEL PLACEMENTS ## +############################################################################## +UGROUP "EF_ref" BBOX 16 54 + BLKNAME THE_TDC/ReferenceChannel/Channel200 + BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200 + BLKNAME THE_TDC/ReferenceChannel/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_1_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_2_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_3_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_ref" SITE "R8C128D" ; + +UGROUP "EF_4" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_4_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_5_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_4" SITE "R24C128D" ; + +UGROUP "EF_6" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_6_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_7_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_8_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_9_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_6" SITE "R35C128D" ; + +UGROUP "EF_10" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_10_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_11_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_17_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_18_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_10" SITE "R53C128D" ; + +UGROUP "EF_12" BBOX 16 54 + BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_12_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_13_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_33_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_34_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_12" SITE "R8C56D" ; + +UGROUP "EF_14" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_14_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_35_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_14" SITE "R24C56D" ; + +UGROUP "EF_15" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_15_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_16_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_36_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_37_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_15" SITE "R35C56D" ; + +UGROUP "EF_19" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_19_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_20_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_21_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_22_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_19" SITE "R71C128D" ; + +UGROUP "EF_23" BBOX 16 54 + BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_23_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_24_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_25_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_26_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_23" SITE "R89C128D" ; + +UGROUP "EF_27" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_27_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_28_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_27" SITE "R105C128D" ; + +UGROUP "EF_29" BBOX 16 54 + BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_29_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_30_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_29" SITE "R89C56D" ; + +UGROUP "EF_31" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_31_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_31" SITE "R105C56D" ; + +UGROUP "EF_32" BBOX 10 24 + BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_32_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_32" SITE "R78C71D" ; + +UGROUP "EF_38" BBOX 16 54 + BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_38_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_39_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_40_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_41_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_38" SITE "R8C2D" ; + +UGROUP "EF_42" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_42_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_43_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_42" SITE "R24C2D" ; + +UGROUP "EF_44" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_44_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_45_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_46_Channels/Buffer_64_The_Buffer + BLKNAME THE_TDC/GEN_Channels_47_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_44" SITE "R35C2D" ; + +UGROUP "EF_48" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels_48_Channels/Buffer_64_The_Buffer; +LOCATE UGROUP "EF_48" SITE "R53C2D" ; + +############################################################################# +## Stretcher +############################################################################# +UGROUP "Stretcher_A" BBOX 7 7 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_A_1 +; +LOCATE UGROUP "Stretcher_A" SITE "R117C175D"; + +UGROUP "Stretcher_B" BBOX 6 8 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_B_1 +; +LOCATE UGROUP "Stretcher_B" SITE "R2C2D"; + +############################################################################# +## Coarse counter register placement +############################################################################# + +############################################################################# +## Other Logic Placements +############################################################################# diff --git a/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf b/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf index 4419eb9..aaf69d6 100644 --- a/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf +++ b/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf @@ -2,1032 +2,936 @@ # TDC Constraints ################################################################# ############################################################################## -## REFERENCE CHANNEL PLACEMENT ## +## DELAY LINE and HIT BUFFER PLACEMENTS ## +############################################################################## ############################################################################## UGROUP "Ref_Ch" BBOX 1 51 - BLKNAME THE_TDC/ReferenceChannel/Channel200/SimAdderNo_FC; -LOCATE UGROUP "Ref_Ch" SITE "R8C131D" ; + BLKNAME THE_TDC/ReferenceChannel/Channel200/SimAdderNo.FC; +LOCATE UGROUP "Ref_Ch" SITE "R32C2D" ; UGROUP "hitBuf_ref" BBOX 1 1 - BLKNAME THE_TDC/hit_mux_ref; -LOCATE UGROUP "hitBuf_ref" SITE "R9C133D" ; + BLKNAME THE_TDC/ReferenceChannel/sync_q_0[2] + BLKNAME THE_TDC/hit_mux_ref; +LOCATE UGROUP "hitBuf_ref" SITE "R33C4D" ; UGROUP "Ref_ff_en" BBOX 1 1 - BLKNAME THE_TDC/ReferenceChannel/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "Ref_ff_en" SITE "R8C156D" ; - -############################################################################## -## DELAY LINE and HIT BUFFER PLACEMENTS ## -############################################################################## + BLKNAME THE_TDC/ReferenceChannel/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "Ref_ff_en" SITE "R32C27D" ; +# UGROUP "FC_1" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_1" SITE "R10C131D" ; + BLKNAME THE_TDC/GEN_Channels.1.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_1" SITE "R30C2D" ; UGROUP "hitBuf_1" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_1_hit_mux_ch; -LOCATE UGROUP "hitBuf_1" SITE "R11C133D" ; -UGROUP "ff_en_1" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_1" SITE "R10C156D" ; + BLKNAME THE_TDC/GEN_Channels.1.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.1.hit_mux_ch; +LOCATE UGROUP "hitBuf_1" SITE "R31C4D" ; +UGROUP "ff_en_1" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.1.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_1" SITE "R30C27D" ; # UGROUP "FC_2" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_2" SITE "R21C131D" ; -UGROUP "hitBuf_2" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_2_hit_mux_ch; -LOCATE UGROUP "hitBuf_2" SITE "R22C133D" ; + BLKNAME THE_TDC/GEN_Channels.2.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_2" SITE "R48C2D" ; +UGROUP "hitBuf_2" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.2.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.2.hit_mux_ch; +LOCATE UGROUP "hitBuf_2" SITE "R49C4D" ; UGROUP "ff_en_2" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_2" SITE "R21C156D" ; + BLKNAME THE_TDC/GEN_Channels.2.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_2" SITE "R48C27D" ; # UGROUP "FC_3" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_3" SITE "R23C131D" ; -UGROUP "hitBuf_3" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_3_hit_mux_ch; -LOCATE UGROUP "hitBuf_3" SITE "R24C133D" ; + BLKNAME THE_TDC/GEN_Channels.3.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_3" SITE "R35C2D" ; +UGROUP "hitBuf_3" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.3.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.3.hit_mux_ch; +LOCATE UGROUP "hitBuf_3" SITE "R36C4D" ; UGROUP "ff_en_3" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_3" SITE "R23C156D" ; + BLKNAME THE_TDC/GEN_Channels.3.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_3" SITE "R35C27D" ; # UGROUP "FC_4" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_4" SITE "R30C131D" ; -UGROUP "hitBuf_4" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_4_hit_mux_ch; -LOCATE UGROUP "hitBuf_4" SITE "R31C133D" ; + BLKNAME THE_TDC/GEN_Channels.4.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_4" SITE "R37C2D" ; +UGROUP "hitBuf_4" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.4.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.4.hit_mux_ch; +LOCATE UGROUP "hitBuf_4" SITE "R38C4D" ; UGROUP "ff_en_4" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_4" SITE "R30C156D" ; + BLKNAME THE_TDC/GEN_Channels.4.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_4" SITE "R37C27D" ; # UGROUP "FC_5" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_5" SITE "R32C131D" ; -UGROUP "hitBuf_5" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_5_hit_mux_ch; -LOCATE UGROUP "hitBuf_5" SITE "R33C133D" ; + BLKNAME THE_TDC/GEN_Channels.5.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_5" SITE "R50C2D" ; +UGROUP "hitBuf_5" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.5.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.5.hit_mux_ch; +LOCATE UGROUP "hitBuf_5" SITE "R51C4D" ; UGROUP "ff_en_5" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_5" SITE "R32C156D" ; + BLKNAME THE_TDC/GEN_Channels.5.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_5" SITE "R50C27D" ; # UGROUP "FC_6" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_6" SITE "R35C131D" ; -UGROUP "hitBuf_6" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_6_hit_mux_ch; -LOCATE UGROUP "hitBuf_6" SITE "R36C133D" ; + BLKNAME THE_TDC/GEN_Channels.6.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_6" SITE "R71C2D" ; +UGROUP "hitBuf_6" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.6.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.6.hit_mux_ch; +LOCATE UGROUP "hitBuf_6" SITE "R72C4D" ; UGROUP "ff_en_6" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_6" SITE "R35C156D" ; + BLKNAME THE_TDC/GEN_Channels.6.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_6" SITE "R71C27D" ; # UGROUP "FC_7" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_7" SITE "R37C131D" ; -UGROUP "hitBuf_7" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_7_hit_mux_ch; -LOCATE UGROUP "hitBuf_7" SITE "R38C133D" ; + BLKNAME THE_TDC/GEN_Channels.7.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_7" SITE "R86C2D" ; +UGROUP "hitBuf_7" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.7.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.7.hit_mux_ch; +LOCATE UGROUP "hitBuf_7" SITE "R87C4D" ; UGROUP "ff_en_7" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_7" SITE "R37C156D" ; + BLKNAME THE_TDC/GEN_Channels.7.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_7" SITE "R86C27D" ; # UGROUP "FC_8" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_8" SITE "R48C131D" ; -UGROUP "hitBuf_8" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_8_hit_mux_ch; -LOCATE UGROUP "hitBuf_8" SITE "R49C133D" ; + BLKNAME THE_TDC/GEN_Channels.8.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_8" SITE "R84C2D" ; +UGROUP "hitBuf_8" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.8.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.8.hit_mux_ch; +LOCATE UGROUP "hitBuf_8" SITE "R85C4D" ; UGROUP "ff_en_8" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_8" SITE "R48C156D" ; + BLKNAME THE_TDC/GEN_Channels.8.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_8" SITE "R84C27D" ; # UGROUP "FC_9" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_9" SITE "R50C131D" ; -UGROUP "hitBuf_9" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_9_hit_mux_ch; -LOCATE UGROUP "hitBuf_9" SITE "R51C133D" ; + BLKNAME THE_TDC/GEN_Channels.9.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_9" SITE "R73C2D" ; +UGROUP "hitBuf_9" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.9.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.9.hit_mux_ch; +LOCATE UGROUP "hitBuf_9" SITE "R74C4D" ; UGROUP "ff_en_9" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_9" SITE "R50C156D" ; + BLKNAME THE_TDC/GEN_Channels.9.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_9" SITE "R73C27D" ; # UGROUP "FC_10" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_10" SITE "R53C131D" ; -UGROUP "hitBuf_10" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_10_hit_mux_ch; -LOCATE UGROUP "hitBuf_10" SITE "R54C133D" ; + BLKNAME THE_TDC/GEN_Channels.10.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_10" SITE "R102C2D" ; +UGROUP "hitBuf_10" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.10.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.10.hit_mux_ch; +LOCATE UGROUP "hitBuf_10" SITE "R103C4D" ; UGROUP "ff_en_10" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_10" SITE "R53C156D" ; + BLKNAME THE_TDC/GEN_Channels.10.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_10" SITE "R102C27D" ; # UGROUP "FC_11" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_11" SITE "R55C131D" ; + BLKNAME THE_TDC/GEN_Channels.11.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_11" SITE "R104C2D" ; UGROUP "hitBuf_11" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_11_hit_mux_ch; -LOCATE UGROUP "hitBuf_11" SITE "R56C133D" ; + BLKNAME THE_TDC/GEN_Channels.11.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.11.hit_mux_ch; +LOCATE UGROUP "hitBuf_11" SITE "R105C4D" ; UGROUP "ff_en_11" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_11" SITE "R55C156D" ; + BLKNAME THE_TDC/GEN_Channels.11.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_11" SITE "R104C27D" ; # UGROUP "FC_12" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_12" SITE "R10C58D" ; + BLKNAME THE_TDC/GEN_Channels.12.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_12" SITE "R91C2D" ; UGROUP "hitBuf_12" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_12_hit_mux_ch; -LOCATE UGROUP "hitBuf_12" SITE "R11C60D" ; + BLKNAME THE_TDC/GEN_Channels.12.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.12.hit_mux_ch; +LOCATE UGROUP "hitBuf_12" SITE "R92C4D" ; UGROUP "ff_en_12" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_12" SITE "R10C83D" ; + BLKNAME THE_TDC/GEN_Channels.12.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_12" SITE "R91C27D" ; # UGROUP "FC_13" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_13" SITE "R23C58D" ; + BLKNAME THE_TDC/GEN_Channels.13.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_13" SITE "R8C2D" ; UGROUP "hitBuf_13" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_13_hit_mux_ch; -LOCATE UGROUP "hitBuf_13" SITE "R24C60D" ; + BLKNAME THE_TDC/GEN_Channels.13.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.13.hit_mux_ch; +LOCATE UGROUP "hitBuf_13" SITE "R9C4D" ; UGROUP "ff_en_13" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_13" SITE "R23C83D" ; + BLKNAME THE_TDC/GEN_Channels.13.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_13" SITE "R8C27D" ; # UGROUP "FC_14" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_14" SITE "R32C58D" ; + BLKNAME THE_TDC/GEN_Channels.14.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_14" SITE "R10C2D" ; UGROUP "hitBuf_14" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_14_hit_mux_ch; -LOCATE UGROUP "hitBuf_14" SITE "R33C60D" ; + BLKNAME THE_TDC/GEN_Channels.14.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.14.hit_mux_ch; +LOCATE UGROUP "hitBuf_14" SITE "R11C4D" ; UGROUP "ff_en_14" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_14" SITE "R32C83D" ; + BLKNAME THE_TDC/GEN_Channels.14.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_14" SITE "R10C27D" ; # UGROUP "FC_15" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_15" SITE "R37C58D" ; + BLKNAME THE_TDC/GEN_Channels.15.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_15" SITE "R21C2D" ; UGROUP "hitBuf_15" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_15_hit_mux_ch; -LOCATE UGROUP "hitBuf_15" SITE "R38C60D" ; + BLKNAME THE_TDC/GEN_Channels.15.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.15.hit_mux_ch; +LOCATE UGROUP "hitBuf_15" SITE "R22C4D" ; UGROUP "ff_en_15" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_15" SITE "R37C83D" ; + BLKNAME THE_TDC/GEN_Channels.15.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_15" SITE "R21C27D" ; # UGROUP "FC_16" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_16" SITE "R50C58D" ; + BLKNAME THE_TDC/GEN_Channels.16.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_16" SITE "R23C2D" ; UGROUP "hitBuf_16" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_16_hit_mux_ch; -LOCATE UGROUP "hitBuf_16" SITE "R51C60D" ; + BLKNAME THE_TDC/GEN_Channels.16.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.16.hit_mux_ch; +LOCATE UGROUP "hitBuf_16" SITE "R24C4D" ; UGROUP "ff_en_16" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_16" SITE "R50C83D" ; + BLKNAME THE_TDC/GEN_Channels.16.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_16" SITE "R23C27D" ; # UGROUP "FC_17" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_17" SITE "R66C131D" ; -UGROUP "hitBuf_17" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_17_hit_mux_ch; -LOCATE UGROUP "hitBuf_17" SITE "R67C133D" ; + BLKNAME THE_TDC/GEN_Channels.17.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_17" SITE "R104C58D" ; +UGROUP "hitBuf_17" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.17.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.17.hit_mux_ch; +LOCATE UGROUP "hitBuf_17" SITE "R105C60D" ; UGROUP "ff_en_17" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_17" SITE "R66C156D" ; + BLKNAME THE_TDC/GEN_Channels.17.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_17" SITE "R104C83D" ; # UGROUP "FC_18" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_18" SITE "R68C131D" ; -UGROUP "hitBuf_18" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_18_hit_mux_ch; -LOCATE UGROUP "hitBuf_18" SITE "R69C133D" ; + BLKNAME THE_TDC/GEN_Channels.18.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_18" SITE "R89C58D" ; +UGROUP "hitBuf_18" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.18.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.18.hit_mux_ch; +LOCATE UGROUP "hitBuf_18" SITE "R90C60D" ; UGROUP "ff_en_18" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_18" SITE "R68C156D" ; + BLKNAME THE_TDC/GEN_Channels.18.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_18" SITE "R89C83D" ; # UGROUP "FC_19" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_19" SITE "R71C131D" ; -UGROUP "hitBuf_19" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_19_hit_mux_ch; -LOCATE UGROUP "hitBuf_19" SITE "R72C133D" ; + BLKNAME THE_TDC/GEN_Channels.19.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_19" SITE "R91C58D" ; +UGROUP "hitBuf_19" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.19.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.19.hit_mux_ch; +LOCATE UGROUP "hitBuf_19" SITE "R92C60D" ; UGROUP "ff_en_19" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_19" SITE "R71C156D" ; + BLKNAME THE_TDC/GEN_Channels.19.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_19" SITE "R91C83D" ; # UGROUP "FC_20" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_20" SITE "R73C131D" ; -UGROUP "hitBuf_20" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_20_hit_mux_ch; -LOCATE UGROUP "hitBuf_20" SITE "R74C133D" ; + BLKNAME THE_TDC/GEN_Channels.20.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_20" SITE "R102C58D" ; +UGROUP "hitBuf_20" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.20.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.20.hit_mux_ch; +LOCATE UGROUP "hitBuf_20" SITE "R103C60D" ; UGROUP "ff_en_20" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_20" SITE "R73C156D" ; + BLKNAME THE_TDC/GEN_Channels.20.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_20" SITE "R102C83D" ; # UGROUP "FC_21" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_21" SITE "R84C131D" ; -UGROUP "hitBuf_21" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_21_hit_mux_ch; -LOCATE UGROUP "hitBuf_21" SITE "R85C133D" ; + BLKNAME THE_TDC/GEN_Channels.21.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_21" SITE "R111C58D" ; +UGROUP "hitBuf_21" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.21.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.21.hit_mux_ch; +LOCATE UGROUP "hitBuf_21" SITE "R112C60D" ; UGROUP "ff_en_21" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_21" SITE "R84C156D" ; + BLKNAME THE_TDC/GEN_Channels.21.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_21" SITE "R111C83D" ; # UGROUP "FC_22" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_22" SITE "R86C131D" ; -UGROUP "hitBuf_22" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_22_hit_mux_ch; -LOCATE UGROUP "hitBuf_22" SITE "R87C133D" ; + BLKNAME THE_TDC/GEN_Channels.22.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_22" SITE "R113C58D" ; +UGROUP "hitBuf_22" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.22.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.22.hit_mux_ch; +LOCATE UGROUP "hitBuf_22" SITE "R114C60D" ; UGROUP "ff_en_22" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_22" SITE "R86C156D" ; + BLKNAME THE_TDC/GEN_Channels.22.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_22" SITE "R113C83D" ; # UGROUP "FC_23" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_23" SITE "R89C131D" ; + BLKNAME THE_TDC/GEN_Channels.23.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_23" SITE "R68C2D" ; UGROUP "hitBuf_23" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_23_hit_mux_ch; -LOCATE UGROUP "hitBuf_23" SITE "R90C133D" ; + BLKNAME THE_TDC/GEN_Channels.23.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.23.hit_mux_ch; +LOCATE UGROUP "hitBuf_23" SITE "R69C4D" ; UGROUP "ff_en_23" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_23" SITE "R89C156D" ; + BLKNAME THE_TDC/GEN_Channels.23.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_23" SITE "R68C27D" ; # UGROUP "FC_24" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_24" SITE "R91C131D" ; + BLKNAME THE_TDC/GEN_Channels.24.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_24" SITE "R55C2D" ; UGROUP "hitBuf_24" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_24_hit_mux_ch; -LOCATE UGROUP "hitBuf_24" SITE "R92C133D" ; + BLKNAME THE_TDC/GEN_Channels.24.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.24.hit_mux_ch; +LOCATE UGROUP "hitBuf_24" SITE "R56C4D" ; UGROUP "ff_en_24" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_24" SITE "R91C156D" ; + BLKNAME THE_TDC/GEN_Channels.24.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_24" SITE "R55C27D" ; # UGROUP "FC_25" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_25" SITE "R102C131D" ; + BLKNAME THE_TDC/GEN_Channels.25.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_25" SITE "R53C2D" ; UGROUP "hitBuf_25" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_25_hit_mux_ch; -LOCATE UGROUP "hitBuf_25" SITE "R103C133D" ; + BLKNAME THE_TDC/GEN_Channels.25.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.25.hit_mux_ch; +LOCATE UGROUP "hitBuf_25" SITE "R54C4D" ; UGROUP "ff_en_25" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_25" SITE "R102C156D" ; + BLKNAME THE_TDC/GEN_Channels.25.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_25" SITE "R53C27D" ; # UGROUP "FC_26" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_26" SITE "R104C131D" ; + BLKNAME THE_TDC/GEN_Channels.26.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_26" SITE "R66C2D" ; UGROUP "hitBuf_26" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_26_hit_mux_ch; -LOCATE UGROUP "hitBuf_26" SITE "R105C133D" ; + BLKNAME THE_TDC/GEN_Channels.26.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.26.hit_mux_ch; +LOCATE UGROUP "hitBuf_26" SITE "R67C4D" ; UGROUP "ff_en_26" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_26" SITE "R104C156D" ; + BLKNAME THE_TDC/GEN_Channels.26.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_26" SITE "R66C27D" ; # UGROUP "FC_27" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_27" SITE "R111C131D" ; + BLKNAME THE_TDC/GEN_Channels.27.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_27" SITE "R111C2D" ; UGROUP "hitBuf_27" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_27_hit_mux_ch; -LOCATE UGROUP "hitBuf_27" SITE "R112C133D" ; + BLKNAME THE_TDC/GEN_Channels.27.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.27.hit_mux_ch; +LOCATE UGROUP "hitBuf_27" SITE "R112C4D" ; UGROUP "ff_en_27" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_27" SITE "R111C156D" ; + BLKNAME THE_TDC/GEN_Channels.27.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_27" SITE "R111C27D" ; # UGROUP "FC_28" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_28" SITE "R113C131D" ; + BLKNAME THE_TDC/GEN_Channels.28.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_28" SITE "R113C2D" ; UGROUP "hitBuf_28" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_28_hit_mux_ch; -LOCATE UGROUP "hitBuf_28" SITE "R114C133D" ; + BLKNAME THE_TDC/GEN_Channels.28.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.28.hit_mux_ch; +LOCATE UGROUP "hitBuf_28" SITE "R114C4D" ; UGROUP "ff_en_28" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_28" SITE "R113C156D" ; + BLKNAME THE_TDC/GEN_Channels.28.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_28" SITE "R113C27D" ; # UGROUP "FC_29" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_29" SITE "R91C58D" ; + BLKNAME THE_TDC/GEN_Channels.29.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_29" SITE "R8C58D" ; UGROUP "hitBuf_29" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_29_hit_mux_ch; -LOCATE UGROUP "hitBuf_29" SITE "R92C60D" ; + BLKNAME THE_TDC/GEN_Channels.29.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.29.hit_mux_ch; +LOCATE UGROUP "hitBuf_29" SITE "R9C60D" ; UGROUP "ff_en_29" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_29" SITE "R91C83D" ; + BLKNAME THE_TDC/GEN_Channels.29.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_29" SITE "R8C83D" ; # UGROUP "FC_30" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_30" SITE "R104C58D" ; + BLKNAME THE_TDC/GEN_Channels.30.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_30" SITE "R10C58D" ; UGROUP "hitBuf_30" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_30_hit_mux_ch; -LOCATE UGROUP "hitBuf_30" SITE "R105C60D" ; + BLKNAME THE_TDC/GEN_Channels.30.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.30.hit_mux_ch; +LOCATE UGROUP "hitBuf_30" SITE "R11C60D" ; UGROUP "ff_en_30" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_30" SITE "R104C83D" ; + BLKNAME THE_TDC/GEN_Channels.30.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_30" SITE "R10C83D" ; # UGROUP "FC_31" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_31" SITE "R113C58D" ; + BLKNAME THE_TDC/GEN_Channels.31.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_31" SITE "R21C58D" ; UGROUP "hitBuf_31" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_31_hit_mux_ch; -LOCATE UGROUP "hitBuf_31" SITE "R114C60D" ; + BLKNAME THE_TDC/GEN_Channels.31.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.31.hit_mux_ch; +LOCATE UGROUP "hitBuf_31" SITE "R22C60D" ; UGROUP "ff_en_31" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_31" SITE "R113C83D" ; + BLKNAME THE_TDC/GEN_Channels.31.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_31" SITE "R21C83D" ; # UGROUP "FC_32" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_32" SITE "R84C58D" ; + BLKNAME THE_TDC/GEN_Channels.32.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_32" SITE "R23C58D" ; UGROUP "hitBuf_32" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_32_hit_mux_ch; -LOCATE UGROUP "hitBuf_32" SITE "R85C60D" ; + BLKNAME THE_TDC/GEN_Channels.32.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.32.hit_mux_ch; +LOCATE UGROUP "hitBuf_32" SITE "R24C60D" ; UGROUP "ff_en_32" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_32" SITE "R84C83D" ; + BLKNAME THE_TDC/GEN_Channels.32.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_32" SITE "R23C83D" ; # UGROUP "FC_33" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_33" SITE "R8C58D" ; + BLKNAME THE_TDC/GEN_Channels.33.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_33" SITE "R30C58D" ; UGROUP "hitBuf_33" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_33_hit_mux_ch; -LOCATE UGROUP "hitBuf_33" SITE "R9C60D" ; + BLKNAME THE_TDC/GEN_Channels.33.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.33.hit_mux_ch; +LOCATE UGROUP "hitBuf_33" SITE "R31C60D" ; UGROUP "ff_en_33" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_33" SITE "R8C83D" ; + BLKNAME THE_TDC/GEN_Channels.33.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_33" SITE "R30C83D" ; # UGROUP "FC_34" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_34" SITE "R21C58D" ; + BLKNAME THE_TDC/GEN_Channels.34.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_34" SITE "R32C58D" ; UGROUP "hitBuf_34" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_34_hit_mux_ch; -LOCATE UGROUP "hitBuf_34" SITE "R22C60D" ; + BLKNAME THE_TDC/GEN_Channels.34.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.34.hit_mux_ch; +LOCATE UGROUP "hitBuf_34" SITE "R33C60D" ; UGROUP "ff_en_34" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_34" SITE "R21C83D" ; + BLKNAME THE_TDC/GEN_Channels.34.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_34" SITE "R32C83D" ; # UGROUP "FC_35" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_35" SITE "R30C58D" ; + BLKNAME THE_TDC/GEN_Channels.35.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_35" SITE "R35C58D" ; UGROUP "hitBuf_35" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_35_hit_mux_ch; -LOCATE UGROUP "hitBuf_35" SITE "R31C60D" ; + BLKNAME THE_TDC/GEN_Channels.35.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.35.hit_mux_ch; +LOCATE UGROUP "hitBuf_35" SITE "R35C60D" ; UGROUP "ff_en_35" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_35" SITE "R30C83D" ; + BLKNAME THE_TDC/GEN_Channels.35.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_35" SITE "R35C83D" ; # UGROUP "FC_36" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_36" SITE "R35C58D" ; + BLKNAME THE_TDC/GEN_Channels.36.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_36" SITE "R37C58D" ; UGROUP "hitBuf_36" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_36_hit_mux_ch; -LOCATE UGROUP "hitBuf_36" SITE "R36C60D" ; + BLKNAME THE_TDC/GEN_Channels.36.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.36.hit_mux_ch; +LOCATE UGROUP "hitBuf_36" SITE "R38C60D" ; UGROUP "ff_en_36" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_36" SITE "R35C83D" ; + BLKNAME THE_TDC/GEN_Channels.36.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_36" SITE "R37C83D" ; # UGROUP "FC_37" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200/SimAdderNo_FC; + BLKNAME THE_TDC/GEN_Channels.37.Channels/Channel200/SimAdderNo.FC; LOCATE UGROUP "FC_37" SITE "R48C58D" ; UGROUP "hitBuf_37" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_37_hit_mux_ch; + BLKNAME THE_TDC/GEN_Channels.37.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.37.hit_mux_ch; LOCATE UGROUP "hitBuf_37" SITE "R49C60D" ; UGROUP "ff_en_37" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200/ff_array_en_i_1_i; + BLKNAME THE_TDC/GEN_Channels.37.Channels/Channel200/ff_array_en_i_1_i; LOCATE UGROUP "ff_en_37" SITE "R48C83D" ; # UGROUP "FC_38" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_38" SITE "R8C2D" ; + BLKNAME THE_TDC/GEN_Channels.38.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_38" SITE "R50C58D" ; UGROUP "hitBuf_38" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_38_hit_mux_ch; -LOCATE UGROUP "hitBuf_38" SITE "R9C4D" ; + BLKNAME THE_TDC/GEN_Channels.38.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.38.hit_mux_ch; +LOCATE UGROUP "hitBuf_38" SITE "R51C60D" ; UGROUP "ff_en_38" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_38" SITE "R8C27D" ; + BLKNAME THE_TDC/GEN_Channels.38.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_38" SITE "R50C83D" ; # UGROUP "FC_39" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_39" SITE "R10C2D" ; + BLKNAME THE_TDC/GEN_Channels.39.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_39" SITE "R89C131D" ; UGROUP "hitBuf_39" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_39_hit_mux_ch; -LOCATE UGROUP "hitBuf_39" SITE "R11C4D" ; + BLKNAME THE_TDC/GEN_Channels.39.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.39.hit_mux_ch; +LOCATE UGROUP "hitBuf_39" SITE "R90C133D" ; UGROUP "ff_en_39" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_39" SITE "R10C27D" ; + BLKNAME THE_TDC/GEN_Channels.39.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_39" SITE "R89C156D" ; # UGROUP "FC_40" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_40" SITE "R21C2D" ; + BLKNAME THE_TDC/GEN_Channels.40.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_40" SITE "R91C131D" ; UGROUP "hitBuf_40" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_40_hit_mux_ch; -LOCATE UGROUP "hitBuf_40" SITE "R22C4D" ; + BLKNAME THE_TDC/GEN_Channels.40.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.40.hit_mux_ch; +LOCATE UGROUP "hitBuf_40" SITE "R92C133D" ; UGROUP "ff_en_40" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_40" SITE "R21C27D" ; + BLKNAME THE_TDC/GEN_Channels.40.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_40" SITE "R91C156D" ; # UGROUP "FC_41" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_41" SITE "R23C2D" ; + BLKNAME THE_TDC/GEN_Channels.41.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_41" SITE "R102C131D" ; UGROUP "hitBuf_41" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_41_hit_mux_ch; -LOCATE UGROUP "hitBuf_41" SITE "R24C4D" ; + BLKNAME THE_TDC/GEN_Channels.41.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.41.hit_mux_ch; +LOCATE UGROUP "hitBuf_41" SITE "R103C133D" ; UGROUP "ff_en_41" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_41" SITE "R23C27D" ; + BLKNAME THE_TDC/GEN_Channels.41.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_41" SITE "R102C156D" ; # UGROUP "FC_42" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_42" SITE "R30C2D" ; + BLKNAME THE_TDC/GEN_Channels.42.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_42" SITE "R104C131D" ; UGROUP "hitBuf_42" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_42_hit_mux_ch; -LOCATE UGROUP "hitBuf_42" SITE "R31C4D" ; + BLKNAME THE_TDC/GEN_Channels.42.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.42.hit_mux_ch; +LOCATE UGROUP "hitBuf_42" SITE "R105C133D" ; UGROUP "ff_en_42" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_42" SITE "R30C27D" ; + BLKNAME THE_TDC/GEN_Channels.42.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_42" SITE "R104C156D" ; # UGROUP "FC_43" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_43" SITE "R32C2D" ; -UGROUP "hitBuf_43" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_43_hit_mux_ch; -LOCATE UGROUP "hitBuf_43" SITE "R33C4D" ; + BLKNAME THE_TDC/GEN_Channels.43.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_43" SITE "R86C131D" ; +UGROUP "hitBuf_43" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.43.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.43.hit_mux_ch; +LOCATE UGROUP "hitBuf_43" SITE "R87C133D" ; UGROUP "ff_en_43" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_43" SITE "R32C27D" ; + BLKNAME THE_TDC/GEN_Channels.43.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_43" SITE "R86C156D" ; # UGROUP "FC_44" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_44" SITE "R35C2D" ; -UGROUP "hitBuf_44" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_44_hit_mux_ch; -LOCATE UGROUP "hitBuf_44" SITE "R36C4D" ; + BLKNAME THE_TDC/GEN_Channels.44.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_44" SITE "R84C131D" ; +UGROUP "hitBuf_44" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.44.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.44.hit_mux_ch; +LOCATE UGROUP "hitBuf_44" SITE "R85C133D" ; UGROUP "ff_en_44" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_44" SITE "R35C27D" ; + BLKNAME THE_TDC/GEN_Channels.44.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_44" SITE "R84C156D" ; # UGROUP "FC_45" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_45" SITE "R37C2D" ; -UGROUP "hitBuf_45" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_45_hit_mux_ch; -LOCATE UGROUP "hitBuf_45" SITE "R38C4D" ; + BLKNAME THE_TDC/GEN_Channels.45.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_45" SITE "R73C131D" ; +UGROUP "hitBuf_45" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.45.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.45.hit_mux_ch; +LOCATE UGROUP "hitBuf_45" SITE "R74C133D" ; UGROUP "ff_en_45" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_45" SITE "R37C27D" ; + BLKNAME THE_TDC/GEN_Channels.45.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_45" SITE "R73C156D" ; # UGROUP "FC_46" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_46" SITE "R48C2D" ; -UGROUP "hitBuf_46" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_46_hit_mux_ch; -LOCATE UGROUP "hitBuf_46" SITE "R49C4D" ; + BLKNAME THE_TDC/GEN_Channels.46.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_46" SITE "R71C131D" ; +UGROUP "hitBuf_46" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.46.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.46.hit_mux_ch; +LOCATE UGROUP "hitBuf_46" SITE "R72C133D" ; UGROUP "ff_en_46" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_46" SITE "R48C27D" ; + BLKNAME THE_TDC/GEN_Channels.46.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_46" SITE "R71C156D" ; # UGROUP "FC_47" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_47" SITE "R50C2D" ; + BLKNAME THE_TDC/GEN_Channels.47.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_47" SITE "R111C131D" ; UGROUP "hitBuf_47" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_47_hit_mux_ch; -LOCATE UGROUP "hitBuf_47" SITE "R51C4D" ; + BLKNAME THE_TDC/GEN_Channels.47.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.47.hit_mux_ch; +LOCATE UGROUP "hitBuf_47" SITE "R112C133D" ; UGROUP "ff_en_47" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_47" SITE "R50C27D" ; + BLKNAME THE_TDC/GEN_Channels.47.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_47" SITE "R111C156D" ; # UGROUP "FC_48" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_48" SITE "R53C2D" ; + BLKNAME THE_TDC/GEN_Channels.48.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_48" SITE "R113C131D" ; UGROUP "hitBuf_48" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_48_hit_mux_ch; -LOCATE UGROUP "hitBuf_48" SITE "R54C4D" ; + BLKNAME THE_TDC/GEN_Channels.48.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.48.hit_mux_ch; +LOCATE UGROUP "hitBuf_48" SITE "R114C133D" ; UGROUP "ff_en_48" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_48" SITE "R53C27D" ; + BLKNAME THE_TDC/GEN_Channels.48.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_48" SITE "R113C156D" ; # -UGROUP "FC_49" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_49" SITE "R55C2D" ; +UGROUP "FC_49" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels.49.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_49" SITE "R8C131D" ; UGROUP "hitBuf_49" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_49_hit_mux_ch; -LOCATE UGROUP "hitBuf_49" SITE "R56C4D" ; -UGROUP "ff_en_49" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_49" SITE "R55C27D" ; -# -UGROUP "FC_50" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_50" SITE "R89C58D" ; + BLKNAME THE_TDC/GEN_Channels.49_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.49_hit_mux_ch; +LOCATE UGROUP "hitBuf_49" SITE "R9C133D" ; +UGROUP "ff_en_49" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.49.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_49" SITE "R8C156D" ; +# +UGROUP "FC_50" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels.50.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_50" SITE "R10C131D" ; UGROUP "hitBuf_50" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_50_hit_mux_ch; -LOCATE UGROUP "hitBuf_50" SITE "R90C60D" ; -UGROUP "ff_en_50" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_50" SITE "R89C83D" ; + BLKNAME THE_TDC/GEN_Channels.50_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.50_hit_mux_ch; +LOCATE UGROUP "hitBuf_50" SITE "R11C133D" ; +UGROUP "ff_en_50" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.50.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_50" SITE "R10C156D" ; # UGROUP "FC_51" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_51" SITE "R102C58D" ; -UGROUP "hitBuf_51" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_51_hit_mux_ch; -LOCATE UGROUP "hitBuf_51" SITE "R103C60D" ; + BLKNAME THE_TDC/GEN_Channels.51.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_51" SITE "R21C131D" ; +UGROUP "hitBuf_51" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.51_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.51_hit_mux_ch; +LOCATE UGROUP "hitBuf_51" SITE "R22C133D" ; UGROUP "ff_en_51" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_51" SITE "R102C83D" ; + BLKNAME THE_TDC/GEN_Channels.51.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_51" SITE "R21C156D" ; # UGROUP "FC_52" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_52" SITE "R111C58D" ; -UGROUP "hitBuf_52" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_52_hit_mux_ch; -LOCATE UGROUP "hitBuf_52" SITE "R112C60D" ; + BLKNAME THE_TDC/GEN_Channels.52.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_52" SITE "R23C131D" ; +UGROUP "hitBuf_52" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.52_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.52_hit_mux_ch; +LOCATE UGROUP "hitBuf_52" SITE "R24C133D" ; UGROUP "ff_en_52" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_52" SITE "R111C83D" ; + BLKNAME THE_TDC/GEN_Channels.52.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_52" SITE "R23C156D" ; # UGROUP "FC_53" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_53" SITE "R66C2D" ; -UGROUP "hitBuf_53" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_53_hit_mux_ch; -LOCATE UGROUP "hitBuf_53" SITE "R67C4D" ; + BLKNAME THE_TDC/GEN_Channels.53.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_53" SITE "R30C131D" ; +UGROUP "hitBuf_53" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.53_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.53_hit_mux_ch; +LOCATE UGROUP "hitBuf_53" SITE "R31C133D" ; UGROUP "ff_en_53" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_53" SITE "R66C27D" ; + BLKNAME THE_TDC/GEN_Channels.53.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_53" SITE "R30C156D" ; # UGROUP "FC_54" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_54" SITE "R68C2D" ; -UGROUP "hitBuf_54" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_54_hit_mux_ch; -LOCATE UGROUP "hitBuf_54" SITE "R69C4D" ; + BLKNAME THE_TDC/GEN_Channels.54.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_54" SITE "R32C131D" ; +UGROUP "hitBuf_54" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.54_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.54_hit_mux_ch; +LOCATE UGROUP "hitBuf_54" SITE "R33C133D" ; UGROUP "ff_en_54" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_54" SITE "R68C27D" ; + BLKNAME THE_TDC/GEN_Channels.54.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_54" SITE "R32C156D" ; # UGROUP "FC_55" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_55" SITE "R71C2D" ; -UGROUP "hitBuf_55" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_55_hit_mux_ch; -LOCATE UGROUP "hitBuf_55" SITE "R72C4D" ; + BLKNAME THE_TDC/GEN_Channels.55.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_55" SITE "R35C131D" ; +UGROUP "hitBuf_55" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.55_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.55_hit_mux_ch; +LOCATE UGROUP "hitBuf_55" SITE "R36C133D" ; UGROUP "ff_en_55" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_55" SITE "R71C27D" ; + BLKNAME THE_TDC/GEN_Channels.55.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_55" SITE "R35C156D" ; # UGROUP "FC_56" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_56" SITE "R73C2D" ; -UGROUP "hitBuf_56" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_56_hit_mux_ch; -LOCATE UGROUP "hitBuf_56" SITE "R74C4D" ; + BLKNAME THE_TDC/GEN_Channels.56.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_56" SITE "R37C131D" ; +UGROUP "hitBuf_56" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.56_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.56_hit_mux_ch; +LOCATE UGROUP "hitBuf_56" SITE "R38C133D" ; UGROUP "ff_en_56" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_56" SITE "R73C27D" ; + BLKNAME THE_TDC/GEN_Channels.56.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_56" SITE "R37C156D" ; # UGROUP "FC_57" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_57" SITE "R84C2D" ; -UGROUP "hitBuf_57" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_57_hit_mux_ch; -LOCATE UGROUP "hitBuf_57" SITE "R85C4D" ; + BLKNAME THE_TDC/GEN_Channels.57.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_57" SITE "R48C131D" ; +UGROUP "hitBuf_57" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.57_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.57_hit_mux_ch; +LOCATE UGROUP "hitBuf_57" SITE "R49C133D" ; UGROUP "ff_en_57" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_57" SITE "R84C27D" ; + BLKNAME THE_TDC/GEN_Channels.57.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_57" SITE "R48C156D" ; # UGROUP "FC_58" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_58" SITE "R86C2D" ; -UGROUP "hitBuf_58" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_58_hit_mux_ch; -LOCATE UGROUP "hitBuf_58" SITE "R87C4D" ; + BLKNAME THE_TDC/GEN_Channels.58.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_58" SITE "R50C131D" ; +UGROUP "hitBuf_58" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.58_Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.58.hit_mux_ch; +LOCATE UGROUP "hitBuf_58" SITE "R51C133D" ; UGROUP "ff_en_58" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_58" SITE "R86C27D" ; + BLKNAME THE_TDC/GEN_Channels.58.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_58" SITE "R50C156D" ; # UGROUP "FC_59" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_59" SITE "R89C2D" ; -UGROUP "hitBuf_59" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_59_hit_mux_ch; -LOCATE UGROUP "hitBuf_59" SITE "R90C4D" ; + BLKNAME THE_TDC/GEN_Channels.59.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_59" SITE "R53C131D" ; +UGROUP "hitBuf_59" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.59.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.59.hit_mux_ch; +LOCATE UGROUP "hitBuf_59" SITE "R54C133D" ; UGROUP "ff_en_59" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_59" SITE "R89C27D" ; + BLKNAME THE_TDC/GEN_Channels.59.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_59" SITE "R53C156D" ; # UGROUP "FC_60" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_60" SITE "R91C2D" ; + BLKNAME THE_TDC/GEN_Channels.60.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_60" SITE "R55C131D" ; UGROUP "hitBuf_60" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_60_hit_mux_ch; -LOCATE UGROUP "hitBuf_60" SITE "R92C4D" ; + BLKNAME THE_TDC/GEN_Channels.60.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.60.hit_mux_ch; +LOCATE UGROUP "hitBuf_60" SITE "R56C133D" ; UGROUP "ff_en_60" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_60" SITE "R91C27D" ; + BLKNAME THE_TDC/GEN_Channels.60.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_60" SITE "R55C156D" ; # UGROUP "FC_61" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_61" SITE "R102C2D" ; -UGROUP "hitBuf_61" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_61_hit_mux_ch; -LOCATE UGROUP "hitBuf_61" SITE "R103C4D" ; + BLKNAME THE_TDC/GEN_Channels.61.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_61" SITE "R66C131D" ; +UGROUP "hitBuf_61" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.61.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.61.hit_mux_ch; +LOCATE UGROUP "hitBuf_61" SITE "R67C133D" ; UGROUP "ff_en_61" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_61" SITE "R102C27D" ; + BLKNAME THE_TDC/GEN_Channels.61.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_61" SITE "R66C156D" ; # UGROUP "FC_62" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_62" SITE "R104C2D" ; -UGROUP "hitBuf_62" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_62_hit_mux_ch; -LOCATE UGROUP "hitBuf_62" SITE "R105C4D" ; + BLKNAME THE_TDC/GEN_Channels.62.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_62" SITE "R68C131D" ; +UGROUP "hitBuf_62" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels.62.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.62.hit_mux_ch; +LOCATE UGROUP "hitBuf_62" SITE "R69C133D" ; UGROUP "ff_en_62" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_62" SITE "R104C27D" ; + BLKNAME THE_TDC/GEN_Channels.62.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_62" SITE "R68C156D" ; # UGROUP "FC_63" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_63" SITE "R111C2D" ; + BLKNAME THE_TDC/GEN_Channels.63.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_63" SITE "R86C58D" ; UGROUP "hitBuf_63" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_63_hit_mux_ch; -LOCATE UGROUP "hitBuf_63" SITE "R112C4D" ; + BLKNAME THE_TDC/GEN_Channels.63.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.63.hit_mux_ch; +LOCATE UGROUP "hitBuf_63" SITE "R87C60D" ; UGROUP "ff_en_63" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_63" SITE "R111C27D" ; + BLKNAME THE_TDC/GEN_Channels.63.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_63" SITE "R86C83D" ; # UGROUP "FC_64" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_64" SITE "R113C2D" ; + BLKNAME THE_TDC/GEN_Channels.64.Channels/Channel200/SimAdderNo.FC; +LOCATE UGROUP "FC_64" SITE "R84C58D" ; UGROUP "hitBuf_64" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_64_hit_mux_ch; -LOCATE UGROUP "hitBuf_64" SITE "R114C4D" ; + BLKNAME THE_TDC/GEN_Channels.64.Channels/sync_q_0[2] + BLKNAME THE_TDC/GEN_hit_mux.64.hit_mux_ch; +LOCATE UGROUP "hitBuf_64" SITE "R85C60D" ; UGROUP "ff_en_64" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_64" SITE "R113C27D" ; + BLKNAME THE_TDC/GEN_Channels.64.Channels/Channel200/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_64" SITE "R84C83D" ; +# ############################################################################## ## CHANNEL PLACEMENTS ## ############################################################################## -UGROUP "EF_ref" BBOX 16 52 - BLKNAME THE_TDC/ReferenceChannel/Channel200 - BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200 - BLKNAME THE_TDC/ReferenceChannel/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_1_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_2_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_3_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[0] - BLKNAME THE_TDC/trig_win_end_tdc_i[1] - BLKNAME THE_TDC/trig_win_end_tdc_i[2] - BLKNAME THE_TDC/trig_win_end_tdc_i[3] -; -LOCATE UGROUP "EF_ref" SITE "R8C130D" ; - -UGROUP "EF_4" BBOX 10 52 - BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_4_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_5_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[4] - BLKNAME THE_TDC/trig_win_end_tdc_i[5] -; -LOCATE UGROUP "EF_4" SITE "R24C130D" ; - -UGROUP "EF_6" BBOX 17 52 - BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_6_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_7_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_8_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_9_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[6] - BLKNAME THE_TDC/trig_win_end_tdc_i[7] - BLKNAME THE_TDC/trig_win_end_tdc_i[8] - BLKNAME THE_TDC/trig_win_end_tdc_i[9] -; -LOCATE UGROUP "EF_6" SITE "R35C130D" ; - -UGROUP "EF_10" BBOX 17 52 - BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_10_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_11_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_17_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_18_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[10] - BLKNAME THE_TDC/trig_win_end_tdc_i[11] - BLKNAME THE_TDC/trig_win_end_tdc_i[17] - BLKNAME THE_TDC/trig_win_end_tdc_i[18] -; -LOCATE UGROUP "EF_10" SITE "R53C130D" ; - -UGROUP "EF_12" BBOX 16 52 - BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_12_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_13_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_33_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_34_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[12] - BLKNAME THE_TDC/trig_win_end_tdc_i[13] - BLKNAME THE_TDC/trig_win_end_tdc_i[33] - BLKNAME THE_TDC/trig_win_end_tdc_i[34] -; -LOCATE UGROUP "EF_12" SITE "R8C57D" ; - -UGROUP "EF_14" BBOX 10 52 - BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_14_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_35_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[14] - BLKNAME THE_TDC/trig_win_end_tdc_i[35] -; -LOCATE UGROUP "EF_14" SITE "R24C57D" ; - -UGROUP "EF_15" BBOX 17 52 - BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_15_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_16_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_36_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_37_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[15] - BLKNAME THE_TDC/trig_win_end_tdc_i[16] - BLKNAME THE_TDC/trig_win_end_tdc_i[36] - BLKNAME THE_TDC/trig_win_end_tdc_i[37] -; -LOCATE UGROUP "EF_15" SITE "R35C57D" ; - -UGROUP "EF_19" BBOX 17 52 - BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_19_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_20_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_21_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_22_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[19] - BLKNAME THE_TDC/trig_win_end_tdc_i[20] - BLKNAME THE_TDC/trig_win_end_tdc_i[21] - BLKNAME THE_TDC/trig_win_end_tdc_i[22] -; -LOCATE UGROUP "EF_19" SITE "R71C130D" ; - -UGROUP "EF_23" BBOX 16 52 - BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_23_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_24_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_25_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_26_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[23] - BLKNAME THE_TDC/trig_win_end_tdc_i[24] - BLKNAME THE_TDC/trig_win_end_tdc_i[25] - BLKNAME THE_TDC/trig_win_end_tdc_i[26] -; -LOCATE UGROUP "EF_23" SITE "R89C130D" ; - -UGROUP "EF_27" BBOX 10 52 - BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_27_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_28_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[27] - BLKNAME THE_TDC/trig_win_end_tdc_i[28] -; -LOCATE UGROUP "EF_27" SITE "R105C130D" ; - -UGROUP "EF_29" BBOX 16 52 - BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_29_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_30_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_50_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_51_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[29] - BLKNAME THE_TDC/trig_win_end_tdc_i[30] - BLKNAME THE_TDC/trig_win_end_tdc_i[50] - BLKNAME THE_TDC/trig_win_end_tdc_i[51]; -LOCATE UGROUP "EF_29" SITE "R89C57D" ; - -UGROUP "EF_31" BBOX 10 52 - BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_31_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_52_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[31] - BLKNAME THE_TDC/trig_win_end_tdc_i[52] -; -LOCATE UGROUP "EF_31" SITE "R105C57D" ; - -UGROUP "EF_32" BBOX 10 24 - BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_32_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[32] -; -LOCATE UGROUP "EF_32" SITE "R78C71D" ; - -UGROUP "EF_38" BBOX 16 52 - BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_38_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_39_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_40_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_41_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[38] - BLKNAME THE_TDC/trig_win_end_tdc_i[39] - BLKNAME THE_TDC/trig_win_end_tdc_i[40] - BLKNAME THE_TDC/trig_win_end_tdc_i[41] -; -LOCATE UGROUP "EF_38" SITE "R8C2D" ; - -UGROUP "EF_42" BBOX 10 52 - BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_42_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_43_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[42] - BLKNAME THE_TDC/trig_win_end_tdc_i[43] -; -LOCATE UGROUP "EF_42" SITE "R24C2D" ; - -UGROUP "EF_44" BBOX 17 52 - BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_44_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_45_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_46_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_47_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[44] - BLKNAME THE_TDC/trig_win_end_tdc_i[45] - BLKNAME THE_TDC/trig_win_end_tdc_i[46] - BLKNAME THE_TDC/trig_win_end_tdc_i[47] -; -LOCATE UGROUP "EF_44" SITE "R35C2D" ; - -UGROUP "EF_48" BBOX 17 52 - BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_48_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_49_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_53_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_54_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[48] - BLKNAME THE_TDC/trig_win_end_tdc_i[49] - BLKNAME THE_TDC/trig_win_end_tdc_i[53] - BLKNAME THE_TDC/trig_win_end_tdc_i[54] -; -LOCATE UGROUP "EF_48" SITE "R53C2D" ; - -UGROUP "EF_55" BBOX 17 52 - BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_55_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_56_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_57_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_58_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[55] - BLKNAME THE_TDC/trig_win_end_tdc_i[56] - BLKNAME THE_TDC/trig_win_end_tdc_i[57] - BLKNAME THE_TDC/trig_win_end_tdc_i[58] -; -LOCATE UGROUP "EF_55" SITE "R71C2D" ; - -UGROUP "EF_59" BBOX 16 52 - BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_59_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_60_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_61_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_62_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[59] - BLKNAME THE_TDC/trig_win_end_tdc_i[60] - BLKNAME THE_TDC/trig_win_end_tdc_i[61] - BLKNAME THE_TDC/trig_win_end_tdc_i[62] -; -LOCATE UGROUP "EF_59" SITE "R89C2D" ; - -UGROUP "EF_63" BBOX 10 52 - BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_63_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/GEN_Channels_64_Channels/Buffer_64_The_Buffer - BLKNAME THE_TDC/trig_win_end_tdc_i[63] - BLKNAME THE_TDC/trig_win_end_tdc_i[64] -; -LOCATE UGROUP "EF_63" SITE "R105C2D" ; +UGROUP "EF_LT2" BBOX 10 54 + BLKNAME THE_TDC/ReferenceChannel/Channel200 + BLKNAME THE_TDC/ReferenceChannel/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.1.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.1.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_LT2" SITE "R24C2D" ; +UGROUP "EF_LC1" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels.2.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.2.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.3.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.3.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.4.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.4.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.5.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.5.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_LC1" SITE "R35C2D" ; +UGROUP "EF_LC3" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels.6.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.6.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.7.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.7.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.8.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.8.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.9.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.9.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_LC3" SITE "R71C2D" ; +UGROUP "EF_LB1" BBOX 16 54 + BLKNAME THE_TDC/GEN_Channels.10.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.10.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.11.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.11.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.12.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.12.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_LB1" SITE "R89C2D" ; +UGROUP "EF_LT1" BBOX 16 54 + BLKNAME THE_TDC/GEN_Channels.13.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.13.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.14.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.14.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.15.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.15.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.16.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.16.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_LT1" SITE "R8C2D" ; +UGROUP "EF_CB1" BBOX 16 54 + BLKNAME THE_TDC/GEN_Channels.17.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.17.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.18.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.18.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.19.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.19.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.20.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.20.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_CB1" SITE "R89C56D" ; +UGROUP "EF_CB2" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels.21.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.21.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.22.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.22.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_CB2" SITE "R105C56D" ; +UGROUP "EF_LC2" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels.23.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.23.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.24.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.24.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.25.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.25.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.26.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.26.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_LC2" SITE "R53C2D" ; +UGROUP "EF_LB2" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels.27.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.27.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.28.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.28.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_LB2" SITE "R105C2D" ; +UGROUP "EF_CT1" BBOX 16 54 + BLKNAME THE_TDC/GEN_Channels.29.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.29.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.30.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.30.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.31.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.31.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.32.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.32.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_CT1" SITE "R8C56D" ; +UGROUP "EF_CT2" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels.33.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.33.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.34.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.34.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_CT2" SITE "R24C56D" ; +UGROUP "EF_CC1" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels.35.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.35.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.36.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.36.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.37.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.37.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.38.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.38.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_CC1" SITE "R35C56D" ; +UGROUP "EF_RB1" BBOX 16 54 + BLKNAME THE_TDC/GEN_Channels.39.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.39.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.40.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.40.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.41.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.41.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.42.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.42.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_RB1" SITE "R89C128D" ; +UGROUP "EF_RC3" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels.43.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.43.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.44.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.44.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.45.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.45.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.46.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.46.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_RC3" SITE "R71C128D" ; +UGROUP "EF_RB2" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels.47.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.47.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.48.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.48.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_RB2" SITE "R105C128D" ; +UGROUP "EF_RT1" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels.49.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.49.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.50.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.50.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.51.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.51.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.52.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.52.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_RT1" SITE "R8C128D" ; +UGROUP "EF_RT2" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels.53.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.53.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.54.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.54.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_RT2" SITE "R24C128D" ; +UGROUP "EF_RC1" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels.55.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.55.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.56.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.56.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.57.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.57.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.58.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.58.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_RC1" SITE "R35C128D" ; +UGROUP "EF_RC2" BBOX 17 54 + BLKNAME THE_TDC/GEN_Channels.59.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.59.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.60.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.60.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.61.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.61.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.62.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.62.Channels/Buffer_64.The_Buffer + ; +LOCATE UGROUP "EF_RC2" SITE "R53C128D" ; +UGROUP "EF_CC3" BBOX 10 54 + BLKNAME THE_TDC/GEN_Channels.63.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.64.Channels/Channel200 + BLKNAME THE_TDC/GEN_Channels.63.Channels/Buffer_64.The_Buffer + BLKNAME THE_TDC/GEN_Channels.64.Channels/Buffer_64.The_Buffer; +LOCATE UGROUP "EF_CC3" SITE "R78C56D" ; ############################################################################# ## Stretcher ############################################################################# -UGROUP "Stretcher_1_4_A" BBOX 2 2 - BLKNAME THE_TDC/GEN_HitBlock.1.gen_double.Stretcher_1/pulse_d1_RNO - BLKNAME THE_TDC/GEN_HitBlock.1.gen_double.Stretcher_1/pulse_d3_RNO - BLKNAME THE_TDC/GEN_HitBlock.2.gen_double.Stretcher_1/pulse_d1_RNO - BLKNAME THE_TDC/GEN_HitBlock.2.gen_double.Stretcher_1/pulse_d3_RNO - BLKNAME THE_TDC/GEN_HitBlock.3.gen_double.Stretcher_1/pulse_d1_RNO - BLKNAME THE_TDC/GEN_HitBlock.3.gen_double.Stretcher_1/pulse_d3_RNO - BLKNAME THE_TDC/GEN_HitBlock.4.gen_double.Stretcher_1/pulse_d1_RNO - BLKNAME THE_TDC/GEN_HitBlock.4.gen_double.Stretcher_1/pulse_d3_RNO +UGROUP "Stretcher_A" BBOX 7 7 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_A_1 ; -LOCATE UGROUP "Stretcher_1_4_A" SITE "R122C180D"; -UGROUP "Stretcher_1_4_B" BBOX 2 2 - BLKNAME THE_TDC/GEN_HitBlock.1.gen_double.Stretcher_1/pulse_d2_RNO - BLKNAME THE_TDC/GEN_HitBlock.1.gen_double.Stretcher_1/pulse_d4_RNO - BLKNAME THE_TDC/GEN_HitBlock.2.gen_double.Stretcher_1/pulse_d2_RNO - BLKNAME THE_TDC/GEN_HitBlock.2.gen_double.Stretcher_1/pulse_d4_RNO - BLKNAME THE_TDC/GEN_HitBlock.3.gen_double.Stretcher_1/pulse_d2_RNO - BLKNAME THE_TDC/GEN_HitBlock.3.gen_double.Stretcher_1/pulse_d4_RNO - BLKNAME THE_TDC/GEN_HitBlock.4.gen_double.Stretcher_1/pulse_d2_RNO - BLKNAME THE_TDC/GEN_HitBlock.4.gen_double.Stretcher_1/pulse_d4_RNO -; -LOCATE UGROUP "Stretcher_1_4_B" SITE "R2C2D"; +LOCATE UGROUP "Stretcher_A" SITE "R117C175D"; -UGROUP "Stretcher_5_8_A" BBOX 2 2 - BLKNAME THE_TDC/GEN_HitBlock.5.gen_double.Stretcher_1/pulse_d1_RNO - BLKNAME THE_TDC/GEN_HitBlock.5.gen_double.Stretcher_1/pulse_d3_RNO - BLKNAME THE_TDC/GEN_HitBlock.6.gen_double.Stretcher_1/pulse_d1_RNO - BLKNAME THE_TDC/GEN_HitBlock.6.gen_double.Stretcher_1/pulse_d3_RNO - BLKNAME THE_TDC/GEN_HitBlock.7.gen_double.Stretcher_1/pulse_d1_RNO - BLKNAME THE_TDC/GEN_HitBlock.7.gen_double.Stretcher_1/pulse_d3_RNO - BLKNAME THE_TDC/GEN_HitBlock.8.gen_double.Stretcher_1/pulse_d1_RNO - BLKNAME THE_TDC/GEN_HitBlock.8.gen_double.Stretcher_1/pulse_d3_RNO -; -LOCATE UGROUP "Stretcher_5_8_A" SITE "R122C180D"; -UGROUP "Stretcher_5_8_B" BBOX 2 2 - BLKNAME THE_TDC/GEN_HitBlock.5.gen_double.Stretcher_1/pulse_d2_RNO - BLKNAME THE_TDC/GEN_HitBlock.5.gen_double.Stretcher_1/pulse_d4_RNO - BLKNAME THE_TDC/GEN_HitBlock.6.gen_double.Stretcher_1/pulse_d2_RNO - BLKNAME THE_TDC/GEN_HitBlock.6.gen_double.Stretcher_1/pulse_d4_RNO - BLKNAME THE_TDC/GEN_HitBlock.7.gen_double.Stretcher_1/pulse_d2_RNO - BLKNAME THE_TDC/GEN_HitBlock.7.gen_double.Stretcher_1/pulse_d4_RNO - BLKNAME THE_TDC/GEN_HitBlock.8.gen_double.Stretcher_1/pulse_d2_RNO - BLKNAME THE_TDC/GEN_HitBlock.8.gen_double.Stretcher_1/pulse_d4_RNO +UGROUP "Stretcher_B" BBOX 6 8 + BLKNAME THE_TDC/gen_double_withStretcher.The_Stretcher/Stretcher_B_1 ; -LOCATE UGROUP "Stretcher_5_8_B" SITE "R2C2D"; +LOCATE UGROUP "Stretcher_B" SITE "R2C2D"; -#PRIORITIZE NET "THE_TDC/GEN_HitBlock*gen_double.Stretcher_1/pulse_d*" 0; ############################################################################# ## Coarse counter register placement ############################################################################# -UGROUP "UR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter.1.TheCoarseCounter; -LOCATE UGROUP "UR_Coarse_Counter" SITE "R36C134D" ; -UGROUP "LR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_2_TheCoarseCounter; -LOCATE UGROUP "LR_Coarse_Counter" SITE R85C134D; -UGROUP "UL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_3_TheCoarseCounter; -LOCATE UGROUP "UL_Coarse_Counter" SITE R36C50D; -UGROUP "LL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_4_TheCoarseCounter; -LOCATE UGROUP "LL_Coarse_Counter" SITE R85C50D; -UGROUP "TheCounters" - BLKNAME THE_TDC/GenCoarseCounter_0_TheCoarseCounter - BLKNAME THE_TDC/TheEpochCounter; -#LOCATE UGROUP "TheCounters" REGION REGION_READOUT; - - - ############################################################################# ## Other Logic Placements ############################################################################# - -UGROUP "BusHandlers" - BLKNAME THE_TDC/TheHitCounterBus - BLKNAME THE_TDC/TheStatusRegistersBus -# BLKNAME THE_TDC/TheLostHitBus -# BLKNAME THE_TDC/TheEncoderStartBus -# BLKNAME THE_TDC/TheEncoderFinishedBus -; -LOCATE UGROUP "BusHandlers" REGION "REGION_TRBNET"; -LOCATE PGROUP "BusHandlers" REGION "REGION_TRBNET"; - -UGROUP "TheTdcReadout" #BBOX 35 57 - BLKNAME THE_TDC/TheReadout - ; -#LOCATE UGROUP "TheTdcReadout" SITE "R53C53D"; - -UGROUP "TheTriggerHandler" - BLKNAME THE_TDC/TheTriggerHandler - ; -LOCATE UGROUP "TheTriggerHandler" SITE "R65C80D"; diff --git a/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf.nogroup b/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf.nogroup deleted file mode 100644 index ac310c6..0000000 --- a/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf.nogroup +++ /dev/null @@ -1,671 +0,0 @@ -################################################################# -# TDC Constraints -################################################################# -############################################################################## -## REFERENCE CHANNEL PLACEMENT ## -############################################################################## -UGROUP "Ref_Ch" BBOX 1 51 - BLKNAME THE_TDC/ReferenceChannel/Channel200/SimAdderNo_FC; -LOCATE UGROUP "Ref_Ch" SITE "R8C131D" ; -UGROUP "hitBuf_ref" BBOX 1 1 - BLKNAME THE_TDC/hit_mux_ref; -LOCATE UGROUP "hitBuf_ref" SITE "R9C133D" ; -UGROUP "Ref_ff_en" BBOX 1 1 - BLKNAME THE_TDC/ReferenceChannel/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "Ref_ff_en" SITE "R8C156D" ; - -############################################################################## -## DELAY LINE and HIT BUFFER PLACEMENTS ## -############################################################################## -UGROUP "FC_1" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_1" SITE "R10C131D" ; -UGROUP "hitBuf_1" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_1_hit_mux_ch; -LOCATE UGROUP "hitBuf_1" SITE "R11C133D" ; -UGROUP "ff_en_1" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_1" SITE "R10C156D" ; -# -UGROUP "FC_2" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_2" SITE "R21C131D" ; -UGROUP "hitBuf_2" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_2_hit_mux_ch; -LOCATE UGROUP "hitBuf_2" SITE "R22C133D" ; -UGROUP "ff_en_2" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_2" SITE "R21C156D" ; -# -UGROUP "FC_3" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_3" SITE "R23C131D" ; -UGROUP "hitBuf_3" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_3_hit_mux_ch; -LOCATE UGROUP "hitBuf_3" SITE "R24C133D" ; -UGROUP "ff_en_3" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_3" SITE "R23C156D" ; -# -UGROUP "FC_4" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_4" SITE "R30C131D" ; -UGROUP "hitBuf_4" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_4_hit_mux_ch; -LOCATE UGROUP "hitBuf_4" SITE "R31C133D" ; -UGROUP "ff_en_4" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_4" SITE "R30C156D" ; -# -UGROUP "FC_5" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_5" SITE "R32C131D" ; -UGROUP "hitBuf_5" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_5_hit_mux_ch; -LOCATE UGROUP "hitBuf_5" SITE "R33C133D" ; -UGROUP "ff_en_5" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_5" SITE "R32C156D" ; -# -UGROUP "FC_6" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_6" SITE "R35C131D" ; -UGROUP "hitBuf_6" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_6_hit_mux_ch; -LOCATE UGROUP "hitBuf_6" SITE "R36C133D" ; -UGROUP "ff_en_6" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_6" SITE "R35C156D" ; -# -UGROUP "FC_7" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_7" SITE "R37C131D" ; -UGROUP "hitBuf_7" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_7_hit_mux_ch; -LOCATE UGROUP "hitBuf_7" SITE "R38C133D" ; -UGROUP "ff_en_7" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_7" SITE "R37C156D" ; -# -UGROUP "FC_8" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_8" SITE "R48C131D" ; -UGROUP "hitBuf_8" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_8_hit_mux_ch; -LOCATE UGROUP "hitBuf_8" SITE "R49C133D" ; -UGROUP "ff_en_8" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_8" SITE "R48C156D" ; -# -UGROUP "FC_9" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_9" SITE "R50C131D" ; -UGROUP "hitBuf_9" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_9_hit_mux_ch; -LOCATE UGROUP "hitBuf_9" SITE "R51C133D" ; -UGROUP "ff_en_9" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_9" SITE "R50C156D" ; -# -UGROUP "FC_10" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_10" SITE "R53C131D" ; -UGROUP "hitBuf_10" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_10_hit_mux_ch; -LOCATE UGROUP "hitBuf_10" SITE "R54C133D" ; -UGROUP "ff_en_10" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_10" SITE "R53C156D" ; -# -UGROUP "FC_11" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_11" SITE "R55C131D" ; -UGROUP "hitBuf_11" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_11_hit_mux_ch; -LOCATE UGROUP "hitBuf_11" SITE "R56C133D" ; -UGROUP "ff_en_11" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_11" SITE "R55C156D" ; -# -UGROUP "FC_12" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_12" SITE "R10C58D" ; -UGROUP "hitBuf_12" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_12_hit_mux_ch; -LOCATE UGROUP "hitBuf_12" SITE "R11C60D" ; -UGROUP "ff_en_12" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_12" SITE "R10C83D" ; -# -UGROUP "FC_13" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_13" SITE "R23C58D" ; -UGROUP "hitBuf_13" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_13_hit_mux_ch; -LOCATE UGROUP "hitBuf_13" SITE "R24C60D" ; -UGROUP "ff_en_13" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_13" SITE "R23C83D" ; -# -UGROUP "FC_14" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_14" SITE "R32C58D" ; -UGROUP "hitBuf_14" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_14_hit_mux_ch; -LOCATE UGROUP "hitBuf_14" SITE "R33C60D" ; -UGROUP "ff_en_14" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_14" SITE "R32C83D" ; -# -UGROUP "FC_15" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_15" SITE "R37C58D" ; -UGROUP "hitBuf_15" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_15_hit_mux_ch; -LOCATE UGROUP "hitBuf_15" SITE "R38C60D" ; -UGROUP "ff_en_15" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_15" SITE "R37C83D" ; -# -UGROUP "FC_16" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_16" SITE "R50C58D" ; -UGROUP "hitBuf_16" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_16_hit_mux_ch; -LOCATE UGROUP "hitBuf_16" SITE "R51C60D" ; -UGROUP "ff_en_16" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_16" SITE "R50C83D" ; -# -UGROUP "FC_17" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_17" SITE "R66C131D" ; -UGROUP "hitBuf_17" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_17_hit_mux_ch; -LOCATE UGROUP "hitBuf_17" SITE "R67C133D" ; -UGROUP "ff_en_17" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_17" SITE "R66C156D" ; -# -UGROUP "FC_18" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_18" SITE "R68C131D" ; -UGROUP "hitBuf_18" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_18_hit_mux_ch; -LOCATE UGROUP "hitBuf_18" SITE "R69C133D" ; -UGROUP "ff_en_18" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_18" SITE "R68C156D" ; -# -UGROUP "FC_19" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_19" SITE "R71C131D" ; -UGROUP "hitBuf_19" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_19_hit_mux_ch; -LOCATE UGROUP "hitBuf_19" SITE "R72C133D" ; -UGROUP "ff_en_19" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_19" SITE "R71C156D" ; -# -UGROUP "FC_20" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_20" SITE "R73C131D" ; -UGROUP "hitBuf_20" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_20_hit_mux_ch; -LOCATE UGROUP "hitBuf_20" SITE "R74C133D" ; -UGROUP "ff_en_20" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_20" SITE "R73C156D" ; -# -UGROUP "FC_21" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_21" SITE "R84C131D" ; -UGROUP "hitBuf_21" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_21_hit_mux_ch; -LOCATE UGROUP "hitBuf_21" SITE "R85C133D" ; -UGROUP "ff_en_21" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_21" SITE "R84C156D" ; -# -UGROUP "FC_22" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_22" SITE "R86C131D" ; -UGROUP "hitBuf_22" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_22_hit_mux_ch; -LOCATE UGROUP "hitBuf_22" SITE "R87C133D" ; -UGROUP "ff_en_22" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_22" SITE "R86C156D" ; -# -UGROUP "FC_23" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_23" SITE "R89C131D" ; -UGROUP "hitBuf_23" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_23_hit_mux_ch; -LOCATE UGROUP "hitBuf_23" SITE "R90C133D" ; -UGROUP "ff_en_23" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_23" SITE "R89C156D" ; -# -UGROUP "FC_24" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_24" SITE "R91C131D" ; -UGROUP "hitBuf_24" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_24_hit_mux_ch; -LOCATE UGROUP "hitBuf_24" SITE "R92C133D" ; -UGROUP "ff_en_24" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_24" SITE "R91C156D" ; -# -UGROUP "FC_25" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_25" SITE "R102C131D" ; -UGROUP "hitBuf_25" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_25_hit_mux_ch; -LOCATE UGROUP "hitBuf_25" SITE "R103C133D" ; -UGROUP "ff_en_25" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_25" SITE "R102C156D" ; -# -UGROUP "FC_26" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_26" SITE "R104C131D" ; -UGROUP "hitBuf_26" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_26_hit_mux_ch; -LOCATE UGROUP "hitBuf_26" SITE "R105C133D" ; -UGROUP "ff_en_26" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_26" SITE "R104C156D" ; -# -UGROUP "FC_27" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_27" SITE "R111C131D" ; -UGROUP "hitBuf_27" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_27_hit_mux_ch; -LOCATE UGROUP "hitBuf_27" SITE "R112C133D" ; -UGROUP "ff_en_27" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_27" SITE "R111C156D" ; -# -UGROUP "FC_28" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_28" SITE "R113C131D" ; -UGROUP "hitBuf_28" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_28_hit_mux_ch; -LOCATE UGROUP "hitBuf_28" SITE "R114C133D" ; -UGROUP "ff_en_28" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_28" SITE "R113C156D" ; -# -UGROUP "FC_29" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_29" SITE "R91C58D" ; -UGROUP "hitBuf_29" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_29_hit_mux_ch; -LOCATE UGROUP "hitBuf_29" SITE "R92C60D" ; -UGROUP "ff_en_29" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_29" SITE "R91C83D" ; -# -UGROUP "FC_30" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_30" SITE "R104C58D" ; -UGROUP "hitBuf_30" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_30_hit_mux_ch; -LOCATE UGROUP "hitBuf_30" SITE "R105C60D" ; -UGROUP "ff_en_30" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_30" SITE "R104C83D" ; -# -UGROUP "FC_31" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_31" SITE "R113C58D" ; -UGROUP "hitBuf_31" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_31_hit_mux_ch; -LOCATE UGROUP "hitBuf_31" SITE "R114C60D" ; -UGROUP "ff_en_31" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_31" SITE "R113C83D" ; -# -UGROUP "FC_32" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_32" SITE "R84C58D" ; -UGROUP "hitBuf_32" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_32_hit_mux_ch; -LOCATE UGROUP "hitBuf_32" SITE "R85C60D" ; -UGROUP "ff_en_32" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_32" SITE "R84C83D" ; -# -UGROUP "FC_33" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_33" SITE "R8C58D" ; -UGROUP "hitBuf_33" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_33_hit_mux_ch; -LOCATE UGROUP "hitBuf_33" SITE "R9C60D" ; -UGROUP "ff_en_33" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_33" SITE "R8C83D" ; -# -UGROUP "FC_34" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_34" SITE "R21C58D" ; -UGROUP "hitBuf_34" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_34_hit_mux_ch; -LOCATE UGROUP "hitBuf_34" SITE "R22C60D" ; -UGROUP "ff_en_34" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_34" SITE "R21C83D" ; -# -UGROUP "FC_35" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_35" SITE "R30C58D" ; -UGROUP "hitBuf_35" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_35_hit_mux_ch; -LOCATE UGROUP "hitBuf_35" SITE "R31C60D" ; -UGROUP "ff_en_35" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_35" SITE "R30C83D" ; -# -UGROUP "FC_36" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_36" SITE "R35C58D" ; -UGROUP "hitBuf_36" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_36_hit_mux_ch; -LOCATE UGROUP "hitBuf_36" SITE "R36C60D" ; -UGROUP "ff_en_36" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_36" SITE "R35C83D" ; -# -UGROUP "FC_37" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_37" SITE "R48C58D" ; -UGROUP "hitBuf_37" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_37_hit_mux_ch; -LOCATE UGROUP "hitBuf_37" SITE "R49C60D" ; -UGROUP "ff_en_37" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_37" SITE "R48C83D" ; -# -UGROUP "FC_38" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_38" SITE "R8C2D" ; -UGROUP "hitBuf_38" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_38_hit_mux_ch; -LOCATE UGROUP "hitBuf_38" SITE "R9C4D" ; -UGROUP "ff_en_38" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_38" SITE "R8C27D" ; -# -UGROUP "FC_39" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_39" SITE "R10C2D" ; -UGROUP "hitBuf_39" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_39_hit_mux_ch; -LOCATE UGROUP "hitBuf_39" SITE "R11C4D" ; -UGROUP "ff_en_39" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_39" SITE "R10C27D" ; -# -UGROUP "FC_40" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_40" SITE "R21C2D" ; -UGROUP "hitBuf_40" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_40_hit_mux_ch; -LOCATE UGROUP "hitBuf_40" SITE "R22C4D" ; -UGROUP "ff_en_40" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_40" SITE "R21C27D" ; -# -UGROUP "FC_41" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_41" SITE "R23C2D" ; -UGROUP "hitBuf_41" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_41_hit_mux_ch; -LOCATE UGROUP "hitBuf_41" SITE "R24C4D" ; -UGROUP "ff_en_41" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_41" SITE "R23C27D" ; -# -UGROUP "FC_42" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_42" SITE "R30C2D" ; -UGROUP "hitBuf_42" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_42_hit_mux_ch; -LOCATE UGROUP "hitBuf_42" SITE "R31C4D" ; -UGROUP "ff_en_42" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_42" SITE "R30C27D" ; -# -UGROUP "FC_43" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_43" SITE "R32C2D" ; -UGROUP "hitBuf_43" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_43_hit_mux_ch; -LOCATE UGROUP "hitBuf_43" SITE "R33C4D" ; -UGROUP "ff_en_43" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_43" SITE "R32C27D" ; -# -UGROUP "FC_44" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_44" SITE "R35C2D" ; -UGROUP "hitBuf_44" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_44_hit_mux_ch; -LOCATE UGROUP "hitBuf_44" SITE "R36C4D" ; -UGROUP "ff_en_44" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_44" SITE "R35C27D" ; -# -UGROUP "FC_45" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_45" SITE "R37C2D" ; -UGROUP "hitBuf_45" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_45_hit_mux_ch; -LOCATE UGROUP "hitBuf_45" SITE "R38C4D" ; -UGROUP "ff_en_45" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_45" SITE "R37C27D" ; -# -UGROUP "FC_46" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_46" SITE "R48C2D" ; -UGROUP "hitBuf_46" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_46_hit_mux_ch; -LOCATE UGROUP "hitBuf_46" SITE "R49C4D" ; -UGROUP "ff_en_46" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_46" SITE "R48C27D" ; -# -UGROUP "FC_47" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_47" SITE "R50C2D" ; -UGROUP "hitBuf_47" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_47_hit_mux_ch; -LOCATE UGROUP "hitBuf_47" SITE "R51C4D" ; -UGROUP "ff_en_47" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_47" SITE "R50C27D" ; -# -UGROUP "FC_48" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_48" SITE "R53C2D" ; -UGROUP "hitBuf_48" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_48_hit_mux_ch; -LOCATE UGROUP "hitBuf_48" SITE "R54C4D" ; -UGROUP "ff_en_48" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_48" SITE "R53C27D" ; -# -UGROUP "FC_49" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_49" SITE "R55C2D" ; -UGROUP "hitBuf_49" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_49_hit_mux_ch; -LOCATE UGROUP "hitBuf_49" SITE "R56C4D" ; -UGROUP "ff_en_49" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_49" SITE "R55C27D" ; -# -UGROUP "FC_50" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_50" SITE "R89C58D" ; -UGROUP "hitBuf_50" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_50_hit_mux_ch; -LOCATE UGROUP "hitBuf_50" SITE "R90C60D" ; -UGROUP "ff_en_50" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_50" SITE "R89C83D" ; -# -UGROUP "FC_51" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_51" SITE "R102C58D" ; -UGROUP "hitBuf_51" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_51_hit_mux_ch; -LOCATE UGROUP "hitBuf_51" SITE "R103C60D" ; -UGROUP "ff_en_51" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_51" SITE "R102C83D" ; -# -UGROUP "FC_52" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_52" SITE "R111C58D" ; -UGROUP "hitBuf_52" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_52_hit_mux_ch; -LOCATE UGROUP "hitBuf_52" SITE "R112C60D" ; -UGROUP "ff_en_52" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_52" SITE "R111C83D" ; -# -UGROUP "FC_53" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_53" SITE "R66C2D" ; -UGROUP "hitBuf_53" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_53_hit_mux_ch; -LOCATE UGROUP "hitBuf_53" SITE "R67C4D" ; -UGROUP "ff_en_53" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_53" SITE "R66C27D" ; -# -UGROUP "FC_54" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_54" SITE "R68C2D" ; -UGROUP "hitBuf_54" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_54_hit_mux_ch; -LOCATE UGROUP "hitBuf_54" SITE "R69C4D" ; -UGROUP "ff_en_54" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_54" SITE "R68C27D" ; -# -UGROUP "FC_55" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_55" SITE "R71C2D" ; -UGROUP "hitBuf_55" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_55_hit_mux_ch; -LOCATE UGROUP "hitBuf_55" SITE "R72C4D" ; -UGROUP "ff_en_55" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_55" SITE "R71C27D" ; -# -UGROUP "FC_56" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_56" SITE "R73C2D" ; -UGROUP "hitBuf_56" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_56_hit_mux_ch; -LOCATE UGROUP "hitBuf_56" SITE "R74C4D" ; -UGROUP "ff_en_56" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_56" SITE "R73C27D" ; -# -UGROUP "FC_57" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_57" SITE "R84C2D" ; -UGROUP "hitBuf_57" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_57_hit_mux_ch; -LOCATE UGROUP "hitBuf_57" SITE "R85C4D" ; -UGROUP "ff_en_57" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_57" SITE "R84C27D" ; -# -UGROUP "FC_58" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_58" SITE "R86C2D" ; -UGROUP "hitBuf_58" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_58_hit_mux_ch; -LOCATE UGROUP "hitBuf_58" SITE "R87C4D" ; -UGROUP "ff_en_58" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_58" SITE "R86C27D" ; -# -UGROUP "FC_59" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_59" SITE "R89C2D" ; -UGROUP "hitBuf_59" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_59_hit_mux_ch; -LOCATE UGROUP "hitBuf_59" SITE "R90C4D" ; -UGROUP "ff_en_59" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_59" SITE "R89C27D" ; -# -UGROUP "FC_60" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_60" SITE "R91C2D" ; -UGROUP "hitBuf_60" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_60_hit_mux_ch; -LOCATE UGROUP "hitBuf_60" SITE "R92C4D" ; -UGROUP "ff_en_60" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_60" SITE "R91C27D" ; -# -UGROUP "FC_61" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_61" SITE "R102C2D" ; -UGROUP "hitBuf_61" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_61_hit_mux_ch; -LOCATE UGROUP "hitBuf_61" SITE "R103C4D" ; -UGROUP "ff_en_61" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_61" SITE "R102C27D" ; -# -UGROUP "FC_62" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_62" SITE "R104C2D" ; -UGROUP "hitBuf_62" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_62_hit_mux_ch; -LOCATE UGROUP "hitBuf_62" SITE "R105C4D" ; -UGROUP "ff_en_62" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_62" SITE "R104C27D" ; -# -UGROUP "FC_63" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_63" SITE "R111C2D" ; -UGROUP "hitBuf_63" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_63_hit_mux_ch; -LOCATE UGROUP "hitBuf_63" SITE "R112C4D" ; -UGROUP "ff_en_63" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_63" SITE "R111C27D" ; -# -UGROUP "FC_64" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_64" SITE "R113C2D" ; -UGROUP "hitBuf_64" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_64_hit_mux_ch; -LOCATE UGROUP "hitBuf_64" SITE "R114C4D" ; -UGROUP "ff_en_64" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_64" SITE "R113C27D" ; - - -############################################################################## -## CHANNEL PLACEMENTS ## -############################################################################## - -############################################################################# -## Coarse counter register placement -############################################################################# - -############################################################################# -## Other Logic Placements -############################################################################# diff --git a/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf.orig b/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf.orig deleted file mode 100644 index c338ff1..0000000 --- a/tdc_releases/tdc_v2.0/tdc_constraints_64.lpf.orig +++ /dev/null @@ -1,945 +0,0 @@ -################################################################# -# TDC Constraints -################################################################# -############################################################################## -## REFERENCE CHANNEL PLACEMENT ## -############################################################################## -UGROUP "Ref_Ch" BBOX 1 51 - BLKNAME THE_TDC/ReferenceChannel/Channel200/SimAdderNo_FC; -LOCATE UGROUP "Ref_Ch" SITE "R8C131D" ; -UGROUP "hitBuf_ref" BBOX 1 1 - BLKNAME THE_TDC/hit_mux_ref; -LOCATE UGROUP "hitBuf_ref" SITE "R9C133D" ; -UGROUP "Ref_ff_en" BBOX 1 1 - BLKNAME THE_TDC/ReferenceChannel/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "Ref_ff_en" SITE "R8C156D" ; - -############################################################################## -## DELAY LINE and HIT BUFFER PLACEMENTS ## -############################################################################## -UGROUP "FC_1" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_1" SITE "R10C131D" ; -UGROUP "hitBuf_1" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_1_hit_mux_ch; -LOCATE UGROUP "hitBuf_1" SITE "R11C133D" ; -UGROUP "ff_en_1" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_1" SITE "R10C156D" ; -# -UGROUP "FC_2" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_2" SITE "R21C131D" ; -UGROUP "hitBuf_2" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_2_hit_mux_ch; -LOCATE UGROUP "hitBuf_2" SITE "R22C133D" ; -UGROUP "ff_en_2" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_2" SITE "R21C156D" ; -# -UGROUP "FC_3" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_3" SITE "R23C131D" ; -UGROUP "hitBuf_3" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_3_hit_mux_ch; -LOCATE UGROUP "hitBuf_3" SITE "R24C133D" ; -UGROUP "ff_en_3" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_3" SITE "R23C156D" ; -# -UGROUP "FC_4" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_4" SITE "R30C131D" ; -UGROUP "hitBuf_4" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_4_hit_mux_ch; -LOCATE UGROUP "hitBuf_4" SITE "R31C133D" ; -UGROUP "ff_en_4" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_4" SITE "R30C156D" ; -# -UGROUP "FC_5" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_5" SITE "R32C131D" ; -UGROUP "hitBuf_5" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_5_hit_mux_ch; -LOCATE UGROUP "hitBuf_5" SITE "R33C133D" ; -UGROUP "ff_en_5" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_5" SITE "R32C156D" ; -# -UGROUP "FC_6" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_6" SITE "R35C131D" ; -UGROUP "hitBuf_6" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_6_hit_mux_ch; -LOCATE UGROUP "hitBuf_6" SITE "R36C133D" ; -UGROUP "ff_en_6" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_6" SITE "R35C156D" ; -# -UGROUP "FC_7" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_7" SITE "R37C131D" ; -UGROUP "hitBuf_7" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_7_hit_mux_ch; -LOCATE UGROUP "hitBuf_7" SITE "R38C133D" ; -UGROUP "ff_en_7" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_7" SITE "R37C156D" ; -# -UGROUP "FC_8" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_8" SITE "R48C131D" ; -UGROUP "hitBuf_8" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_8_hit_mux_ch; -LOCATE UGROUP "hitBuf_8" SITE "R49C133D" ; -UGROUP "ff_en_8" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_8" SITE "R48C156D" ; -# -UGROUP "FC_9" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_9" SITE "R50C131D" ; -UGROUP "hitBuf_9" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_9_hit_mux_ch; -LOCATE UGROUP "hitBuf_9" SITE "R51C133D" ; -UGROUP "ff_en_9" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_9" SITE "R50C156D" ; -# -UGROUP "FC_10" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_10" SITE "R53C131D" ; -UGROUP "hitBuf_10" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_10_hit_mux_ch; -LOCATE UGROUP "hitBuf_10" SITE "R54C133D" ; -UGROUP "ff_en_10" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_10" SITE "R53C156D" ; -# -UGROUP "FC_11" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_11" SITE "R55C131D" ; -UGROUP "hitBuf_11" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_11_hit_mux_ch; -LOCATE UGROUP "hitBuf_11" SITE "R56C133D" ; -UGROUP "ff_en_11" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_11" SITE "R55C156D" ; -# -UGROUP "FC_12" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_12" SITE "R10C58D" ; -UGROUP "hitBuf_12" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_12_hit_mux_ch; -LOCATE UGROUP "hitBuf_12" SITE "R11C60D" ; -UGROUP "ff_en_12" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_12" SITE "R10C83D" ; -# -UGROUP "FC_13" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_13" SITE "R23C58D" ; -UGROUP "hitBuf_13" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_13_hit_mux_ch; -LOCATE UGROUP "hitBuf_13" SITE "R24C60D" ; -UGROUP "ff_en_13" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_13" SITE "R23C83D" ; -# -UGROUP "FC_14" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_14" SITE "R32C58D" ; -UGROUP "hitBuf_14" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_14_hit_mux_ch; -LOCATE UGROUP "hitBuf_14" SITE "R33C60D" ; -UGROUP "ff_en_14" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_14" SITE "R32C83D" ; -# -UGROUP "FC_15" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_15" SITE "R37C58D" ; -UGROUP "hitBuf_15" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_15_hit_mux_ch; -LOCATE UGROUP "hitBuf_15" SITE "R38C60D" ; -UGROUP "ff_en_15" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_15" SITE "R37C83D" ; -# -UGROUP "FC_16" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_16" SITE "R50C58D" ; -UGROUP "hitBuf_16" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_16_hit_mux_ch; -LOCATE UGROUP "hitBuf_16" SITE "R51C60D" ; -UGROUP "ff_en_16" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_16" SITE "R50C83D" ; -# -UGROUP "FC_17" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_17" SITE "R66C131D" ; -UGROUP "hitBuf_17" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_17_hit_mux_ch; -LOCATE UGROUP "hitBuf_17" SITE "R67C133D" ; -UGROUP "ff_en_17" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_17" SITE "R66C156D" ; -# -UGROUP "FC_18" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_18" SITE "R68C131D" ; -UGROUP "hitBuf_18" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_18_hit_mux_ch; -LOCATE UGROUP "hitBuf_18" SITE "R69C133D" ; -UGROUP "ff_en_18" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_18" SITE "R68C156D" ; -# -UGROUP "FC_19" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_19" SITE "R71C131D" ; -UGROUP "hitBuf_19" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_19_hit_mux_ch; -LOCATE UGROUP "hitBuf_19" SITE "R72C133D" ; -UGROUP "ff_en_19" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_19" SITE "R71C156D" ; -# -UGROUP "FC_20" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_20" SITE "R73C131D" ; -UGROUP "hitBuf_20" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_20_hit_mux_ch; -LOCATE UGROUP "hitBuf_20" SITE "R74C133D" ; -UGROUP "ff_en_20" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_20" SITE "R73C156D" ; -# -UGROUP "FC_21" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_21" SITE "R84C131D" ; -UGROUP "hitBuf_21" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_21_hit_mux_ch; -LOCATE UGROUP "hitBuf_21" SITE "R85C133D" ; -UGROUP "ff_en_21" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_21" SITE "R84C156D" ; -# -UGROUP "FC_22" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_22" SITE "R86C131D" ; -UGROUP "hitBuf_22" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_22_hit_mux_ch; -LOCATE UGROUP "hitBuf_22" SITE "R87C133D" ; -UGROUP "ff_en_22" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_22" SITE "R86C156D" ; -# -UGROUP "FC_23" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_23" SITE "R89C131D" ; -UGROUP "hitBuf_23" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_23_hit_mux_ch; -LOCATE UGROUP "hitBuf_23" SITE "R90C133D" ; -UGROUP "ff_en_23" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_23" SITE "R89C156D" ; -# -UGROUP "FC_24" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_24" SITE "R91C131D" ; -UGROUP "hitBuf_24" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_24_hit_mux_ch; -LOCATE UGROUP "hitBuf_24" SITE "R92C133D" ; -UGROUP "ff_en_24" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_24" SITE "R91C156D" ; -# -UGROUP "FC_25" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_25" SITE "R102C131D" ; -UGROUP "hitBuf_25" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_25_hit_mux_ch; -LOCATE UGROUP "hitBuf_25" SITE "R103C133D" ; -UGROUP "ff_en_25" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_25" SITE "R102C156D" ; -# -UGROUP "FC_26" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_26" SITE "R104C131D" ; -UGROUP "hitBuf_26" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_26_hit_mux_ch; -LOCATE UGROUP "hitBuf_26" SITE "R105C133D" ; -UGROUP "ff_en_26" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_26" SITE "R104C156D" ; -# -UGROUP "FC_27" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_27" SITE "R111C131D" ; -UGROUP "hitBuf_27" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_27_hit_mux_ch; -LOCATE UGROUP "hitBuf_27" SITE "R112C133D" ; -UGROUP "ff_en_27" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_27" SITE "R111C156D" ; -# -UGROUP "FC_28" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_28" SITE "R113C131D" ; -UGROUP "hitBuf_28" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_28_hit_mux_ch; -LOCATE UGROUP "hitBuf_28" SITE "R114C133D" ; -UGROUP "ff_en_28" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_28" SITE "R113C156D" ; -# -UGROUP "FC_29" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_29" SITE "R91C58D" ; -UGROUP "hitBuf_29" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_29_hit_mux_ch; -LOCATE UGROUP "hitBuf_29" SITE "R92C60D" ; -UGROUP "ff_en_29" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_29" SITE "R91C83D" ; -# -UGROUP "FC_30" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_30" SITE "R104C58D" ; -UGROUP "hitBuf_30" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_30_hit_mux_ch; -LOCATE UGROUP "hitBuf_30" SITE "R105C60D" ; -UGROUP "ff_en_30" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_30" SITE "R104C83D" ; -# -UGROUP "FC_31" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_31" SITE "R113C58D" ; -UGROUP "hitBuf_31" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_31_hit_mux_ch; -LOCATE UGROUP "hitBuf_31" SITE "R114C60D" ; -UGROUP "ff_en_31" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_31" SITE "R113C83D" ; -# -UGROUP "FC_32" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_32" SITE "R84C58D" ; -UGROUP "hitBuf_32" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_32_hit_mux_ch; -LOCATE UGROUP "hitBuf_32" SITE "R85C60D" ; -UGROUP "ff_en_32" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_32" SITE "R84C83D" ; -# -UGROUP "FC_33" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_33" SITE "R8C58D" ; -UGROUP "hitBuf_33" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_33_hit_mux_ch; -LOCATE UGROUP "hitBuf_33" SITE "R9C60D" ; -UGROUP "ff_en_33" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_33" SITE "R8C83D" ; -# -UGROUP "FC_34" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_34" SITE "R21C58D" ; -UGROUP "hitBuf_34" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_34_hit_mux_ch; -LOCATE UGROUP "hitBuf_34" SITE "R22C60D" ; -UGROUP "ff_en_34" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_34" SITE "R21C83D" ; -# -UGROUP "FC_35" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_35" SITE "R30C58D" ; -UGROUP "hitBuf_35" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_35_hit_mux_ch; -LOCATE UGROUP "hitBuf_35" SITE "R31C60D" ; -UGROUP "ff_en_35" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_35" SITE "R30C83D" ; -# -UGROUP "FC_36" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_36" SITE "R35C58D" ; -UGROUP "hitBuf_36" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_36_hit_mux_ch; -LOCATE UGROUP "hitBuf_36" SITE "R36C60D" ; -UGROUP "ff_en_36" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_36" SITE "R35C83D" ; -# -UGROUP "FC_37" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_37" SITE "R48C58D" ; -UGROUP "hitBuf_37" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_37_hit_mux_ch; -LOCATE UGROUP "hitBuf_37" SITE "R49C60D" ; -UGROUP "ff_en_37" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_37" SITE "R48C83D" ; -# -UGROUP "FC_38" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_38" SITE "R8C2D" ; -UGROUP "hitBuf_38" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_38_hit_mux_ch; -LOCATE UGROUP "hitBuf_38" SITE "R9C4D" ; -UGROUP "ff_en_38" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_38" SITE "R8C27D" ; -# -UGROUP "FC_39" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_39" SITE "R10C2D" ; -UGROUP "hitBuf_39" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_39_hit_mux_ch; -LOCATE UGROUP "hitBuf_39" SITE "R11C4D" ; -UGROUP "ff_en_39" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_39" SITE "R10C27D" ; -# -UGROUP "FC_40" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_40" SITE "R21C2D" ; -UGROUP "hitBuf_40" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_40_hit_mux_ch; -LOCATE UGROUP "hitBuf_40" SITE "R22C4D" ; -UGROUP "ff_en_40" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_40" SITE "R21C27D" ; -# -UGROUP "FC_41" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_41" SITE "R23C2D" ; -UGROUP "hitBuf_41" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_41_hit_mux_ch; -LOCATE UGROUP "hitBuf_41" SITE "R24C4D" ; -UGROUP "ff_en_41" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_41" SITE "R23C27D" ; -# -UGROUP "FC_42" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_42" SITE "R30C2D" ; -UGROUP "hitBuf_42" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_42_hit_mux_ch; -LOCATE UGROUP "hitBuf_42" SITE "R31C4D" ; -UGROUP "ff_en_42" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_42" SITE "R30C27D" ; -# -UGROUP "FC_43" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_43" SITE "R32C2D" ; -UGROUP "hitBuf_43" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_43_hit_mux_ch; -LOCATE UGROUP "hitBuf_43" SITE "R33C4D" ; -UGROUP "ff_en_43" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_43" SITE "R32C27D" ; -# -UGROUP "FC_44" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_44" SITE "R35C2D" ; -UGROUP "hitBuf_44" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_44_hit_mux_ch; -LOCATE UGROUP "hitBuf_44" SITE "R36C4D" ; -UGROUP "ff_en_44" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_44" SITE "R35C27D" ; -# -UGROUP "FC_45" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_45" SITE "R37C2D" ; -UGROUP "hitBuf_45" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_45_hit_mux_ch; -LOCATE UGROUP "hitBuf_45" SITE "R38C4D" ; -UGROUP "ff_en_45" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_45" SITE "R37C27D" ; -# -UGROUP "FC_46" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_46" SITE "R48C2D" ; -UGROUP "hitBuf_46" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_46_hit_mux_ch; -LOCATE UGROUP "hitBuf_46" SITE "R49C4D" ; -UGROUP "ff_en_46" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_46" SITE "R48C27D" ; -# -UGROUP "FC_47" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_47" SITE "R50C2D" ; -UGROUP "hitBuf_47" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_47_hit_mux_ch; -LOCATE UGROUP "hitBuf_47" SITE "R51C4D" ; -UGROUP "ff_en_47" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_47" SITE "R50C27D" ; -# -UGROUP "FC_48" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_48" SITE "R53C2D" ; -UGROUP "hitBuf_48" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_48_hit_mux_ch; -LOCATE UGROUP "hitBuf_48" SITE "R54C4D" ; -UGROUP "ff_en_48" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_48" SITE "R53C27D" ; -# -UGROUP "FC_49" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_49" SITE "R55C2D" ; -UGROUP "hitBuf_49" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_49_hit_mux_ch; -LOCATE UGROUP "hitBuf_49" SITE "R56C4D" ; -UGROUP "ff_en_49" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_49" SITE "R55C27D" ; -# -UGROUP "FC_50" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_50" SITE "R89C58D" ; -UGROUP "hitBuf_50" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_50_hit_mux_ch; -LOCATE UGROUP "hitBuf_50" SITE "R90C60D" ; -UGROUP "ff_en_50" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_50" SITE "R89C83D" ; -# -UGROUP "FC_51" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_51" SITE "R102C58D" ; -UGROUP "hitBuf_51" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_51_hit_mux_ch; -LOCATE UGROUP "hitBuf_51" SITE "R103C60D" ; -UGROUP "ff_en_51" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_51" SITE "R102C83D" ; -# -UGROUP "FC_52" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_52" SITE "R111C58D" ; -UGROUP "hitBuf_52" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_52_hit_mux_ch; -LOCATE UGROUP "hitBuf_52" SITE "R112C60D" ; -UGROUP "ff_en_52" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_52" SITE "R111C83D" ; -# -UGROUP "FC_53" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_53" SITE "R66C2D" ; -UGROUP "hitBuf_53" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_53_hit_mux_ch; -LOCATE UGROUP "hitBuf_53" SITE "R67C4D" ; -UGROUP "ff_en_53" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_53" SITE "R66C27D" ; -# -UGROUP "FC_54" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_54" SITE "R68C2D" ; -UGROUP "hitBuf_54" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_54_hit_mux_ch; -LOCATE UGROUP "hitBuf_54" SITE "R69C4D" ; -UGROUP "ff_en_54" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_54" SITE "R68C27D" ; -# -UGROUP "FC_55" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_55" SITE "R71C2D" ; -UGROUP "hitBuf_55" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_55_hit_mux_ch; -LOCATE UGROUP "hitBuf_55" SITE "R72C4D" ; -UGROUP "ff_en_55" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_55" SITE "R71C27D" ; -# -UGROUP "FC_56" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_56" SITE "R73C2D" ; -UGROUP "hitBuf_56" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_56_hit_mux_ch; -LOCATE UGROUP "hitBuf_56" SITE "R74C4D" ; -UGROUP "ff_en_56" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_56" SITE "R73C27D" ; -# -UGROUP "FC_57" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_57" SITE "R84C2D" ; -UGROUP "hitBuf_57" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_57_hit_mux_ch; -LOCATE UGROUP "hitBuf_57" SITE "R85C4D" ; -UGROUP "ff_en_57" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_57" SITE "R84C27D" ; -# -UGROUP "FC_58" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_58" SITE "R86C2D" ; -UGROUP "hitBuf_58" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_58_hit_mux_ch; -LOCATE UGROUP "hitBuf_58" SITE "R87C4D" ; -UGROUP "ff_en_58" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_58" SITE "R86C27D" ; -# -UGROUP "FC_59" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_59" SITE "R89C2D" ; -UGROUP "hitBuf_59" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_59_hit_mux_ch; -LOCATE UGROUP "hitBuf_59" SITE "R90C4D" ; -UGROUP "ff_en_59" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_59" SITE "R89C27D" ; -# -UGROUP "FC_60" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_60" SITE "R91C2D" ; -UGROUP "hitBuf_60" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_60_hit_mux_ch; -LOCATE UGROUP "hitBuf_60" SITE "R92C4D" ; -UGROUP "ff_en_60" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_60" SITE "R91C27D" ; -# -UGROUP "FC_61" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_61" SITE "R102C2D" ; -UGROUP "hitBuf_61" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_61_hit_mux_ch; -LOCATE UGROUP "hitBuf_61" SITE "R103C4D" ; -UGROUP "ff_en_61" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_61" SITE "R102C27D" ; -# -UGROUP "FC_62" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_62" SITE "R104C2D" ; -UGROUP "hitBuf_62" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_62_hit_mux_ch; -LOCATE UGROUP "hitBuf_62" SITE "R105C4D" ; -UGROUP "ff_en_62" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_62" SITE "R104C27D" ; -# -UGROUP "FC_63" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_63" SITE "R111C2D" ; -UGROUP "hitBuf_63" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_63_hit_mux_ch; -LOCATE UGROUP "hitBuf_63" SITE "R112C4D" ; -UGROUP "ff_en_63" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_63" SITE "R111C27D" ; -# -UGROUP "FC_64" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel200/SimAdderNo_FC; -LOCATE UGROUP "FC_64" SITE "R113C2D" ; -UGROUP "hitBuf_64" BBOX 1 1 - BLKNAME THE_TDC/GEN_hit_mux_64_hit_mux_ch; -LOCATE UGROUP "hitBuf_64" SITE "R114C4D" ; -UGROUP "ff_en_64" BBOX 1 1 - BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel200/ff_array_en_i_1_i; -LOCATE UGROUP "ff_en_64" SITE "R113C27D" ; - - -############################################################################## -## CHANNEL PLACEMENTS ## -############################################################################## -UGROUP "EF_ref" BBOX 16 54 - BLKNAME THE_TDC/ReferenceChannel/Channel200 - BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel200 - BLKNAME THE_TDC/ReferenceChannel/The_Buffer - BLKNAME THE_TDC/GEN_Channels_1_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_2_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_3_Channels/The_Buffer; -LOCATE UGROUP "EF_ref" SITE "R8C128D" ; - -UGROUP "EF_4" BBOX 10 54 - BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_4_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_5_Channels/The_Buffer; -LOCATE UGROUP "EF_4" SITE "R24C128D" ; - -UGROUP "EF_6" BBOX 17 54 - BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_6_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_7_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_8_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_9_Channels/The_Buffer; -LOCATE UGROUP "EF_6" SITE "R35C128D" ; - -UGROUP "EF_10" BBOX 17 54 - BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_10_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_11_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_17_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_18_Channels/The_Buffer; -LOCATE UGROUP "EF_10" SITE "R53C128D" ; - -UGROUP "EF_12" BBOX 16 54 - BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_12_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_13_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_33_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_34_Channels/The_Buffer; -LOCATE UGROUP "EF_12" SITE "R8C56D" ; - -UGROUP "EF_14" BBOX 10 54 - BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_14_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_35_Channels/The_Buffer; -LOCATE UGROUP "EF_14" SITE "R24C56D" ; - -UGROUP "EF_15" BBOX 17 54 - BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_15_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_16_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_36_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_37_Channels/The_Buffer; -LOCATE UGROUP "EF_15" SITE "R35C56D" ; - -UGROUP "EF_19" BBOX 17 54 - BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_19_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_20_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_21_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_22_Channels/The_Buffer; -LOCATE UGROUP "EF_19" SITE "R71C128D" ; - -UGROUP "EF_23" BBOX 16 54 - BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_23_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_24_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_25_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_26_Channels/The_Buffer; -LOCATE UGROUP "EF_23" SITE "R89C128D" ; - -UGROUP "EF_27" BBOX 10 54 - BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_27_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_28_Channels/The_Buffer; -LOCATE UGROUP "EF_27" SITE "R105C128D" ; - -UGROUP "EF_29" BBOX 16 54 - BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_29_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_30_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_50_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_51_Channels/The_Buffer; -LOCATE UGROUP "EF_29" SITE "R89C56D" ; - -UGROUP "EF_31" BBOX 10 54 - BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_31_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_52_Channels/The_Buffer; -LOCATE UGROUP "EF_31" SITE "R105C56D" ; - -UGROUP "EF_32" BBOX 10 24 - BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_32_Channels/The_Buffer; -LOCATE UGROUP "EF_32" SITE "R78C71D" ; - -UGROUP "EF_38" BBOX 16 54 - BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_38_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_39_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_40_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_41_Channels/The_Buffer; -LOCATE UGROUP "EF_38" SITE "R8C2D" ; - -UGROUP "EF_42" BBOX 10 54 - BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_42_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_43_Channels/The_Buffer; -LOCATE UGROUP "EF_42" SITE "R24C2D" ; - -UGROUP "EF_44" BBOX 17 54 - BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_44_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_45_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_46_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_47_Channels/The_Buffer; -LOCATE UGROUP "EF_44" SITE "R35C2D" ; - -UGROUP "EF_48" BBOX 17 54 - BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_48_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_49_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_53_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_54_Channels/The_Buffer; -LOCATE UGROUP "EF_48" SITE "R53C2D" ; - -UGROUP "EF_55" BBOX 17 54 - BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_55_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_56_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_57_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_58_Channels/The_Buffer; -LOCATE UGROUP "EF_55" SITE "R71C2D" ; - -UGROUP "EF_59" BBOX 16 54 - BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_59_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_60_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_61_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_62_Channels/The_Buffer; -LOCATE UGROUP "EF_59" SITE "R89C2D" ; - -UGROUP "EF_63" BBOX 10 54 - BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels_63_Channels/The_Buffer - BLKNAME THE_TDC/GEN_Channels_64_Channels/The_Buffer; -LOCATE UGROUP "EF_63" SITE "R105C2D" ; - -############################################################################# -## Coarse counter register placement -############################################################################# - -UGROUP "UR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_1_TheCoarseCounter; -LOCATE UGROUP "UR_Coarse_Counter" SITE R36C134D; -UGROUP "LR_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_2_TheCoarseCounter; -LOCATE UGROUP "LR_Coarse_Counter" SITE R85C134D; -UGROUP "UL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_3_TheCoarseCounter; -LOCATE UGROUP "UL_Coarse_Counter" SITE R36C50D; -UGROUP "LL_Coarse_Counter" - BLKNAME THE_TDC/GenCoarseCounter_4_TheCoarseCounter; -LOCATE UGROUP "LL_Coarse_Counter" SITE R85C50D; -UGROUP "TheCounters" - BLKNAME THE_TDC/GenCoarseCounter_0_TheCoarseCounter - BLKNAME THE_TDC/TheEpochCounter; -#LOCATE UGROUP "TheCounters" REGION REGION_READOUT; - -############################################################################# -## Other Logic Placements -############################################################################# - -UGROUP "BusHandlers" - BLKNAME THE_TDC/TheHitCounterBus - BLKNAME THE_TDC/TheStatusRegistersBus -# BLKNAME THE_TDC/TheLostHitBus -# BLKNAME THE_TDC/TheEncoderStartBus -# BLKNAME THE_TDC/TheEncoderFinishedBus -; -LOCATE UGROUP "BusHandlers" REGION "REGION_TRBNET"; -LOCATE PGROUP "BusHandlers" REGION "REGION_TRBNET"; - -UGROUP "TheTdcReadout" #BBOX 35 57 - BLKNAME THE_TDC/TheReadout - ; -#LOCATE UGROUP "TheTdcReadout" SITE "R53C53D"; - -UGROUP "TheTriggerHandler" - BLKNAME THE_TDC/TheTriggerHandler - ; -LOCATE UGROUP "TheTriggerHandler" SITE "R65C80D"; - -############################################################################# -## Unimportant Data Lines ## -############################################################################# -MULTICYCLE FROM CELL "THE_TDC/reset_tdc*" 4x; -MULTICYCLE FROM CELL "THE_TDC/reset_counters*" 4x; -MULTICYCLE FROM CELL "PROC_TDC_CTRL_REG*tdc_ctrl_reg*" 4x; - -MULTICYCLE TO CELL "THE_TDC/GEN_Channels*Channels/Channel200/SimAdderNo*FC/FF*" 4x; -MULTICYCLE TO CELL "THE_TDC/ReferenceChannel/Channel200/SimAdderNo*FC/FF*" 4x; - -MULTICYCLE FROM CELL "THE_TDC/GEN_Channels*Channels/Channel200/FIFO/*" CLKNET CLK_EXT TO CELL "THE_TDC/GEN_Channels*Channels/Channel200/FIFO/*" CLKNET clk_100_i_c 2x; -MULTICYCLE FROM CELL "THE_TDC/ReferenceChannel/Channel200/FIFO/*" CLKNET CLK_EXT TO CELL "THE_TDC/ReferenceChannel/Channel200/FIFO/*" CLKNET clk_100_i_c 2x; - -MULTICYCLE TO CELL "THE_TDC/GEN_Channels*Channels/sync_q*" 4 x; -MULTICYCLE TO CELL "THE_TDC/ReferenceChannel/sync_q*" 4 x; - -MULTICYCLE FROM CELL "THE_TDC/GEN_Channels*Channels/Channel200/FIFO/FF*" TO CELL "THE_TDC/GEN_Channels*Channels/Channel200/fifo_almost_full_sync*" 2x; -MULTICYCLE FROM CELL "THE_TDC/ReferenceChannel/Channel200/FIFO/FF*" TO CELL "THE_TDC/GEN_Channels*Channels/Channel200/fifo_almost_full_sync*" 2x; - -MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter*" TO CELL "THE_TDC/GEN_Channels*Channels/epoch_cntr_reg*" 5 X; -MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter*" TO CELL "THE_TDC/ReferenceChannel/epoch_cntr_reg*" 5 X; - -MAXDELAY NET "THE_TDC/hit_in_i[*]" 0.600000 nS; #DATAPATH_ONLY ; - -## Maybe effective - -MULTICYCLE FROM CELL "THE_TDC/GEN_Channels*Channels/gen_DEBUG_risingEdgeDetect_1/PULSE_OUT" 5 x; -MULTICYCLE FROM CELL "THE_TDC/ReferenceChannel/gen_DEBUG_risingEdgeDetect_1/PULSE_OUT" 5 x; - - - - - - -# BLOCK NET "THE_TDC/reset_tdc*" ; -# BLOCK NET "THE_TDC/reset_rdo*" ; -# #BLOCK NET "THE_TDC/hit_in_i_*" ; -# BLOCK NET "THE_TDC/hit_latch*" ; -# BLOCK NET "THE_TDC/reset_counters_i*" ; - - - -# PROHIBIT SECONDARY NET "THE_TDC/ReferenceChannel/Channel200/ff_array_en_i"; -# PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels*Channels/Channel200/ff_array_en_i"; - -# MULTICYCLE FROM CELL "THE_RESET_HANDLER/final_reset_1" 50 ns; -# MULTICYCLE FROM CELL "THE_TDC/GEN_Channels*Channels/The_Buffer/*" TO CELL "THE_TDC/TheReadout/rd_en*" 2 X; diff --git a/tdc_releases/tdc_v2.0/trbnet_constraints.lpf b/tdc_releases/tdc_v2.0/trbnet_constraints.lpf index 2e8b1a7..bdeb4ec 100644 --- a/tdc_releases/tdc_v2.0/trbnet_constraints.lpf +++ b/tdc_releases/tdc_v2.0/trbnet_constraints.lpf @@ -6,15 +6,6 @@ GSR_NET NET "reset_i"; ################################################################# # Locate Serdes and media interfaces ################################################################# -LOCATE COMP "THE_MEDIA_UPLINK/gen_serdes_1_200_THE_SERDES/PCSD_INST" SITE "PCSA" ; - -REGION "MEDIA_UPLINK" "R105C110D" 10 18; -REGION "REGION_SPI" "R2C110D" 15 18 DEVSIZE; -REGION "REGION_TRBNET" "R17C110D" 95 18 DEVSIZE; - -#LOCATE UGROUP "THE_SPI_MASTER/SPI_group" REGION "REGION_SPI" ; -#LOCATE UGROUP "THE_SPI_MEMORY/SPI_group" REGION "REGION_SPI" ; -LOCATE UGROUP "THE_MEDIA_UPLINK/media_interface_group" REGION "MEDIA_UPLINK" ; MULTICYCLE TO CELL "THE_MEDIA_DOWNLINK/SCI_DATA_OUT*" 50 ns; MULTICYCLE TO CELL "THE_MEDIA_UPLINK/SCI_DATA_OUT*" 50 ns; @@ -27,47 +18,5 @@ BLOCK PATH TO CELL "gen_TRIGGER_LOGIC_THE_TRIG_LOGIC/out_*"; #Jan: Placement of TrbNet components (at least, most of them) -#UGROUP "TrbNet" BBOX 77 27 -# BLKNAME THE_ENDPOINT -# BLKNAME THE_ENDPOINT/THE_ENDPOINT -#LOCATE UGROUP "TrbNet" REGION "REGION_TRBNET"; - -#LOCATE UGROUP "THE_BUS_HANDLER/Bus_handler_group" REGION "REGION_TRBNET"; -#LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_gen_regio_regIO/the_addresses/HUBLOGIC_group" REGION "REGION_TRBNET"; - - -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_IOBUF/genINITOBUF2_gen_INITOBUF3_INITOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_IOBUF/genINITOBUF2_gen_INITOBUF3_INITOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_2_gentermbuf_termbuf/TRMBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_IOBUF/genINITOBUF2_gen_INITOBUF3_INITOBUF/OBUF_group" REGION "REGION_TRBNET"; -#LOCATE UGROUP "THE_ENDPOINT/THE_INTERNAL_BUS_HANDLER/Bus_handler_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/MPLEX/MUX_group" REGION "REGION_TRBNET"; - -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_gen_regio_regIO/RegIO_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API/API_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API/API_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_IOBUF/genREPLYOBUF1_REPLYOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_IOBUF/GEN_IBUF_THE_IBUF/IBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_IOBUF/genREPLYOBUF1_REPLYOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_IOBUF/GEN_IBUF_THE_IBUF/IBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_IOBUF/genREPLYOBUF1_REPLYOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_IOBUF/GEN_IBUF_THE_IBUF/IBUF_group" REGION "REGION_TRBNET"; - -UGROUP "ENDPOINT" - BLKNAME THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_gentrgapi_the_trigger_apl -; -LOCATE UGROUP "ENDPOINT" REGION "REGION_TRBNET"; - -UGROUP "SctrlGroup" - BLKNAME THE_BUS_HANDLER - BLKNAME THE_ENDPOINT/THE_INTERNAL_BUS_HANDLER - ; -LOCATE UGROUP "SctrlGroup" REGION "REGION_TRBNET"; - - -UGROUP "ResetHandler" - BLKNAME THE_RESET_HANDLER -; - - +MULTICYCLE FROM CELL "THE_RESET_HANDLER/final_reset*" 30 ns; diff --git a/tdc_releases/tdc_v2.0/trbnet_constraints.lpf.nogroup b/tdc_releases/tdc_v2.0/trbnet_constraints.lpf.nogroup deleted file mode 100644 index bdeb4ec..0000000 --- a/tdc_releases/tdc_v2.0/trbnet_constraints.lpf.nogroup +++ /dev/null @@ -1,22 +0,0 @@ -################################################################# -# Reset Nets -################################################################# -GSR_NET NET "reset_i"; - -################################################################# -# Locate Serdes and media interfaces -################################################################# - -MULTICYCLE TO CELL "THE_MEDIA_DOWNLINK/SCI_DATA_OUT*" 50 ns; -MULTICYCLE TO CELL "THE_MEDIA_UPLINK/SCI_DATA_OUT*" 50 ns; -MULTICYCLE TO CELL "THE_RESET_HANDLER/final_reset*" 30 ns; -MULTICYCLE TO CELL "THE_RESET_HANDLER/trb_reset_*" 20 ns; -MULTICYCLE TO CELL "gen_SPI_DAC_SPI_*io*" 20 ns; -MULTICYCLE TO CELL "THE_SPI_MASTER_THE_SPI_SLIM_tx_sreg_oregio*" 20 ns; - -BLOCK PATH TO CELL "gen_TRIGGER_LOGIC_THE_TRIG_LOGIC/out_*"; - -#Jan: Placement of TrbNet components (at least, most of them) - - -MULTICYCLE FROM CELL "THE_RESET_HANDLER/final_reset*" 30 ns; diff --git a/tdc_releases/tdc_v2.0/trbnet_constraints.lpf.orig b/tdc_releases/tdc_v2.0/trbnet_constraints.lpf.orig deleted file mode 100644 index 2e8b1a7..0000000 --- a/tdc_releases/tdc_v2.0/trbnet_constraints.lpf.orig +++ /dev/null @@ -1,73 +0,0 @@ -################################################################# -# Reset Nets -################################################################# -GSR_NET NET "reset_i"; - -################################################################# -# Locate Serdes and media interfaces -################################################################# -LOCATE COMP "THE_MEDIA_UPLINK/gen_serdes_1_200_THE_SERDES/PCSD_INST" SITE "PCSA" ; - -REGION "MEDIA_UPLINK" "R105C110D" 10 18; -REGION "REGION_SPI" "R2C110D" 15 18 DEVSIZE; -REGION "REGION_TRBNET" "R17C110D" 95 18 DEVSIZE; - -#LOCATE UGROUP "THE_SPI_MASTER/SPI_group" REGION "REGION_SPI" ; -#LOCATE UGROUP "THE_SPI_MEMORY/SPI_group" REGION "REGION_SPI" ; -LOCATE UGROUP "THE_MEDIA_UPLINK/media_interface_group" REGION "MEDIA_UPLINK" ; - -MULTICYCLE TO CELL "THE_MEDIA_DOWNLINK/SCI_DATA_OUT*" 50 ns; -MULTICYCLE TO CELL "THE_MEDIA_UPLINK/SCI_DATA_OUT*" 50 ns; -MULTICYCLE TO CELL "THE_RESET_HANDLER/final_reset*" 30 ns; -MULTICYCLE TO CELL "THE_RESET_HANDLER/trb_reset_*" 20 ns; -MULTICYCLE TO CELL "gen_SPI_DAC_SPI_*io*" 20 ns; -MULTICYCLE TO CELL "THE_SPI_MASTER_THE_SPI_SLIM_tx_sreg_oregio*" 20 ns; - -BLOCK PATH TO CELL "gen_TRIGGER_LOGIC_THE_TRIG_LOGIC/out_*"; - -#Jan: Placement of TrbNet components (at least, most of them) - -#UGROUP "TrbNet" BBOX 77 27 -# BLKNAME THE_ENDPOINT -# BLKNAME THE_ENDPOINT/THE_ENDPOINT -#LOCATE UGROUP "TrbNet" REGION "REGION_TRBNET"; - -#LOCATE UGROUP "THE_BUS_HANDLER/Bus_handler_group" REGION "REGION_TRBNET"; -#LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_gen_regio_regIO/the_addresses/HUBLOGIC_group" REGION "REGION_TRBNET"; - - -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_IOBUF/genINITOBUF2_gen_INITOBUF3_INITOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_IOBUF/genINITOBUF2_gen_INITOBUF3_INITOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_2_gentermbuf_termbuf/TRMBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_IOBUF/genINITOBUF2_gen_INITOBUF3_INITOBUF/OBUF_group" REGION "REGION_TRBNET"; -#LOCATE UGROUP "THE_ENDPOINT/THE_INTERNAL_BUS_HANDLER/Bus_handler_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/MPLEX/MUX_group" REGION "REGION_TRBNET"; - -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_gen_regio_regIO/RegIO_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API/API_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API/API_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_IOBUF/genREPLYOBUF1_REPLYOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_IOBUF/GEN_IBUF_THE_IBUF/IBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_IOBUF/genREPLYOBUF1_REPLYOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_IOBUF/GEN_IBUF_THE_IBUF/IBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_IOBUF/genREPLYOBUF1_REPLYOBUF/OBUF_group" REGION "REGION_TRBNET"; -LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_IOBUF/GEN_IBUF_THE_IBUF/IBUF_group" REGION "REGION_TRBNET"; - -UGROUP "ENDPOINT" - BLKNAME THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_gentrgapi_the_trigger_apl -; -LOCATE UGROUP "ENDPOINT" REGION "REGION_TRBNET"; - -UGROUP "SctrlGroup" - BLKNAME THE_BUS_HANDLER - BLKNAME THE_ENDPOINT/THE_INTERNAL_BUS_HANDLER - ; -LOCATE UGROUP "SctrlGroup" REGION "REGION_TRBNET"; - - -UGROUP "ResetHandler" - BLKNAME THE_RESET_HANDLER -; - - - diff --git a/tdc_releases/tdc_v2.0/unimportant_lines_constraints.lpf b/tdc_releases/tdc_v2.0/unimportant_lines_constraints.lpf index bb35e0d..e39d403 100644 --- a/tdc_releases/tdc_v2.0/unimportant_lines_constraints.lpf +++ b/tdc_releases/tdc_v2.0/unimportant_lines_constraints.lpf @@ -18,15 +18,8 @@ MULTICYCLE FROM CELL "THE_TDC/ReferenceChannel/Channel200/RingBuffer*FIFO/FF*" T MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter*" TO CELL "THE_TDC/GEN_Channels*Channels/epoch_cntr_reg*" 3 X; MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter*" TO CELL "THE_TDC/ReferenceChannel/epoch_cntr_reg*" 3 X; -MULTICYCLE TO CELL "THE_TDC/TheFirstReadout/TW_pre*" 4 x; -MULTICYCLE TO CELL "THE_TDC/TheFirstReadout/TW_post*" 4 x; -MULTICYCLE TO CELL "THE_TDC/Gen_Readout*Module*TheReadout/TW_pre*" 4 x; -MULTICYCLE TO CELL "THE_TDC/Gen_Readout*Module*TheReadout/TW_post*" 4 x; - -MULTICYCLE TO CELL "THE_TDC/TheChannelDebugBus/data_out_reg*" 4 x; - -MULTICYCLE FROM CELL "THE_TDC/GEN_Channels*Channels/Channel200/SimAdderNo.FC/FF*" TO CELL "THE_TDC/GEN_Channels*Channels/Channel200/Encoder/interval_reg*" 2 x; - +MULTICYCLE TO CELL "THE_TDC/TheReadout/TW_pre*" 4 x; +MULTICYCLE TO CELL "THE_TDC/TheReadout/TW_post*" 4 x; @@ -38,8 +31,7 @@ MAXDELAY NET "THE_TDC/hit_in_i*" 0.600000 nS; #DATAPATH_ONLY ; ## Maybe effective -# MULTICYCLE FROM CELL "THE_TDC/GEN_Channels*Channels/The_Buffer/*" TO CELL "THE_TDC/TheFirstReadout/rd_en*" 2 X; -# MULTICYCLE FROM CELL "THE_TDC/GEN_Channels*Channels/The_Buffer/*" TO CELL "THE_TDC/Gen_Readout*Module*TheReadout/rd_en*" 2 X; +# MULTICYCLE FROM CELL "THE_TDC/GEN_Channels*Channels/The_Buffer/*" TO CELL "THE_TDC/TheReadout/rd_en*" 2 X; @@ -53,5 +45,68 @@ MAXDELAY NET "THE_TDC/hit_in_i*" 0.600000 nS; #DATAPATH_ONLY ; -# # PROHIBIT SECONDARY NET "THE_TDC/ReferenceChannel/Channel200/ff_array_en_i"; -# # PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels*Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/ReferenceChannel/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.1.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.2.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.3.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.4.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.5.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.6.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.7.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.8.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.9.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.10.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.11.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.12.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.13.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.14.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.15.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.16.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.17.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.18.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.19.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.20.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.21.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.22.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.23.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.24.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.25.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.26.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.27.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.28.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.29.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.30.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.31.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.32.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.33.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.34.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.35.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.36.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.37.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.38.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.39.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.40.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.41.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.42.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.43.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.44.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.45.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.46.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.47.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.48.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.49.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.50.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.51.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.52.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.53.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.54.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.55.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.56.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.57.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.58.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.59.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.60.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.61.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.62.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.63.Channels/Channel200/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels.64.Channels/Channel200/ff_array_en_i";